CN108983546A - 微影方法 - Google Patents

微影方法 Download PDF

Info

Publication number
CN108983546A
CN108983546A CN201710758207.9A CN201710758207A CN108983546A CN 108983546 A CN108983546 A CN 108983546A CN 201710758207 A CN201710758207 A CN 201710758207A CN 108983546 A CN108983546 A CN 108983546A
Authority
CN
China
Prior art keywords
photoresist
photoresist layer
temperature
acid
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710758207.9A
Other languages
English (en)
Inventor
刘朕与
张雅晴
吴承翰
张庆裕
林进祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108983546A publication Critical patent/CN108983546A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0395Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having a backbone with alicyclic moieties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking

Abstract

提供一种微影图案化的方法,包括:形成光阻层于基板上,以及对光阻层进行曝光制程。光阻层包含聚合物主链、键结至聚合物主链的酸活性基团、键结至聚合物主链的敏化剂、光酸产生剂、与热碱产生剂。上述方法亦包括在第一温度下烘烤光阻层,接着在第二温度下烘烤光阻层。第二温度高于第一温度。上述方法亦包括在显影剂中显影光阻层,以形成图案化的光阻层。

Description

微影方法
技术领域
本发明实施例关于半导体装置的制作方法,更特别关于极紫外线微影中的光阻膜组成与采用其的方法。
背景技术
半导体集成电路产业已经历指数成长。集成电路材料与设计的技术进展,使每一代的集成电路比前一代的集成电路更小更复杂。在集成电路进化的课题中,功能密度(单位芯片面积的内连线装置数目)通常随着几何尺寸(比如制程所能形成的最小构件或线路)缩小而增加。尺寸缩小的制程优点在于增加产能并降低相关成本,不过也会增加集成电路制程的复杂性。
举例来说,极紫外线微影已用于符合较小装置其关键尺寸的需求。极紫外线微影采用的扫描机的射线在极紫外线区中,其波长介于约1nm至约100nm之间。一些极紫外线扫描机与一些光学扫描机类似,可提供4×微缩投影曝光至涂布在基板上的光阻膜上,差别在于极紫外线扫描机为反射式光学件而非折射式光学件。极紫外线微影可施加所需的复杂组于光阻膜上。多种极紫外线光阻已开发,而聚羟基苯乙烯光阻具有许多用于极紫外线微影的所需性质。然而,在现有的负型显影剂中显影聚羟基苯乙烯光阻伴随着多种问题,这些问题会造成光阻膜损失、增加线边缘粗糙度、增加线宽粗糙度、以及图案变形。目前亟需光阻与采用其的方法以改善此领域。
发明内容
本发明一实施例提供的微影图案化的方法,包括:形成光阻层于基板上,其中光阻层包含聚合物主链、键结至聚合物主链的酸活性基团、键结至聚合物主链的敏化剂、光酸产生剂、与热碱产生剂;对光阻层进行曝光制程;在第一温度下烘烤光阻层,接着在第二温度下烘烤光阻层,其中第二温度高于第一温度;以及在显影剂中显影光阻层,以形成图案化的光阻层。
附图说明
图1A、1B、1C、与1D是一实施例中,以微影图案化形成半导体结构的剖视图。
图2是一些实施例中,用于微影图案化的装置。
图3是一些实施例中,可应用于图1A的光阻材料。
图4是一些实施例中,可用于光阻中的例示性聚合物结构。
图5A与5B是一些实施例中,用于图3的光阻材料的极性基团的例示性化学结构。
图6是一些实施例中,用于图3的光阻材料的酸活性基团中,其可用的例示性化学结构。
图7A与7B是一些实施例中,用于图3的光阻材料的酸活性基团的多种化学结构,其可在切断后含有羧基。
图8是一些实施例中,采用图3的光阻材料的例示性方法的流程图,且光阻材料含有热碱产生剂。
图9是一些实施例中,采用图3的光阻材料的例示性方法的流程图,且光阻材料含有光碱产生剂。
【符号说明】
100 半导体装置
102 基板
104 图案化层
104a 图案化的硬掩模层
106 光阻层
106a 光阻图案
108 射线束
110 显影剂
200 极紫外线微影系统
202 射线源
206 聚光光学件
208 光掩模
210 光掩模站点
212 投影光学件
214 基板站点
300 光阻材料
302 聚合物主链
304 酸活性基团
306 敏化剂
308 极性基团
310 光酸产生剂
312 溶剂
314 聚合物
318 碱产生剂
400 化学结构
402、404 末端
800、900 方法
802、804、806、808、810、902、904、906、908、910、912 步骤
具体实施方式
下述内容提供的不同实施例或实例可实施本发明的不同结构。特定构件与排列的实施例用以简化本发明而非局限本发明。举例来说,形成第一结构于第二结构上的叙述包含两者直接接触,或两者的间隔有其他额外结构而非直接接触。此外,本发明的多种例子中可重复标号,但这些重复仅用以简化与清楚说明,不代表不同实施例及/或设置之间具有相同标号的单元之间具有相同的对应关系。
此外,空间性的相对用语如「下方」、「其下」、「较下方」、「上方」、「较上方」、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件亦可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。
一般为了形成最小的电路,最先进的微影系统设计为采用极短波长的光,比如深紫外线(波长约200nm)或极紫外线(波长约1至100nm,比如13.5nm)。这些光源较弱,因此光敏膜(如光阻)需设计为尽可能有效的利用光。用于极紫外线微影的光阻材料之一为聚羟基苯乙烯光阻。由于聚羟基苯乙烯光阻对极紫外线的波长敏感,且可稳定产生二次电子,因此其在极紫外线微影的应用上具有极大潜力。
在射线曝光光阻层后,在显影剂(化学溶液)中显影光阻层。显影剂移除部份光阻层以形成光阻图案,其可包含线路图案及/或沟槽图案。接着以光阻图案作为后续蚀刻制程中的蚀刻掩模,将图案转移至下方的图案化层。曝光的光阻膜的显影制程一般有两种方式:正型显影制程与负型显影制程。正型显影制程采用正型显影剂。负型显影制程采用负型显影剂。用语「正型显影剂」指的是显影剂选择性地溶解并移除光阻膜的曝光部份,且曝光部份的曝光量不低于第一预定的临界值。用语「负型显影剂」指的是显影剂选择性地溶解并移除光阻膜的的未曝光部份(如同光阻膜其曝光不足的部份,即曝光量不高于第二预定临界值的区域)。第一临界值与第二临界值可相同或不同,端视光阻材料与显影剂的参数而定。在下述说明中,光阻膜的未曝光部份包含光阻膜其未曝光与曝光不足的部份。
在现有的极紫外线微影中,次10纳米制程中的负型显影制程其光学效能优于采用亮型光掩模的正型显影制程。然而在一般采用的负型显影的显影剂如醋酸正丁酯中,聚羟基苯乙烯具有较高溶解度,这将使极紫外线曝光的部份聚羟基苯乙烯溶解,即造成光阻膜损失。特别的是在负型显影制程后,保留的曝光部份的光阻膜厚度会小于其初始厚度,即降低曝光部份与未曝光部份之间的光阻对比。其他光阻图案膨润的问题亦可能发生,比如增加线边缘粗糙度、增加线宽粗糙度、甚至图案变形。本发明的目的之一为提供新颖与改善的光阻组成,以在进阶微影制程(如极紫外线微影与电子束微影)中达到图案保真度。
如图1A至1D所示,半导体装置100在微影图案化中的一系列剖视图。半导体装置100可为制作集成电路或其部份的制程的中间装置,且集成电路可包含静态随机存取存储体及/或其他逻辑电路,无源构件如电阻、电容、或电感,或有源构件如p型场效晶体管、n型场效晶体管、鳍状场效晶体管、其他三维场效晶体管、金氧半场效晶体管、互补式金氧半晶体管、双极性晶体管、高压晶体管、高频晶体管、其他存储单元、或上述的组合。
半导体装置100包含基板102。图1A所示的基板102具有光阻层106沉积其上。基板102包含一或多层的材料或组成。在一实施例中,基板102为半导体基板如晶片。在另一实施例中,基板102包含结晶结构的硅。在其他实施例中,基板102包含其他半导体元素如锗,或半导体化合物如碳化硅、砷化镓、砷化铟、或磷化铟。基板102可包含绝缘层上硅基板、可具有应力以增加效能、可包含外延区、可包含隔离区、可包含掺杂区、可包含一或多个半导体装置或其部份、可包含导电层及/或非导电层、及/或可包含其他合适结构与层状物。在此实施例中,基板102包含图案化层104。在一实施例中,图案化层104为硬掩模层,其材料包含非晶硅、氧化硅、氮化硅、氮化钛、或其他合适的材料或组成。在一实施例中,图案化层104为抗反射涂层如无氮的抗反射涂层,其材料可包含氧化硅、碳氧化硅、或等离子体增强化学气相沉积的氧化硅。在多种实施例中,图案化层104可包含高介电常数介电层、栅极层、硬掩模层、界面层、盖层、扩散阻障层、介电层、导电层、其他合适层、及/或上述的组合。在另一实施例中,基板102为光掩模基板,其可包含低热膨胀材料如石英、硅、碳化硅、或氧化硅-氧化钛化合物。在此例中,基板102可为光掩模基板以用于形成深紫外线光掩模、极紫外线光掩模、或其他种类的光掩模。
光阻层106对用于微影曝光制程的射线敏感,且可抵抗蚀刻或布植。光阻层106的形成方法可为旋转涂布聚合物材料至基板102上。在一些例子中,可在曝光前进一步对光阻层106进行软烘烤制程。在一实施例中,光阻层106为对射线敏感的层状物如光阻,包含I线光阻、深紫外线光阻(如氟化氪(KrF)光阻或氟化氩(ArF)光阻)、极紫外线光阻、电子束光阻、或离子束光阻。在此例中,光阻层106对极紫外线敏感,且可用于负型显影制程(比如极紫外线照射的部份其于负型显影剂中的溶解度降低)。在一实施例中,光阻层106包含聚羟基苯乙烯。举例来说,光阻层可包含超过0重量%但小于70重量%的聚羟基苯乙烯。在此实施例中,聚羟基苯乙烯光阻可为光阻层106中的共聚物的一部份,或者与另一聚合物混掺以形成光阻层106。光阻层亦可包含其他化学品,其将说明如下。
如图1B所示,在微影系统中以射线束108对光阻层106进行曝光制程。射线束108可I线(365nm)、深紫外线(如KrF准分子激光(248nm)或ArF准分子激光193nm)、极紫外线(13.5nm)、电子束、X光、离子束、或其他合适射线。可在空气中、液体中(浸润式微影)、或真空中(用于极紫外线微影与电子束微影)进行曝光制程。以光掩模如穿透式光掩模或反射式光掩模图案化射线束108,且此制程包含解析度增进技术如相位移及/或光学邻近修正。在另一例中,以预定图案如集成电路布局直接调整射线束108而不采用光掩模,比如无光掩模微影。在此实施例中,射线束108为极紫外线,且在极紫外线微影系统中进行曝光制程。
如图2所示,极紫外线微影系统200包含射线源202以产生射线束108、聚光光学件206、光掩模站点210以固定光掩模208于其上、投影光学件212、以及基板站点214以固定含有基板102与光阻层106的半导体装置100。上述系统可包含或省略一些元件,或者采用其他设置。在本发明实施例中,极紫外线微影系统200可为步进机或扫描机。
射线源202提供的射线束108具有极紫外线范围的波长,比如约1nm至100nm之间。在一实施例中,射线束108的波长为约13.5nm。聚光光学件206包含多层涂布的收集器与多个掠射镜。聚光光学件206设置以收集并成形射线束108,并提供射线束108的狭缝至光掩模208。光掩模208包含一或多个目标集成电路装置的图案。在此实施例中,光掩模208为反射式光掩模,其可整合解析度增进技术如相位移技术及/或光学邻近修正。光掩模站点210固定光掩模208于其上,且固定方法可为真空吸附。光掩模站点210亦可在极紫外线微影系统200中的对准、对焦、齐平、与曝光等步骤中,提供光掩模208的准确位置与位移。
投影光学件212包含一或多个透镜与多个反射镜。透镜的放大率可小于1,以缩小光掩模208其图案化的上照影像并投影至半导体装置100上(特别是光阻层106上)。基板站点214固定半导体装置100。基板站点214亦可在极紫外线微影系统200中的对准、对焦、齐平、与曝光等步骤中,提供半导体装置100的准确位置与位移。光掩模208的图案化上照影像可重复地曝光于光阻层106的不同位置上,不过亦可采用其他微影方法。光阻层106的曝光部份,比未曝光部份不易溶于负型显影剂中。可对半导体装置100进行一或多个曝光后烘烤制程,以加速光阻图案形成。
图1C是本发明多种实施例中,在显影剂110中显影曝光的光阻层106。在此实施例中,显影剂110为负型显影剂,其溶解并移除未曝光的部份光阻层106,并形成光阻图案106a。在图1C所示的例子中,光阻图案106为两个线路的图案。然而下述内容亦适用于沟槽的光阻图案。
如上所述,一般采用的聚羟基苯乙烯光阻可部份地溶于负型显影剂中,这会造成光阻膜损失、降低光阻对比、增加线边缘粗糙度、甚至使图案变形。本发明实施例提供新颖且改良的光阻组成,其于极紫外线微影中具有突出效能。光阻层106是新颖与改良的光阻组成的实施例之一。
图3是多种实施例中,形成光阻层106的光阻材料300。光阻材料300对第一射线如极紫外线敏感。第一射线具有第一波长。光阻材料300包含聚合物主链302、键结至聚合物主链302的酸活性基团304、敏化剂306、光酸产生剂310、与碱产生剂318。光阻材料300亦包含溶剂312。敏化剂306可混掺于溶剂312中,或键结至聚合物主链302。光阻材料300亦可包含键结至聚合物主链302的极性基团308。在一些实施例中,光阻材料300可包含其他添加剂如淬息剂。在此实施例中,聚合物主链302、酸活性基团304、敏化剂306、与极性基团308化学键结在一起,以形成聚合物314。光酸产生剂310、碱产生剂318、与聚合物314混掺于溶剂312中。
聚合物主链302可抵抗蚀刻或布植。在多种实施例中,聚合物主链302包含丙烯酸酯为主的聚合物、降冰片烯-马来酸酐共聚物、或聚羟基苯乙烯的聚合物。举例来说,丙烯酸酯为主的聚合物包含聚甲基丙烯酸甲酯的聚合物。聚羟基苯乙烯的聚合物包含多个图4所示的聚羟基苯乙烯的化学结构400,其中n为大于2的整数。聚羟基苯乙烯的化学结构400包含两个末端402与404,其可化学连接至其他聚羟基苯乙烯的化学结构的末端。此外,聚羟基苯乙烯亦对极紫外线敏感,并可作为极紫外线光阻的敏化剂。综上所述,多个聚羟基苯乙烯的化学结构400经由两个末端402与404化学键在一起,以形成聚羟基苯乙烯的聚合物主链。
如图3所示,光阻材料300包含敏化剂306以增加光阻材料的敏感度与效率。光阻材料中的光酸产生剂310可对极紫外线不敏感,但对电子或其他射线如紫外线或深紫外线较敏感。如此一来,搭配敏化剂306的光阻材料300对第一射线的敏感度增加。特别的是,敏化剂306对第一射线敏感,并可回应第一射线以产生第二射线。在一些实施例中,敏化剂306吸收第一波长的第一射线,并产生第二波长的第二射线。第二波长大于第一波长。在此实施例中,第一射线可为极紫外线,其第一波长为约13.5nm;而第二波长介于180nm至250nm之间。在一些实施例中,第一射线为极紫外线而第二射线为电子。敏化剂306吸收极紫外线并产生二次电子。此外,光酸产生剂对二次电子敏感,且可吸收二次电子并产生酸。在多种例子中,敏化剂306包括含氟化学品、含金属化学品、含酚化学品、或上述的组合。在一些例子中,敏化剂306包含聚羟基苯乙烯的化学结构。在其他例子中,敏化剂306包含聚氟化苯乙烯或聚氯化苯乙烯。敏化剂306键结至聚合物主链302。在其他或额外实施例中,敏化剂306、聚合物314、与光酸产生剂310混合于溶剂312中。举例来说,一些敏化剂306与聚合物314混合,而一些敏化剂306化学键结至聚合物314。
光阻材料300亦包含光酸产生剂310。在微影制程将光阻材料300施加至工件如半导体晶片之前,先混合光酸产生剂310与聚合物314于溶剂312中。光酸产生剂310吸收射线能量并产生酸。在多种例子中,光酸产生剂310对第一射线、第二射线、或上述的组合敏感。一般而言,光酸产生剂310对第一射线的敏感度不足。如此一来,搭配敏化剂306的光阻材料300对第一射线的敏感度增加。举例来说,敏化剂306产生第二射线以回应第一射线,而光酸产生剂310产生酸以回应第二射线。在一些例子中,光酸产生剂310可包含全氟化磺酸酯、二苯基錪鎓三氟甲烷磺酸酯、二苯基錪鎓九氟丁烷磺酸酯、二苯基锍三氟甲烷磺酸酯、二苯基锍九氟丁烷磺酸酯、乙酮、三苯基锍双(全氟甲烷砜基)酰亚胺、三嗪、或上述的组合。在一些例子中,敏化剂306释放二次电子以回应第一射线,而光酸产生剂设计为具有特定化学结构以较佳地吸收二次电子。特别的是,光酸产生剂310可包含至少一杂环,其除了多个碳原子外还具有至少一氮原子或氧原子。光酸产生剂310亦可具有一双键于杂环中。
在一些实施例中,光阻材料300亦包含键结至聚合物主链302的极性基团308。此处所述的「极性基团」为具有电偶极矩或多极矩。极性分子可经由偶极-偶极的分子间作用力或氢键作用。极性基团可包含一或多个极性力官能基,包含但不限于羟基、多种胺基或胺、巯基、酯基、酰胺、羧酸基、酰亚胺官能基、氨基甲酸酯官能基、醛官能基、或酮官能基。在一实施例中,极性基团308为内酯。在显影时,极性基团308因其极性而在水性溶剂中具有高溶解度,且在有机溶剂中具有低溶解度。如此一来,可调整聚合物主链302上的极性基团308的负载量,以改变显影剂中的光阻材料300的溶解速率。多种极性基团的化学结构如图5A与5B所示。
如图3所示,光阻材料300亦包含酸活性基团304。酸活性基团304化学键结至聚合物主链302。酸活性基团304作为溶解抑制剂,其可回应酸。酸活性基团304为化学基团,在光阻的曝光部份中可由酸产生基团310去保护。如此一来,曝光的光阻材料300将改变其极性与溶解度。举例来说,照射极紫外线后,光酸产生剂310释放酸以回应敏化剂306产生的二次射线或电子,接着曝光的光阻材料300中的一些酸活性基团304被切断(因为与酸之间的化学反应)。接着可进行曝光后烘烤制程,以利这些化学反应。如此一来,光阻层106的曝光部份将产生化学变化(比如变的更亲水或更疏水)。当微影曝光制程的曝光剂量达到临界值时,曝光的光阻层106将不溶于显影剂中(或者溶于显影剂中)。在一些例子中,光阻层106在曝光制程后产生极性改变,且可采用双型的显影制程。在一些例子中,若光阻层106自非极性态(疏水态)转变成极性态(亲水态),则水性溶剂(如氢氧化四甲基铵)将移除曝光部份,或者有机溶剂(如醋酸丁酯)将移除未曝光部份。在一些其他实施例中,光阻层106自极性态转为非极性态,则有机溶剂将移除曝光部份,或者水性溶剂将移除未曝光部份。
敏化剂306、极性基团308、与酸活性基团304在聚合物302上的负载比例,可取决于光阻层106所需的特性如极性、溶解度、分子量、分子量分布、蚀刻选择性、或类似特性。在一些实施例中,对敏化剂306、极性基团308、与酸活性基团304而言,敏化剂306的相对摩尔浓度介于10%至70%之间,极性基团308的相对摩尔浓度介于5%至50%之间,且酸活性基团304的相对摩尔浓度介于20%至80%之间。在特定实施例中,敏化剂306的相对摩尔浓度为约30%,极性基团308的相对摩尔浓度为约10%,而酸活性基团304的相对摩尔浓度为约60%。
在一些实施例中,酸活性基团304包含第三丁氧羰基600,如图6所示。在此实施例中,酸活性基团304在与酸产生剂310释放的酸反应时被切断,且切断的酸活性基团304包含键结至聚合物主链302的羧基如-COOH。多种酸活性基团304的化学结构在切断后可含-COOH,如图7A与7B所示。
在一般的负型显影剂如醋酸正丁酯溶剂中,羧基具有一定的溶解度,使显影时造成光阻膜损失。当羧基离子化时,其-OH失去质子而变成羧酸阴离子基。举例来说,-COOH在离子化后转变成-COO-。羧酸阴离子基的极性比羧基的极性强,这会降低酸活性基团304在有机溶剂中的溶解度。如此一来,曝光后的光阻层具有与聚合物主链键结的-COO-,因此在负型显影制程时具有较少的光阻膜损失。依据本发明所述的原理,碱产生剂318可混合于光阻材料300中以产生碱。碱可与曝光制程后切断的酸活性基团304中的羧基反应,以产生羧酸阴离子基,进而在负型显影制程中减少光阻膜损失。
如图3所示,光阻材料300更包含碱产生剂318。碱产生剂318与聚合物314混合于溶剂312中。在多种实施例中,碱产生剂318为热碱产生剂。在极紫外线曝光后进行曝光后烘烤,其具有两烘烤步骤。在第一烘烤步骤中,加热光阻层106至第一温度,其低于热碱产生剂分解并释放碱的启动温度。在一些实施例中,第一温度介于90℃至150℃之间。第一烘烤步骤可视作一般的曝光后烘烤。此步骤有利于热活化扩散光酸产生剂310因曝光产生的酸。曝光的光波产生酸具有空间周期图案,特别是在高反射性基板的情况。上述热活化扩散可让酸的空间周期图案平滑。若光阻材料300为采用化学放大反应的化学放大光阻,第一烘烤步骤亦催化性地完成曝光起始的光反应,让酸得以进行多次的化学放大反应,使酸活性基团充份的去保护。在第一烘烤步骤后的第二烘烤布步骤,加热光阻层106至第二温度。第二温度高于第一温度,并高于热碱产生剂分解并释放碱的启始温度。在一些实施例中,第二温度介于130℃至250℃之间。热碱产生剂在第二温度下分解并释放碱。碱可与切断的酸活性基团中的羧基进行化学反应,以自羧基中的-OH分离质子,并保留共用电子于氧。如此一来,羧基将具有多余的电子而非质子,即转变成羧酸阴离子基。在与碱反应后,可进一步调整光阻层106的极性,且更降低光阻层106在负型显影剂中的溶解度。
热碱产生剂可包含下述化学基团:酰胺、磺胺、酰亚胺、亚胺、o-酰基肟、或苄氧羰基。在一些实施例中,热碱产生剂占光阻材料300的30重量%或更少。在一实施例中,曝光后烘烤制程的第一温度介于90℃至130℃之间,其历时30秒至300秒之间(比如60秒);而第二温度介于140℃至250℃之间,其历时30秒至300秒之间(比如60秒)。上述烘烤步骤在显影光阻层106之前。
在一些其他实施例中,碱产生剂318为光碱产生剂。在吸收特定波长的射线能量后,光碱产生剂分解并释放碱。光碱产生剂对用于曝光制程的第一射线(如极紫外线)不敏感,并对敏化剂306放射的第二射线或电子不敏感。如此一来,曝光制程不会分解光碱产生剂。在曝光制程后,对光阻层106进行曝光后烘烤制程。在特定实施例中,曝光后烘烤制程于120℃至160℃之间的热腔室中进行。曝光后烘烤制程促进热活化扩散。曝光后烘烤制程亦可催化曝光启始的光反应,使光阻层106中的光酸产生剂310充份地分解,并切断酸活性基团304。在曝光后烘烤制程之后以及显影制程之前,对整个光阻层106进行第二曝光制程。在一些实施例中,第二曝光制程采用的射线波长,不同于第一射线与敏化剂306放射的第二射线的波长,且光碱产生剂对第二曝光制程中的射线波长敏感。在一些其他实施例中,第二曝光制程采用的射线波长与敏化剂306放射的第二射线波长相近或相同,但其曝光剂量较高且足以分解光碱产生剂。第二曝光制程可为对整个光阻层106的全面曝光,而不需采用光掩模。另一方面,第二曝光制程可与采用光掩模的之前曝光制程一样,即曝光相同的部份。在光阻层106接收射线的部份中,光碱产生剂分解并释放碱。在之前曝光步骤时的未曝光部份中,碱实质上不影响光阻层106的溶解度。与此相较,在之前曝光步骤时的曝光部份中,碱将与切断的酸活性基团中的羧基进行化学反应,以产生羧酸阴离子基。羧酸阴离子基键结至聚合物主链302,并降低光阻材料在负型显影剂中的溶解度。光碱产生剂可包含氨基甲酸酯、氨甲酰羟基胺、肟、磺胺、内酰胺(或环酰胺)、其他合适材料、及/或上述的组合。在一些实施例中,光酸产生剂310对用于第二曝光制程中的射线不敏感,且不会释放酸以与碱产生剂318释放的碱中和。
如图1C所示的本发明多种实施例,施加显影剂110至光阻层106。显影剂110可包含有机溶剂。在一些实施例中,有机溶剂的碳数小于15。在一些实施例中,显影剂110可包含酯基或酮基。在一些实施例中,显影剂110可包含2-庚酮、醋酸正丁酯、醋酸异戊酯、环己酮、2-庚酮、5-甲基-2-己酮、2-羟基异丁酸甲酯、乳酸乙酯、丙二醇、单甲基醚醋酸酯、醋酸正戊酯、丙酸正丁酯、醋酸正己酯、丁酸正丁酯、丁酸异丁酯、2,5-二甲基-4-己酮、2,6-二甲基-4-庚酮、异丁酸丙酯、或丙酸异丁酯。显影剂110溶解光阻层106的未曝光部份(包含曝光不足的部份),并保留曝光部份如光阻图案106a于基板102上。由光上述光阻材料300的性质,光阻图案106a具有改良的平滑边缘与侧壁、较高的光阻对比、以及较低的线边缘粗糙度与线宽粗糙度。
图1D是采用光阻图案106a作为蚀刻掩模,蚀刻基板102的蚀刻制程。上述蚀刻制程可将光阻图案106a的图案转移至基板102。在一实施例中,图案化层104为硬掩模层。在此实施例中,先将光阻图案106a的图案转移至硬掩模层104,接着将图案转移至基板102的其他层状物。举例来说,可先经由光阻图案106a的开口蚀刻硬掩模层104,且蚀刻方法可采用干(等离子体蚀刻)、湿蚀刻、及/或其他蚀刻方法。举例来说,干蚀刻制程可采用含氧气体、含氟气体(如CF4、SF6、CH2F2、CHF3、及/或C2F6)、含氯气体(如Cl2、CHCl3、CCl4、及/或BCl3)、含溴气体(如HBr及/或CHBr3)、含碘气体、其他合适气体及/或等离子体、及/或上述的组合。在蚀刻硬掩模层104时,可消耗所有或部份的光阻图案106a。在一实施例中,可剥除任何残留的光阻图案106a,并保留图案化的硬掩模层104a于基板102上,如图1D所示。
图8是本发明一些实施例中,降低光阻膜损失并改善光阻对比的方法800的流程图,其采用含热碱产生剂的光阻材料。部份或全部方法800的实施方法,可采用深紫外线微影、极紫外线微影、电子束微影、X光微影、或其他微影制程的系统,以改善图案尺寸的准确度。在此实施例中,极紫外线微影为主要例子。如图所示,方法800包含多个制程步骤,其可用于图案化基板如图1A至1D所示,且搭配图2至7进行说明。方法800的实施例其步骤之前、之后、或之间可包含额外制程或步骤,或者部份的任一步骤即额外制程或步骤。
在一实施例中,方法800包含的步骤802形成光阻层于基板上,其中光阻层包含聚合物主链、酸活性基团、敏化剂、光酸产生剂、与热碱产生剂。举例来说,光阻材料可包含图3至7所示的组成。方法800包含的步骤804对光阻层进行曝光制程。曝光制程可由图2所示的装置进行。方法800的步骤806以第一温度烘烤光阻层,再以第二温度烘烤光阻层。第二温度高于第一温度。热碱产生剂在第二温度下分解并释放碱,且第二温度高于第一温度。光阻材料中的碱与切断的酸活性基团反应,以增加光阻层在负型显影剂中的不溶解度。步骤806可如前述搭配图1C与3说明的内容。方法800可包含的步骤808显影光阻层,以形成图案化的光阻层。显影制程可如前述搭配图1C说明的内容。方法800的步骤810将图案转移至基板。此图案转移的步骤可为蚀刻制程,其可如前述搭配图1D说明的内容。
图9是本发明一些实施例中,降低光阻膜损失并改善光阻对比的方法900的流程图,其采用含光碱产生剂的光阻材料。部份或全部方法900的实施方法,可采用深紫外线微影、极紫外线微影、电子束微影、X光微影、或其他微影制程的系统,以改善图案尺寸的准确度。在此实施例中,极紫外线微影为主要例子。如图所示,方法900包含多个制程步骤,其可用于图案化基板如图1A至1D所示,且搭配图2至7进行说明。方法900的实施例其步骤之前、之后、或之间可包含额外制程或步骤,或者部份的任一步骤即额外制程或步骤。
在一实施例中,方法900包含的步骤902形成光阻层于基板上,其中光阻层包含聚合物主链、酸活性基团、敏化剂、光酸产生剂、与光碱产生剂。举例来说,光阻材料可包含图3至7所示的组成。方法900包含的步骤904对光阻层进行曝光制程。光碱产生剂对此曝光制程所用的射线不敏感且未分解。曝光制程可由图2所示的装置进行。方法900的步骤906对光阻层进行曝光后烘烤制程。光酸产生剂释放的酸将切断酸活性基团。方法900的步骤908对光阻层进行第二曝光制程。第二曝光制程可为对整个光阻层进行的全面曝光(不需光掩模),或者与采用光掩模的之前曝光制程曝光相同的部份。光碱产生剂分解并释放碱,以对应第二曝光制程的射线。光阻材料中的碱与切断的酸活性基团反应,以增加切断的酸活性基团在负型显影剂中的不溶解度。步骤906与908可如前述搭配图1C与3说明的内容。方法900可包含的步骤910显影光阻层,以形成图案化的光阻层。显影制程可如前述搭配图1C说明的内容。方法900的步骤912将图案转移至基板。此图案转移的步骤可为蚀刻制程,其可如前述搭配图1D说明的内容。
虽然图1未图示,但方法800与900可形成最终图案或集成电路装置于基板102上。在一实施例中,基板102为半导体基板,而方法800(900)形成鳍状场效晶体管结构。在此实施例中,步骤810(912)形成多个有源鳍状物于半导体的基板102中。有源鳍状物具有一致的关键尺寸,因为光阻图案106a具有低线边缘粗糙度与低线宽粗糙度。在另一实施例中,方法800(900)形成多个栅极于半导体的基板102中。栅极具有一致的栅极长度,因为光阻图案106具有平滑的侧壁。方法800(900)亦可形成栅极间隔物、掺杂的源极/汲极区、用于栅极/源极/汲极结构的接点、与类似物。在另一实施例中,形成目标图案如多层内连线结构中的金属线路。举例来说,金属线路可形成于基板102的层间介电中,而步骤810(912)蚀刻层间介电层以使其包含多个沟槽。方法800(900)将导电材料如金属填入沟槽,在以化学机械研磨等制程研磨导电材料以露出图案化的层间介电层,即形成层间介电层中的金属线路。上述内容为本发明多种实施例中,以方法800(900)与光阻材料300形成及/或改良装置/结构的非限制性例子。
本发明一或多个实施例可提供许多优点至半导体装置与其形成方法,比如鳍状场效晶体管。不过上述优点并非用以局限本发明。举例来说,图案化的鳍状物在结构之间具有较紧密的间隙。此外,本发明实施例的制程可用于形成鳍状场效晶体管的鳍状物的间隔物,其亦可称作芯。本发明实施例的光阻材料在用于进阶微影的负型显影制程如极紫外线微影或电子束微影中,具有优异的效能。特别的是,光阻材料包含聚合物主链、键结至聚合物主链的酸活性基团、键结至聚合物主链的敏化剂、光酸产生剂、与碱产生剂(热碱产生剂或光碱产生剂)。采用上述光阻材料可降低光阻膜损失、增加光阻对比、减少光阻图案膨润、并降低光阻图案的表面粗糙度如线边缘粗糙度及/或线宽粗糙度。这些光阻材料有利于纳米半导体制程,因为此制程的关键尺寸一致性为电路效能的关键因素。
本发明一实施例提供的微影图案化的方法包括:形成光阻层于基板上,其中光阻层包含聚合物主链、键结至聚合物主链的酸活性基团、键结至聚合物主链的敏化剂、光酸产生剂、与热碱产生剂;对光阻层进行曝光制程;在第一温度下烘烤光阻层,接着在第二温度下烘烤光阻层,其中第二温度高于第一温度;以及在显影剂中显影光阻层,以形成图案化的光阻层。
在一些实施例中,上述方法的第一温度低于热碱产生剂释放碱的启始温度,且第二温度高于启始温度。
在一些实施例中,上述方法的烘烤光阻层的步骤在曝光制程之后,并在显影光阻层之前。
在一些实施例中,上述方法的第一温度介于90℃至130℃之间,而第二温度介于140℃至250℃之间。
在一些实施例中,上述方法的热碱产生剂包含的化学基是酰胺、磺胺、酰亚胺、亚胺、o-酰基肟、或苄氧羰基。
在一些实施例中,上述方法的曝光制程包含施加极紫外线至光阻层。
在一些实施例中,上述方法的敏化剂能产生电子以回应曝光制程。
在一些实施例中,上述方法的敏化剂包含酚基,且聚合物主链包含丙烯酸酯为主的聚合物、降冰片烯-马来酸酐共聚物、或聚羟基苯乙烯的聚合物。
在一些实施例中,上述方法的显影剂含有有机溶剂,且有机溶剂包含酯基或酮基。
在一些实施例中,上述方法的显影剂包含2-庚酮、醋酸正丁酯、、醋酸异戊酯、环己酮、2-庚酮、5-甲基-2-己酮、2-羟基异丁酸甲酯、乳酸乙酯、丙二醇、单甲基醚醋酸酯、醋酸正戊酯、丙酸正丁酯、醋酸正己酯、丁酸正丁酯、丁酸异丁酯、2,5-二甲基-4-己酮、2,6-二甲基-4-庚酮、异丁酸丙酯、或丙酸异丁酯。
本发明另一实施例的微影图案化的方法,包括:形成光阻层于基板上,其中光阻层包含聚合物主链、键结至聚合物主链的酸活性基团、与热碱产生剂;以射线曝光部份的光阻层,以切断酸活性基团;在曝光部份的光阻层之后进行烘烤制程,其中热碱产生剂在烘烤制程时释放碱,且碱与切断的酸活性基团进行化学反应以调整光阻层的极性;以及在显影剂中移除未曝光的部份光阻层,以形成图案化的光阻层。
在一些实施例中,上述方法的烘烤制程包括:在第一温度烘烤光阻层,其中第一温度不会启始热碱产生剂释放碱;以及在第二温度烘烤光阻层,且第二温度高于第一温度,其中第二温度启始热碱产生剂释放碱。
在一些实施例中,上述方法中切断的酸活性基团包含羧基。
在一些实施例中,上述方法的羧基为键结至聚合物主链的-COOH,其中切断的酸活性基团与碱的化学反应之后,光阻层在显影剂中的溶解度降低。
在一些实施例中,上述方法的射线为极紫外线。
在一些实施例中,上述方法的光阻层更包括键结至聚合物主链的敏化剂,其中敏化剂能产生电子以回应极紫外线。
在一些实施例中,上述方法的光阻层更包括键结至聚合物主链的内酯。
本发明另一实施例的微影图案化的方法,包括:形成光阻层于基板上,其中光阻层包括聚合物主链、键结至聚合物主链的酸活性基团、键结至聚合物主链的敏化剂、光酸产生剂、与热碱产生剂;对光阻层进行曝光制程;在第一温度烘烤光阻层,且第一温度低于热碱产生剂释放碱的启始温度;在第二温度烘烤光阻层,且第二温度高于启始温度;以及在第二温度烘烤光阻层之后,在负型显影剂中显影光阻层,以形成图案化的光阻层。
在一些实施例中,上述方法的第一温度介于90℃至130℃之间,且第二温度介于140℃至250℃之间。
在一些实施例中,上述方法的热碱产生剂包含的化学基是酰胺、磺胺、酰亚胺、亚胺、o-酰基肟、或苄氧羰基。
上述实施例的特征有利于本技术领域中具有通常知识者理解本发明实施例。本技术领域中具有通常知识者应理解可采用本发明作基础,设计并变化其他制程与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中具有通常知识者亦应理解,这些等效置换并未脱离本发明精神与范畴,并可在未脱离本发明的精神与范畴的前提下进行改变、替换、或更动。

Claims (1)

1.一种微影图案化的方法,包括:
形成一光阻层于一基板上,其中该光阻层包含一聚合物主链、键结至该聚合物主链的一酸活性基团、键结至该聚合物主链的一敏化剂、一光酸产生剂、与一热碱产生剂;
对该光阻层进行一曝光制程;
在一第一温度下烘烤该光阻层,接着在一第二温度下烘烤该光阻层,其中该第二温度高于该第一温度;以及
在一显影剂中显影该光阻层,以形成一图案化的光阻层。
CN201710758207.9A 2017-05-30 2017-08-29 微影方法 Pending CN108983546A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/608,631 2017-05-30
US15/608,631 US10527941B2 (en) 2017-05-30 2017-05-30 Extreme ultraviolet photoresist and method

Publications (1)

Publication Number Publication Date
CN108983546A true CN108983546A (zh) 2018-12-11

Family

ID=64459575

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710758207.9A Pending CN108983546A (zh) 2017-05-30 2017-08-29 微影方法

Country Status (3)

Country Link
US (2) US10527941B2 (zh)
CN (1) CN108983546A (zh)
TW (1) TW201901303A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114690472A (zh) * 2020-12-31 2022-07-01 上海仪电显示材料有限公司 彩色滤光基板的形成方法和加热装置

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10527941B2 (en) * 2017-05-30 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method
US10573519B2 (en) * 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
KR102590254B1 (ko) * 2018-06-14 2023-10-17 오사카 유니버시티 레지스트패턴 형성방법
TWI820189B (zh) * 2018-08-30 2023-11-01 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10120674B4 (de) * 2001-04-27 2005-06-16 Infineon Technologies Ag Verfahren zur Strukturierung einer Photolackschicht
DE10120675B4 (de) * 2001-04-27 2005-07-21 Infineon Technologies Ag Verfahren zur Strukturierung einer Photolackschicht
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US10503070B2 (en) * 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US9921480B2 (en) * 2016-02-10 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist
US10527941B2 (en) * 2017-05-30 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114690472A (zh) * 2020-12-31 2022-07-01 上海仪电显示材料有限公司 彩色滤光基板的形成方法和加热装置

Also Published As

Publication number Publication date
TW201901303A (zh) 2019-01-01
US11378884B2 (en) 2022-07-05
US20200133124A1 (en) 2020-04-30
US20180348639A1 (en) 2018-12-06
US10527941B2 (en) 2020-01-07

Similar Documents

Publication Publication Date Title
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
CN108983546A (zh) 微影方法
US8435728B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
US8067148B2 (en) Pattern forming method
US8338086B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
CN106325002B (zh) 光刻技术显影成分及用于光刻技术图案化的方法
KR102196072B1 (ko) 리소그래피 패터닝을 위한 이온 주입에 의한 반사방지 코팅
US20060292501A1 (en) Lithography process with an enhanced depth-on-focus
US20100173247A1 (en) Substrate planarization with imprint materials and processes
US11809080B2 (en) Extreme ultraviolet photoresist with high-efficiency electron transfer
US10520821B2 (en) Lithography process with enhanced etch selectivity
TWI761987B (zh) 負光阻及其顯影的方法
JP4417090B2 (ja) パターン形成方法、マスクおよび露光装置
TW201729013A (zh) 微影方法
JP2005115118A (ja) パターン形成方法
KR20100059192A (ko) 반도체 소자의 더블 패터닝 방법
JPH07235467A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20181211

WD01 Invention patent application deemed withdrawn after publication