TW201901303A - 微影圖案化的方法 - Google Patents

微影圖案化的方法 Download PDF

Info

Publication number
TW201901303A
TW201901303A TW106130974A TW106130974A TW201901303A TW 201901303 A TW201901303 A TW 201901303A TW 106130974 A TW106130974 A TW 106130974A TW 106130974 A TW106130974 A TW 106130974A TW 201901303 A TW201901303 A TW 201901303A
Authority
TW
Taiwan
Prior art keywords
photoresist
photoresist layer
temperature
acid
generator
Prior art date
Application number
TW106130974A
Other languages
English (en)
Inventor
劉朕與
張雅晴
吳承翰
張慶裕
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201901303A publication Critical patent/TW201901303A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0395Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having a backbone with alicyclic moieties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明一些實施例提供微影圖案化的方法,包括:形成光阻層於基板上,以及對光阻層進行曝光製程。光阻層包含聚合物主鏈、鍵結至聚合物主鏈的酸活性基團、鍵結至聚合物主鏈的敏化劑、光酸產生劑、與熱鹼產生劑。上述方法亦包括在第一溫度下烘烤光阻層,接著在第二溫度下烘烤光阻層。第二溫度高於第一溫度。上述方法亦包括在顯影劑中顯影光阻層,以形成圖案化的光阻層。

Description

微影圖案化的方法
本發明實施例關於半導體裝置的製作方法,更特別關於極紫外線微影中的光阻膜組成與採用其之方法。
半導體積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路比前一代的積體電路更小更複雜。在積體電路進化的課題中,功能密度(單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(比如製程所能形成的最小構件或線路)縮小而增加。尺寸縮小的製程優點在於增加產能並降低相關成本,不過也會增加積體電路製程的複雜性。
舉例來說,極紫外線微影已用於符合較小裝置其關鍵尺寸的需求。極紫外線微影採用的掃描機的射線在極紫外線區中,其波長介於約1nm至約100nm之間。一些極紫外線掃描機與一些光學掃描機類似,可提供4×微縮投影曝光至塗佈在基板上的光阻膜上,差別在於極紫外線掃描機為反射式光學件而非折射式光學件。極紫外線微影可施加所需的複雜組於光阻膜上。多種極紫外線光阻已開發,而聚羥基苯乙烯光阻具有許多用於極紫外線微影的所需性質。然而,在現有的負型顯影劑中顯影聚羥基苯乙烯光阻伴隨著多種問題,這些問題會造成光阻膜損失、增加線邊緣粗糙度、增加線寬粗糙度、以及圖案變 形。目前亟需光阻與採用其的方法以改善此領域。
本發明一實施例提供之微影圖案化的方法,包括:形成光阻層於基板上,其中光阻層包含聚合物主鏈、鍵結至聚合物主鏈的酸活性基團、鍵結至聚合物主鏈的敏化劑、光酸產生劑、與熱鹼產生劑;對光阻層進行曝光製程;在第一溫度下烘烤光阻層,接著在第二溫度下烘烤光阻層,其中第二溫度高於第一溫度;以及在顯影劑中顯影光阻層,以形成圖案化的光阻層。
100‧‧‧半導體裝置
102‧‧‧基板
104‧‧‧圖案化層
104a‧‧‧圖案化的硬遮罩層
106‧‧‧光阻層
106a‧‧‧光阻圖案
108‧‧‧射線束
110‧‧‧顯影劑
200‧‧‧極紫外線微影系統
202‧‧‧射線源
206‧‧‧聚光光學件
208‧‧‧光罩
210‧‧‧光罩站點
212‧‧‧投影光學件
214‧‧‧基板站點
300‧‧‧光阻材料
302‧‧‧聚合物主鏈
304‧‧‧酸活性基團
306‧‧‧敏化劑
308‧‧‧極性基團
310‧‧‧光酸產生劑
312‧‧‧溶劑
314‧‧‧聚合物
318‧‧‧鹼產生劑
400‧‧‧化學結構
402、404‧‧‧末端
800、900‧‧‧方法
802、804、806、808、810、902、904、906、908、910、912‧‧‧步驟
第1A、1B、1C、與1D圖係一實施例中,以微影圖案化形成半導體結構的剖視圖。
第2圖係一些實施例中,用於微影圖案化的裝置。
第3圖係一些實施例中,可應用於第1A圖的光阻材料。
第4圖係一些實施例中,可用於光阻中的例示性聚合物結構。
第5A與5B圖係一些實施例中,用於第3圖之光阻材料之極性基團的例示性化學結構。
第6圖係一些實施例中,用於第3圖之光阻材料之酸活性基團中,其可用的例示性化學結構。
第7A與7B圖係一些實施例中,用於第3圖之光阻材料的酸活性基團的多種化學結構,其可在切斷後含有羧基。
第8圖係一些實施例中,採用第3圖之光阻材料的例示性方 法的流程圖,且光阻材料含有熱鹼產生劑。
第9圖係一些實施例中,採用第3圖之光阻材料的例示性方法的流程圖,且光阻材料含有光鹼產生劑。
下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一結構於第二結構上的敘述包含兩者直接接觸,或兩者之間隔有其他額外結構而非直接接觸。此外,本發明之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
一般為了形成最小的電路,最先進的微影系統設計為採用極短波長的光,比如深紫外線(波長約200nm)或極紫外線(波長約1至100nm,比如13.5nm)。這些光源較弱,因此光敏膜(如光阻)需設計為盡可能有效的利用光。用於極紫外線微影的光阻材料之一為聚羥基苯乙烯光阻。由於聚羥基苯乙烯光阻對極紫外線的波長敏感,且可穩定產生二次電子,因此其在極紫外線微影的應用上具有極大潛力。
在射線曝光光阻層後,在顯影劑(化學溶液)中顯影光阻層。顯影劑移除部份光阻層以形成光阻圖案,其可包含線路圖案及/或溝槽圖案。接著以光阻圖案作為後續蝕刻製程中的蝕刻遮罩,將圖案轉移至下方的圖案化層。曝光的光阻膜的顯影製程一般有兩種型態:正型顯影製程與負型顯影製程。正型顯影製程採用正型顯影劑。負型顯影製程採用負型顯影劑。用語「正型顯影劑」指的是顯影劑選擇性地溶解並移除光阻膜的曝光部份,且曝光部份的曝光量不低於第一預定的臨界值。用語「負型顯影劑」指的是顯影劑選擇性地溶解並移除光阻膜的的未曝光部份(如同光阻膜其曝光不足的部份,即曝光量不高於第二預定臨界值的區域)。第一臨界值與第二臨界值可相同或不同,端視光阻材料與顯影劑的參數而定。在下述說明中,光阻膜的未曝光部份包含光阻膜其未曝光與曝光不足的部份。
在現有的極紫外線微影中,次10奈米製程中的負型顯影製程其光學效能優於採用亮型光罩的正型顯影製程。然而在一般採用的負型顯影的顯影劑如醋酸正丁酯中,聚羥基苯乙烯具有較高溶解度,這將使極紫外線曝光的部份聚羥基苯乙烯溶解,即造成光阻膜損失。特別的是在負型顯影製程後,保留的曝光部份之光阻膜厚度會小於其初始厚度,即降低曝光部份與未曝光部份之間的光阻對比。其他光阻圖案膨潤的問題亦可能發生,比如增加線邊緣粗糙度、增加線寬粗糙度、甚至圖案變形。本發明的目的之一為提供新穎與改善的光阻組成,以在進階微影製程(如極紫外線微影與電子束微影)中達到圖案保 真度。
如第1A至1D圖所示,係半導體裝置100在微影圖案化中的一系列剖視圖。半導體裝置100可為製作積體電路或其部份之製程的中間裝置,且積體電路可包含靜態隨機存取記憶體及/或其他邏輯電路,被動構件如電阻、電容、或電感,或主動構件如p型場效電晶體、n型場效電晶體、鰭狀場效電晶體、其他三維場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極性電晶體、高壓電晶體、高頻電晶體、其他記憶單元、或上述之組合。
半導體裝置100包含基板102。第1A圖所示的基板102具有光阻層106沉積其上。基板102包含一或多層的材料或組成。在一實施例中,基板102為半導體基板如晶圓。在另一實施例中,基板102包含結晶結構的矽。在其他實施例中,基板102包含其他半導體元素如鍺,或半導體化合物如碳化矽、砷化鎵、砷化銦、或磷化銦。基板102可包含絕緣層上矽基板、可具有應力以增加效能、可包含磊晶區、可包含隔離區、可包含摻雜區、可包含一或多個半導體裝置或其部份、可包含導電層及/或非導電層、及/或可包含其他合適結構與層狀物。在此實施例中,基板102包含圖案化層104。在一實施例中,圖案化層104為硬遮罩層,其材料包含非晶矽、氧化矽、氮化矽、氮化鈦、或其他合適的材料或組成。在一實施例中,圖案化層104為抗反射塗層如無氮的抗反射塗層,其材料可包含氧化矽、碳氧化矽、或電漿增強化學氣相沉積的氧化矽。在多種實施例中,圖案化層104可包含高介電常數介電層、閘極層、硬遮罩 層、界面層、蓋層、擴散阻障層、介電層、導電層、其他合適層、及/或上述之組合。在另一實施例中,基板102為光罩基板,其可包含低熱膨脹材料如石英、矽、碳化矽、或氧化矽-氧化鈦化合物。在此例中,基板102可為光罩基板以用於形成深紫外線光罩、極紫外線光罩、或其他種類的光罩。
光阻層106對用於微影曝光製程的射線敏感,且可抵抗蝕刻或佈植。光阻層106的形成方法可為旋轉塗佈聚合物材料至基板102上。在一些例子中,可在曝光前進一步對光阻層106進行軟烘烤製程。在一實施例中,光阻層106為對射線敏感的層狀物如光阻,包含I線光阻、深紫外線光阻(如氟化氪(KrF)光阻或氟化氬(ArF)光阻)、極紫外線光阻、電子束光阻、或離子束光阻。在此例中,光阻層106對極紫外線敏感,且可用於負型顯影製程(比如極紫外線照射的部份其於負型顯影劑中的溶解度降低)。在一實施例中,光阻層106包含聚羥基苯乙烯。舉例來說,光阻層可包含超過0重量%但小於70重量%的聚羥基苯乙烯。在此實施例中,聚羥基苯乙烯光阻可為光阻層106中的共聚物之一部份,或者與另一聚合物混摻以形成光阻層106。光阻層亦可包含其他化學品,其將說明如下。
如第1B圖所示,在微影系統中以射線束108對光阻層106進行曝光製程。射線束108可I線(365nm)、深紫外線(如KrF準分子雷射(248nm)或ArF準分子雷射193nm)、極紫外線(13.5nm)、電子束、X光、離子束、或其他合適射線。可在空氣中、液體中(浸潤式微影)、或真空中(用於極紫外線微影與電子束微影)進行曝光製程。以光罩如穿透式光罩或反射式光罩 圖案化射線束108,且此製程包含解析度增進技術如相位移及/或光學鄰近修正。在另一例中,以預定圖案如積體電路佈局直接調整射線束108而不採用光罩,比如無光罩微影。在此實施例中,射線束108為極紫外線,且在極紫外線微影系統中進行曝光製程。
如第2圖所示,極紫外線微影系統200包含射線源202以產生射線束108、聚光光學件206、光罩站點210以固定光罩208於其上、投影光學件212、以及基板站點214以固定含有基板102與光阻層106的半導體裝置100。上述系統可包含或省略一些元件,或者採用其他設置。在本發明實施例中,極紫外線微影系統200可為步進機或掃描機。
射線源202提供的射線束108具有極紫外線範圍的波長,比如約1nm至100nm之間。在一實施例中,射線束108的波長為約13.5nm。聚光光學件206包含多層塗佈的收集器與多個掠射鏡。聚光光學件206設置以收集並成形射線束108,並提供射線束108的狹縫至光罩208。光罩208包含一或多個目標積體電路裝置的圖案。在此實施例中,光罩208為反射式光罩,其可整合解析度增進技術如相位移技術及/或光學鄰近修正。光罩站點210固定光罩208於其上,且固定方法可為真空吸附。光罩站點210亦可在極紫外線微影系統200中的對準、對焦、齊平、與曝光等步驟中,提供光罩208之準確位置與位移。
投影光學件212包含一或多個透鏡與多個反射鏡。透鏡的放大率可小於1,以縮小光罩208其圖案化的上照影像並投影至半導體裝置100上(特別是光阻層106上)。基板站點 214固定半導體裝置100。基板站點214亦可在極紫外線微影系統200中的對準、對焦、齊平、與曝光等步驟中,提供半導體裝置100的準確位置與位移。光罩208之圖案化上照影像可重複地曝光於光阻層106的不同位置上,不過亦可採用其他微影方法。光阻層106的曝光部份,比未曝光部份不易溶於負型顯影劑中。可對半導體裝置100進行一或多個曝光後烘烤製程,以加速光阻圖案形成。
第1C圖係本發明多種實施例中,在顯影劑110中顯影曝光的光阻層106。在此實施例中,顯影劑110為負型顯影劑,其溶解並移除未曝光的部份光阻層106,並形成光阻圖案106a。在第1C圖所示的例子中,光阻圖案106為兩個線路的圖案。然而下述內容亦適用於溝槽的光阻圖案。
如上所述,一般採用的聚羥基苯乙烯光阻可部份地溶於負型顯影劑中,這會造成光阻膜損失、降低光阻對比、增加線邊緣粗糙度、甚至使圖案變形。本發明實施例提供新穎且改良的光阻組成,其於極紫外線微影中具有突出效能。光阻層106係新穎與改良的光阻組成的實施例之一。
第3圖係多種實施例中,形成光阻層106之光阻材料300。光阻材料300對第一射線如極紫外線敏感。第一射線具有第一波長。光阻材料300包含聚合物主鏈302、鍵結至聚合物主鏈302的酸活性基團304、敏化劑306、光酸產生劑310、與鹼產生劑318。光阻材料300亦包含溶劑312。敏化劑306可混摻於溶劑312中,或鍵結至聚合物主鏈302。光阻材料300亦可包含鍵結至聚合物主鏈302的極性基團308。在一些實施例中,光阻 材料300可包含其他添加劑如淬息劑。在此實施例中,聚合物主鏈302、酸活性基團304、敏化劑306、與極性基團308化學鍵結在一起,以形成聚合物314。光酸產生劑310、鹼產生劑318、與聚合物314混摻於溶劑312中。
聚合物主鏈302可抵抗蝕刻或佈植。在多種實施例中,聚合物主鏈302包含丙烯酸酯為主的聚合物、降冰片烯-馬來酸酐共聚物、或聚羥基苯乙烯的聚合物。舉例來說,丙烯酸酯為主的聚合物包含聚甲基丙烯酸甲酯的聚合物。聚羥基苯乙烯的聚合物包含多個第4圖所示的聚羥基苯乙烯的化學結構400,其中n為大於2的整數。聚羥基苯乙烯的化學結構400包含兩個末端402與404,其可化學連接至其他聚羥基苯乙烯的化學結構的末端。此外,聚羥基苯乙烯亦對極紫外線敏感,並可作為極紫外線光阻的敏化劑。綜上所述,多個聚羥基苯乙烯的化學結構400經由兩個末端402與404化學鍵在一起,以形成聚羥基苯乙烯的聚合物主鏈。
如第3圖所示,光阻材料300包含敏化劑306以增加光阻材料的敏感度與效率。光阻材料中的光酸產生劑310可對極紫外線不敏感,但對電子或其他射線如紫外線或深紫外線較敏感。如此一來,搭配敏化劑306的光阻材料300對第一射線的敏感度增加。特別的是,敏化劑306對第一射線敏感,並可回應第一射線以產生第二射線。在一些實施例中,敏化劑306吸收第一波長的第一射線,並產生第二波長的第二射線。第二波長大於第一波長。在此實施例中,第一射線可為極紫外線,其第一波長為約13.5nm;而第二波長介於180nm至250nm之間。 在一些實施例中,第一射線為極紫外線而第二射線為電子。敏化劑306吸收極紫外線並產生二次電子。此外,光酸產生劑對二次電子敏感,且可吸收二次電子並產生酸。在多種例子中,敏化劑306包括含氟化學品、含金屬化學品、含酚化學品、或上述之組合。在一些例子中,敏化劑306包含聚羥基苯乙烯的化學結構。在其他例子中,敏化劑306包含聚氟化苯乙烯或聚氯化苯乙烯。敏化劑306鍵結至聚合物主鏈302。在其他或額外實施例中,敏化劑306、聚合物314、與光酸產生劑310混合於溶劑312中。舉例來說,一些敏化劑306與聚合物314混合,而一些敏化劑306化學鍵結至聚合物314。
光阻材料300亦包含光酸產生劑310。在微影製程將光阻材料300施加至工件如半導體晶圓之前,先混合光酸產生劑310與聚合物314於溶劑312中。光酸產生劑310吸收射線能量並產生酸。在多種例子中,光酸產生劑310對第一射線、第二射線、或上述之組合敏感。一般而言,光酸產生劑310對第一射線的敏感度不足。如此一來,搭配敏化劑306的光阻材料300對第一射線的敏感度增加。舉例來說,敏化劑306產生第二射線以回應第一射線,而光酸產生劑310產生酸以回應第二射線。在一些例子中,光酸產生劑310可包含全氟化磺酸酯、二苯基錪鎓三氟甲烷磺酸酯、二苯基錪鎓九氟丁烷磺酸酯、二苯基鋶三氟甲烷磺酸酯、二苯基鋶九氟丁烷磺酸酯、乙酮、三苯基鋶雙(全氟甲烷碸基)醯亞胺、三嗪、或上述之組合。在一些例子中,敏化劑306釋放二次電子以回應第一射線,而光酸產生劑設計為具有特定化學結構以較佳地吸收二次電子。特別的 是,光酸產生劑310可包含至少一雜環,其除了多個碳原子外還具有至少一氮原子或氧原子。光酸產生劑310亦可具有一雙鍵於雜環中。
在一些實施例中,光阻材料300亦包含鍵結至聚合物主鏈302的極性基團308。此處所述的「極性基團」為具有電偶極矩或多極矩。極性分子可經由偶極-偶極的分子間作用力或氫鍵作用。極性基團可包含一或多個極性力官能基,包含但不限於羥基、多種胺基或胺、巰基、酯基、醯胺、羧酸基、醯亞胺官能基、氨基甲酸酯官能基、醛官能基、或酮官能基。在一實施例中,極性基團308為內酯。在顯影時,極性基團308因其極性而在水性溶劑中具有高溶解度,且在有機溶劑中具有低溶解度。如此一來,可調整聚合物主鏈302上的極性基團308之負載量,以改變顯影劑中的光阻材料300之溶解速率。多種極性基團的化學結構如第5A與5B圖所示。
如第3圖所示,光阻材料300亦包含酸活性基團304。酸活性基團304化學鍵結至聚合物主鏈302。酸活性基團304作為溶解抑制劑,其可回應酸。酸活性基團304為化學基團,在光阻的曝光部份中可由酸產生基團310去保護。如此一來,曝光的光阻材料300將改變其極性與溶解度。舉例來說,照射極紫外線後,光酸產生劑310釋放酸以回應敏化劑306產生的二次射線或電子,接著曝光的光阻材料300中的一些酸活性基團304被切斷(因為與酸之間的化學反應)。接著可進行曝光後烘烤製程,以利這些化學反應。如此一來,光阻層106的曝光部份將產生化學變化(比如變的更親水或更疏水)。當微影曝光 製程的曝光劑量達到臨界值時,曝光的光阻層106將不溶於顯影劑中(或者溶於顯影劑中)。在一些例子中,光阻層106在曝光製程後產生極性改變,且可採用雙型的顯影製程。在一些例子中,若光阻層106自非極性態(疏水態)轉變成極性態(親水態),則水性溶劑(如氫氧化四甲基銨)將移除曝光部份,或者有機溶劑(如醋酸丁酯)將移除未曝光部份。在一些其他實施例中,光阻層106自極性態轉為非極性態,則有機溶劑將移除曝光部份,或者水性溶劑將移除未曝光部份。
敏化劑306、極性基團308、與酸活性基團304在聚合物302上的負載比例,可取決於光阻層106所需的特性如極性、溶解度、分子量、分子量分佈、蝕刻選擇性、或類似特性。在一些實施例中,對敏化劑306、極性基團308、與酸活性基團304而言,敏化劑306的相對莫耳濃度介於10%至70%之間,極性基團308的相對莫耳濃度介於5%至50%之間,且酸活性基團304的相對莫耳濃度介於20%至80%之間。在特定實施例中,敏化劑306的相對莫耳濃度為約30%,極性基團308的相對莫耳濃度為約10%,而酸活性基團304的相對莫耳濃度為約60%。
在一些實施例中,酸活性基團304包含第三丁氧羰基600,如第6圖所示。在此實施例中,酸活性基團304在與酸產生劑310釋放的酸反應時被切斷,且切斷的酸活性基團304包含鍵結至聚合物主鏈302的羧基如-COOH。多種酸活性基團304的化學結構在切斷後可含-COOH,如第7A與7B圖所示。
在一般的負型顯影劑如醋酸正丁酯溶劑中,羧基具有一定的溶解度,使顯影時造成光阻膜損失。當羧基離子化 時,其-OH失去質子而變成羧酸陰離子基。舉例來說,-COOH在離子化後轉變成-COO-。羧酸陰離子基的極性比羧基的極性強,這會降低酸活性基團304在有機溶劑中的溶解度。如此一來,曝光後的光阻層具有與聚合物主鏈鍵結的-COO-,因此在負型顯影製程時具有較少的光阻膜損失。依據本發明所述的原理,鹼產生劑318可混合於光阻材料300中以產生鹼。鹼可與曝光製程後切斷的酸活性基團304中的羧基反應,以產生羧酸陰離子基,進而在負型顯影製程中減少光阻膜損失。
如第3圖所示,光阻材料300更包含鹼產生劑318。鹼產生劑318與聚合物314混合於溶劑312中。在多種實施例中,鹼產生劑318為熱鹼產生劑。在極紫外線曝光後進行曝光後烘烤,其具有兩烘烤步驟。在第一烘烤步驟中,加熱光阻層106至第一溫度,其低於熱鹼產生劑分解並釋放鹼的啟動溫度。在一些實施例中,第一溫度介於90℃至150℃之間。第一烘烤步驟可視作一般的曝光後烘烤。此步驟有利於熱活化擴散光酸產生劑310因曝光產生的酸。曝光的光波產生酸具有空間週期圖案,特別是在高反射性基板的情況。上述熱活化擴散可讓酸的空間週期圖案平滑。若光阻材料300為採用化學放大反應的化學放大光阻,第一烘烤步驟亦催化性地完成曝光起始的光反應,讓酸得以進行多次的化學放大反應,使酸活性基團充份的去保護。在第一烘烤步驟後的第二烘烤佈步驟,加熱光阻層106至第二溫度。第二溫度高於第一溫度,並高於熱鹼產生劑分解並釋放鹼的啟始溫度。在一些實施例中,第二溫度介於130℃至250℃之間。熱鹼產生劑在第二溫度下分解並釋放鹼。 鹼可與切斷的酸活性基團中的羧基進行化學反應,以自羧基中的-OH分離質子,並保留共用電子於氧。如此一來,羧基將具有多餘的電子而非質子,即轉變成羧酸陰離子基。在與鹼反應後,可進一步調整光阻層106的極性,且更降低光阻層106在負型顯影劑中的溶解度。
熱鹼產生劑可包含下述化學基團:醯胺、磺胺、醯亞胺、亞胺、o-醯基肟、或苄氧羰基。在一些實施例中,熱鹼產生劑占光阻材料300的30重量%或更少。在一實施例中,曝光後烘烤製程的第一溫度介於90℃至130℃之間,其歷時30秒至300秒之間(比如60秒);而第二溫度介於140℃至250℃之間,其歷時30秒至300秒之間(比如60秒)。上述烘烤步驟在顯影光阻層106之前。
在一些其他實施例中,鹼產生劑318為光鹼產生劑。在吸收特定波長的射線能量後,光鹼產生劑分解並釋放鹼。光鹼產生劑對用於曝光製程的第一射線(如極紫外線)不敏感,並對敏化劑306放射的第二射線或電子不敏感。如此一來,曝光製程不會分解光鹼產生劑。在曝光製程後,對光阻層106進行曝光後烘烤製程。在特定實施例中,曝光後烘烤製程係於120℃至160℃之間的熱腔室中進行。曝光後烘烤製程促進熱活化擴散。曝光後烘烤製程亦可催化曝光啟始的光反應,使光阻層106中的光酸產生劑310充份地分解,並切斷酸活性基團304。在曝光後烘烤製程之後以及顯影製程之前,對整個光阻層106進行第二曝光製程。在一些實施例中,第二曝光製程採用的射線波長,不同於第一射線與敏化劑306放射的第二射線 的波長,且光鹼產生劑對第二曝光製程中的射線波長敏感。在一些其他實施例中,第二曝光製程採用的射線波長與敏化劑306放射的第二射線波長相近或相同,但其曝光劑量較高且足以分解光鹼產生劑。第二曝光製程可為對整個光阻層106的全面曝光,而不需採用光罩。另一方面,第二曝光製程可與採用光罩的之前曝光製程一樣,即曝光相同的部份。在光阻層106接收射線的部份中,光鹼產生劑分解並釋放鹼。在之前曝光步驟時的未曝光部份中,鹼實質上不影響光阻層106的溶解度。與此相較,在之前曝光步驟時的曝光部份中,鹼將與切斷的酸活性基團中的羧基進行化學反應,以產生羧酸陰離子基。羧酸陰離子基鍵結至聚合物主鏈302,並降低光阻材料在負型顯影劑中的溶解度。光鹼產生劑可包含氨基甲酸酯、氨甲醯羥基胺、肟、磺胺、內醯胺(或環醯胺)、其他合適材料、及/或上述之組合。在一些實施例中,光酸產生劑310對用於第二曝光製程中的射線不敏感,且不會釋放酸以與鹼產生劑318釋放的鹼中和。
如第1C圖所示的本發明多種實施例,施加顯影劑110至光阻層106。顯影劑110可包含有機溶劑。在一些實施例中,有機溶劑的碳數小於15。在一些實施例中,顯影劑110可包含酯基或酮基。在一些實施例中,顯影劑110可包含2-庚酮、醋酸正丁酯、醋酸異戊酯、環己酮、2-庚酮、5-甲基-2-己酮、2-羥基異丁酸甲酯、乳酸乙酯、丙二醇、單甲基醚醋酸酯、醋酸正戊酯、丙酸正丁酯、醋酸正己酯、丁酸正丁酯、丁酸異丁酯、2,5-二甲基-4-己酮、2,6-二甲基-4-庚酮、異丁酸丙酯、或 丙酸異丁酯。顯影劑110溶解光阻層106的未曝光部份(包含曝光不足的部份),並保留曝光部份如光阻圖案106a於基板102上。由光上述光阻材料300的性質,光阻圖案106a具有改良的平滑邊緣與側壁、較高的光阻對比、以及較低的線邊緣粗糙度與線寬粗糙度。
第1D圖係採用光阻圖案106a作為蝕刻遮罩,蝕刻基板102的蝕刻製程。上述蝕刻製程可將光阻圖案106a的圖案轉移至基板102。在一實施例中,圖案化層104為硬遮罩層。在此實施例中,先將光阻圖案106a的圖案轉移至硬遮罩層104,接著將圖案轉移至基板102的其他層狀物。舉例來說,可先經由光阻圖案106a的開口蝕刻硬遮罩層104,且蝕刻方法可採用乾(電漿蝕刻)、濕蝕刻、及/或其他蝕刻方法。舉例來說,乾蝕刻製程可採用含氧氣體、含氟氣體(如CF4、SF6、CH2F2、CHF3、及/或C2F6)、含氯氣體(如Cl2、CHCl3、CCl4、及/或BCl3)、含溴氣體(如HBr及/或CHBr3)、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。在蝕刻硬遮罩層104時,可消耗所有或部份的光阻圖案106a。在一實施例中,可剝除任何殘留的光阻圖案106a,並保留圖案化的硬遮罩層104a於基板102上,如第1D圖所示。
第8圖係本發明一些實施例中,降低光阻膜損失並改善光阻對比之方法800的流程圖,其採用含熱鹼產生劑的光阻材料。部份或全部方法800的實施方法,可採用深紫外線微影、極紫外線微影、電子束微影、X光微影、或其他微影製程的系統,以改善圖案尺寸的準確度。在此實施例中,極紫外線 微影為主要例子。如圖所示,方法800包含多個製程步驟,其可用於圖案化基板如第1A至1D圖所示,且搭配第2至7圖進行說明。方法800的實施例其步驟之前、之後、或之間可包含額外製程或步驟,或者部份的任一步驟即額外製程或步驟。
在一實施例中,方法800包含的步驟802形成光阻層於基板上,其中光阻層包含聚合物主鏈、酸活性基團、敏化劑、光酸產生劑、與熱鹼產生劑。舉例來說,光阻材料可包含第3至7圖所示的組成。方法800包含的步驟804對光阻層進行曝光製程。曝光製程可由第2圖所示之裝置進行。方法800的步驟806以第一溫度烘烤光阻層,再以第二溫度烘烤光阻層。第二溫度高於第一溫度。熱鹼產生劑在第二溫度下分解並釋放鹼,且第二溫度高於第一溫度。光阻材料中的鹼與切斷的酸活性基團反應,以增加光阻層在負型顯影劑中的不溶解度。步驟806可如前述搭配第1C與3圖說明的內容。方法800可包含的步驟808顯影光阻層,以形成圖案化的光阻層。顯影製程可如前述搭配第1C圖說明的內容。方法800的步驟810將圖案轉移至基板。此圖案轉移的步驟可為蝕刻製程,其可如前述搭配第1D圖說明的內容。
第9圖係本發明一些實施例中,降低光阻膜損失並改善光阻對比之方法900的流程圖,其採用含光鹼產生劑的光阻材料。部份或全部方法900的實施方法,可採用深紫外線微影、極紫外線微影、電子束微影、X光微影、或其他微影製程的系統,以改善圖案尺寸的準確度。在此實施例中,極紫外線微影為主要例子。如圖所示,方法900包含多個製程步驟,其 可用於圖案化基板如第1A至1D圖所示,且搭配第2至7圖進行說明。方法900的實施例其步驟之前、之後、或之間可包含額外製程或步驟,或者部份的任一步驟即額外製程或步驟。
在一實施例中,方法900包含的步驟902形成光阻層於基板上,其中光阻層包含聚合物主鏈、酸活性基團、敏化劑、光酸產生劑、與光鹼產生劑。舉例來說,光阻材料可包含第3至7圖所示的組成。方法900包含的步驟904對光阻層進行曝光製程。光鹼產生劑對此曝光製程所用的射線不敏感且未分解。曝光製程可由第2圖所示之裝置進行。方法900的步驟906對光阻層進行曝光後烘烤製程。光酸產生劑釋放的酸將切斷酸活性基團。方法900的步驟908對光阻層進行第二曝光製程。第二曝光製程可為對整個光阻層進行的全面曝光(不需光罩),或者與採用光罩的之前曝光製程曝光相同的部份。光鹼產生劑分解並釋放鹼,以對應第二曝光製程的射線。光阻材料中的鹼與切斷的酸活性基團反應,以增加切斷的酸活性基團在負型顯影劑中的不溶解度。步驟906與908可如前述搭配第1C與3圖說明的內容。方法900可包含的步驟910顯影光阻層,以形成圖案化的光阻層。顯影製程可如前述搭配第1C圖說明的內容。方法900的步驟912將圖案轉移至基板。此圖案轉移的步驟可為蝕刻製程,其可如前述搭配第1D圖說明的內容。
雖然第1圖未圖示,但方法800與900可形成最終圖案或積體電路裝置於基板102上。在一實施例中,基板102為半導體基板,而方法800(900)形成鰭狀場效電晶體結構。在此實施例中,步驟810(912)形成多個主動鰭狀物於半導體的基板102 中。主動鰭狀物具有一致的關鍵尺寸,因為光阻圖案106a具有低線邊緣粗糙度與低線寬粗糙度。在另一實施例中,方法800(900)形成多個閘極於半導體的基板102中。閘極具有一致的閘極長度,因為光阻圖案106具有平滑的側壁。方法800(900)亦可形成閘極間隔物、摻雜的源極/汲極區、用於閘極/源極/汲極結構的接點、與類似物。在另一實施例中,形成目標圖案如多層內連線結構中的金屬線路。舉例來說,金屬線路可形成於基板102的層間介電中,而步驟810(912)蝕刻層間介電層以使其包含多個溝槽。方法800(900)將導電材料如金屬填入溝槽,在以化學機械研磨等製程研磨導電材料以露出圖案化的層間介電層,即形成層間介電層中的金屬線路。上述內容為本發明多種實施例中,以方法800(900)與光阻材料300形成及/或改良裝置/結構的非限制性例子。
本發明一或多個實施例可提供許多優點至半導體裝置與其形成方法,比如鰭狀場效電晶體。不過上述優點並非用以侷限本發明。舉例來說,圖案化的鰭狀物在結構之間具有較緊密的間隙。此外,本發明實施例的製程可用於形成鰭狀場效電晶體之鰭狀物的間隔物,其亦可稱作芯。本發明實施例的光阻材料在用於進階微影的負型顯影製程如極紫外線微影或電子束微影中,具有優異的效能。特別的是,光阻材料包含聚合物主鏈、鍵結至聚合物主鏈的酸活性基團、鍵結至聚合物主鏈的敏化劑、光酸產生劑、與鹼產生劑(熱鹼產生劑或光鹼產生劑)。採用上述光阻材料可降低光阻膜損失、增加光阻對比、減少光阻圖案膨潤、並降低光阻圖案的表面粗糙度如線邊緣粗 糙度及/或線寬粗糙度。這些光阻材料有利於奈米半導體製程,因為此製程的關鍵尺寸一致性為電路效能的關鍵因素。
本發明一實施例提供之微影圖案化的方法包括:形成光阻層於基板上,其中光阻層包含聚合物主鏈、鍵結至聚合物主鏈的酸活性基團、鍵結至聚合物主鏈的敏化劑、光酸產生劑、與熱鹼產生劑;對光阻層進行曝光製程;在第一溫度下烘烤光阻層,接著在第二溫度下烘烤光阻層,其中第二溫度高於第一溫度;以及在顯影劑中顯影光阻層,以形成圖案化的光阻層。
在一些實施例中,上述方法的第一溫度低於熱鹼產生劑釋放鹼的啟始溫度,且第二溫度高於啟始溫度。
在一些實施例中,上述方法的烘烤光阻層之步驟在曝光製程之後,並在顯影光阻層之前。
在一些實施例中,上述方法的第一溫度介於90℃至130℃之間,而第二溫度介於140℃至250℃之間。
在一些實施例中,上述方法的熱鹼產生劑包含的化學基係醯胺、磺胺、醯亞胺、亞胺、o-醯基肟、或苄氧羰基。
在一些實施例中,上述方法的曝光製程包含施加極紫外線至光阻層。
在一些實施例中,上述方法的敏化劑能產生電子以回應曝光製程。
在一些實施例中,上述方法的敏化劑包含酚基,且聚合物主鏈包含丙烯酸酯為主的聚合物、降冰片烯-馬來酸酐共聚物、或聚羥基苯乙烯的聚合物。
在一些實施例中,上述方法的顯影劑含有有機溶劑,且有機溶劑包含酯基或酮基。
在一些實施例中,上述方法的顯影劑包含2-庚酮、醋酸正丁酯、、醋酸異戊酯、環己酮、2-庚酮、5-甲基-2-己酮、2-羥基異丁酸甲酯、乳酸乙酯、丙二醇、單甲基醚醋酸酯、醋酸正戊酯、丙酸正丁酯、醋酸正己酯、丁酸正丁酯、丁酸異丁酯、2,5-二甲基-4-己酮、2,6-二甲基-4-庚酮、異丁酸丙酯、或丙酸異丁酯。
本發明另一實施例之微影圖案化的方法,包括:形成光阻層於基板上,其中光阻層包含聚合物主鏈、鍵結至聚合物主鏈的酸活性基團、與熱鹼產生劑;以射線曝光部份的光阻層,以切斷酸活性基團;在曝光部份的光阻層之後進行烘烤製程,其中熱鹼產生劑在烘烤製程時釋放鹼,且鹼與切斷的酸活性基團進行化學反應以調整光阻層的極性;以及在顯影劑中移除未曝光的部份光阻層,以形成圖案化的光阻層。
在一些實施例中,上述方法的烘烤製程包括:在第一溫度烘烤光阻層,其中第一溫度不會啟始熱鹼產生劑釋放鹼;以及在第二溫度烘烤光阻層,且第二溫度高於第一溫度,其中第二溫度啟始熱鹼產生劑釋放鹼。
在一些實施例中,上述方法中切斷的酸活性基團包含羧基。
在一些實施例中,上述方法的羧基為鍵結至聚合物主鏈的-COOH,其中切斷的酸活性基團與鹼的化學反應之後,光阻層在顯影劑中的溶解度降低。
在一些實施例中,上述方法的射線為極紫外線。
在一些實施例中,上述方法的光阻層更包括鍵結至聚合物主鏈的敏化劑,其中敏化劑能產生電子以回應極紫外線。
在一些實施例中,上述方法的光阻層更包括鍵結至聚合物主鏈的內酯。
本發明另一實施例之微影圖案化的方法,包括:形成光阻層於基板上,其中光阻層包括聚合物主鏈、鍵結至聚合物主鏈的酸活性基團、鍵結至聚合物主鏈的敏化劑、光酸產生劑、與熱鹼產生劑;對光阻層進行曝光製程;在第一溫度烘烤光阻層,且第一溫度低於熱鹼產生劑釋放鹼的啟始溫度;在第二溫度烘烤光阻層,且第二溫度高於啟始溫度;以及在第二溫度烘烤光阻層之後,在負型顯影劑中顯影光阻層,以形成圖案化的光阻層。
在一些實施例中,上述方法的第一溫度介於90℃至130℃之間,且第二溫度介於140℃至250℃之間。
在一些實施例中,上述方法的熱鹼產生劑包含的化學基係醯胺、磺胺、醯亞胺、亞胺、o-醯基肟、或苄氧羰基。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明實施例。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、 或更動。

Claims (1)

  1. 一種微影圖案化的方法,包括:形成一光阻層於一基板上,其中該光阻層包含一聚合物主鏈、鍵結至該聚合物主鏈的一酸活性基團、鍵結至該聚合物主鏈的一敏化劑、一光酸產生劑、與一熱鹼產生劑;對該光阻層進行一曝光製程;在一第一溫度下烘烤該光阻層,接著在一第二溫度下烘烤該光阻層,其中該第二溫度高於該第一溫度;以及在一顯影劑中顯影該光阻層,以形成一圖案化的光阻層。
TW106130974A 2017-05-30 2017-09-11 微影圖案化的方法 TW201901303A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/608,631 US10527941B2 (en) 2017-05-30 2017-05-30 Extreme ultraviolet photoresist and method
US15/608,631 2017-05-30

Publications (1)

Publication Number Publication Date
TW201901303A true TW201901303A (zh) 2019-01-01

Family

ID=64459575

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106130974A TW201901303A (zh) 2017-05-30 2017-09-11 微影圖案化的方法

Country Status (3)

Country Link
US (2) US10527941B2 (zh)
CN (1) CN108983546A (zh)
TW (1) TW201901303A (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10527941B2 (en) * 2017-05-30 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method
US10573519B2 (en) 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
JP7122020B2 (ja) * 2018-06-14 2022-08-19 国立大学法人大阪大学 レジストパターン形成方法
TWI820189B (zh) * 2018-08-30 2023-11-01 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置
CN114690472A (zh) * 2020-12-31 2022-07-01 上海仪电显示材料有限公司 彩色滤光基板的形成方法和加热装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10120674B4 (de) * 2001-04-27 2005-06-16 Infineon Technologies Ag Verfahren zur Strukturierung einer Photolackschicht
DE10120675B4 (de) * 2001-04-27 2005-07-21 Infineon Technologies Ag Verfahren zur Strukturierung einer Photolackschicht
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US10503070B2 (en) * 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US9921480B2 (en) * 2016-02-10 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist
US10527941B2 (en) * 2017-05-30 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method

Also Published As

Publication number Publication date
CN108983546A (zh) 2018-12-11
US11378884B2 (en) 2022-07-05
US20200133124A1 (en) 2020-04-30
US10527941B2 (en) 2020-01-07
US20180348639A1 (en) 2018-12-06

Similar Documents

Publication Publication Date Title
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US11378884B2 (en) Extreme ultraviolet photoresist and method
TWI706224B (zh) 微影圖案化方法與光阻
JP2013135066A (ja) パターン形成方法
KR20170003362A (ko) 극자외선 리소그래피용 네가티브 톤 현상액 조성물
US11809080B2 (en) Extreme ultraviolet photoresist with high-efficiency electron transfer
TWI737856B (zh) 微影圖案化方法
US7662542B2 (en) Pattern forming method and semiconductor device manufacturing method
CN108333866B (zh) 光刻图案化的方法
US11003076B2 (en) Extreme ultraviolet photoresist and method
JP3175697B2 (ja) 化学増幅系フォトレジスト
TWI761987B (zh) 負光阻及其顯影的方法
JP2005115118A (ja) パターン形成方法