TW201729013A - 微影方法 - Google Patents

微影方法 Download PDF

Info

Publication number
TW201729013A
TW201729013A TW105136102A TW105136102A TW201729013A TW 201729013 A TW201729013 A TW 201729013A TW 105136102 A TW105136102 A TW 105136102A TW 105136102 A TW105136102 A TW 105136102A TW 201729013 A TW201729013 A TW 201729013A
Authority
TW
Taiwan
Prior art keywords
photoresist
alg
acid
polar
exposure
Prior art date
Application number
TW105136102A
Other languages
English (en)
Other versions
TWI742010B (zh
Inventor
訾安仁
張慶裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201729013A publication Critical patent/TW201729013A/zh
Application granted granted Critical
Publication of TWI742010B publication Critical patent/TWI742010B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本揭露提供半導體裝置的形成方法與材料。此方法包含形成光阻於基板上。光阻包含酸活性基團(ALG)連接至極性單元。此方法亦包含以射線束曝光光阻、烘烤光阻;以及對光阻進行顯影製程。

Description

微影方法
本揭露關於半導體製程,更特別關於微影方法。
半導體積體電路(IC)產業已經歷快速成長一段時日。IC材料、設計、與製程工具的技術進步,使每一代的IC均比前一代的IC具有更小且更複雜的電路。在這些進展中,製程方法、工具、與材料均奮鬥以達更小結構尺寸的需求。
微影機制為投影圖案至基板(如半導體晶圓)上,其具有光敏層形成其上。圖案通常藉由穿過圖案化光罩的射線所定義。微影工具與方法在減少影像單元之線寬上,已具有顯著的進展。雖然現有的微影方法一般已適用於其發展目的,但仍未完全符合所有方面的需求。舉例來說,目其亟需在曝光顯影後改善光敏材料的保真度。
本揭露一實施例提供之微影方法包括:形成光阻於基板上,其中光阻包含酸活性基團(ALG)連接至極性單元;以射線束曝光光阻;烘烤光阻;以及對光阻進行顯影製程。
100‧‧‧製程
110‧‧‧基板
120、220‧‧‧光阻
120A、120B‧‧‧區域
124‧‧‧PAG
126、212‧‧‧ALG
128‧‧‧溶劑
130‧‧‧光源
135‧‧‧射線束
140‧‧‧光罩
210‧‧‧pALG
214‧‧‧極性單元
216‧‧‧連接基
220‧‧‧psALG
224‧‧‧極性轉換單元
310、310E‧‧‧改質光阻
320‧‧‧曝光區
330‧‧‧非曝光區
350‧‧‧酸組份
410‧‧‧圖案結構
500‧‧‧方法
502、504、506‧‧‧步驟
600‧‧‧半導體結構
第1A圖係一例中,光阻曝光製程的示意圖。
第1B圖係一些實施例中,光阻的示意圖。
第2A圖係一些實施例中,極性酸活性基團(pALG)的結構圖。
第2B圖係一些實施例中,極性轉換酸活性(psALG)基團的結構圖。
第3圖係一些實施例中,製作半導體裝置的方法其流程圖。
第4、5A、5B、與6圖係第3圖之方法之多種製作階段中,半導體裝置的剖視圖。
下述內容提供的不同實施例或實例可實施本揭露的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本揭露之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
本揭露提供用於形成半導體裝置的微影方法。在本揭露中,用語「微影」、「浸潤式微影」、「光微影」、與「光學微影」可交替使用。微影係用於微製作(如半導體製作) 的製程中,其可選擇性的移除部份的薄膜或基板。微影製程採用光將光罩的圖案(如幾何圖形)轉移至基板上的光敏層(如光阻)。光會導致光敏層之曝光區域中的化學變化,以增加或降低曝光區的溶解度。若曝光區的溶解度增加,則光敏層稱作正光阻。若曝光區的溶解度降低,則光敏層稱作負光阻。在曝光基板之前或之後可進行烘烤製程,比如曝光後烘烤製程。顯影製程採用顯影溶液,其選擇性地移除曝光區或非曝光區,以產生曝光圖案於基板上。接著可進行一系列的化學處理,使曝光圖案刻入或蝕刻至基板(或材料層中),而圖案化之光阻將保護下方之基板(或材料層)。在其他實施例中,可進行金屬沉積、離子佈植、或其他製程。最後,可採用合適的試劑移除(或剝除)剩餘的光阻,而基板則準備好進行製作電路的下個階段(即重複類似製程)。在複雜的積體電路(如現代的CMOS)中,可對基板進行多次的光微影循環。
第1A圖係光阻曝光之製程100的示意圖。製程100塗佈光阻120於基板110上。在一些實施例中,基板110包含矽。在其他實施例中,基板110可改為或額外包含其他合適的半導體材料,比如鍺(Ge)、矽鍺(SiGe)、碳化矽(SiC)、砷化鎵(GaAs)、鑽石、砷化銦(InAs)、磷化銦(InP)、碳化矽鍺(SiGeC)、或磷化鎵銦(GaInP)。基板110亦可包含多種結構如多種摻雜區、淺溝槽隔離(STI)區、源極/汲極結構、閘極堆疊、介電結構、及/或多層內連線。在一實施例中,基板110包含抗反射塗層、硬遮罩材料、及/或其他光敏層可圖案化的目標層。在一實施例中,基板110為CMOS製程技術之常見基板。然而,雖然 下述製程施加之基板的型態為半導體晶圓,但應理解其他基板與製程亦可採用本揭露,比如印刷電路板基板、鑲嵌製程、與薄膜電晶體液晶顯示器(TFT-LCD)基板與製程。
如第1B圖所示,光阻120可為正光阻材料或負光阻材料,且可具有多層結構。光阻120可採用化學放大(CA)光阻材料。在一實施例中,正型CA光阻材料包含聚合物材料(未圖示),其與酸反應後轉為可溶於顯影劑中。在其他實施例中,CA光阻材料可為負型,其包含之聚合物材料在與酸反應後,不溶於顯影劑中。光阻120亦可包含溶劑(未圖示)填充於聚合物中。溶劑包含丙二醇甲醚醋酸酯、丙二醇甲醚、γ-丁內酯、乳酸乙酯、環己酮、乙酸正丁酯、乙酮、二甲基甲醯胺、醇類(如異丙醇或乙醇)、或其他合適溶劑。軟烘烤製程可揮發部份溶劑。
在此實施例中,光阻120包含PAG(光酸產生劑)124與ALG(光活性基團)126。當PAG 124吸收光能,其將分解並產生酸。PAG 124可為曝光後產生酸的化合物如下。應理解的是,下述PAG可單獨使用或混合使用。合適的PAG可包含鎓鹽、硒鹽、鏻鹽、錪鹽、鋶鹽、有機鹵化合物、鄰-硝基苄基磺酸酯、N-亞胺基磺酸酯化合物、N-醯亞胺基磺酸酯化合物、重氮磺酸酯化合物、磺醯亞胺化合物、重氮二磺酸酯化合物、或二碸化合物。
在一些實施例中,ALG 126為結合ALG與鹼之功能的化合物。ALG 126可包含具有四級碳的龐大單位,其可作為優異的離去基團。ALG 126可擇自酯、第三丁基、第三丁氧基 羰基、異降冰片基、2-甲基-2-金剛烷基、2-乙基-2-金剛烷基、3-四氫呋喃(THF)、內酯、2-THF、或2-四氫吡喃(THP)基團。在多種實施例中,ALG 126包含交聯位點,其於熱烘烤後可與光阻聚合物交聯。在其他實施例中,ALG 126不含交聯位點,且在熱烘烤後擴散。在一些實施例中,ALG 126共價鍵結至(或連接至)光阻之聚合物的主鏈聚合物的主鏈可為聚(羥基苯乙烯)(PHS)、甲基丙烯酸酯、或PHS/甲基丙烯酸酯的混合物。鹼可包括含氮鹼,其可擇自任何合適的鹼如胺(-NH2、-NHR)、鋶胺(-SO2NH2、-SO2NHR)、-CONH2、-CONHR、-CSNH2、-CH=CHNH2、-CH=CHNHR、吡啶-NH2、苯基-NH2、吡咯-NH2、或噻吩-NH2、其中R為烷基、芳基、取代之烷基、取代之芳基、雜芳環、雜原子、環烷基、或取代之環烷基。
光阻120亦可包含添加劑,以輔助光阻120達到最高解析度。舉例來說,光阻120亦可包含界面活性劑,以幫助改善光阻120塗佈於材料表面上的能力。在另一例中,光阻120亦可包含淬息劑,其用以抑制光阻中產生酸、鹼、或自由基。有助於光阻圖案配置並改善光阻120的穩定性。在又一實施例中,光阻120亦可包含穩定劑,其可輔助曝光光阻120產生的酸免於不需要的擴散。
如第1A圖所示,光阻120位於基板110上的方法可為合適技術,比如旋轉塗佈技術。接著以光源130產生射線束135以曝光光阻120,且射線束135穿過光罩140。光罩140具有預定圖案。曝光製程會讓光阻圖案包含多個曝光區(或曝光結構),與多個非曝光區(或非曝光結構)。第1A圖顯示顏色深淺 不同的光阻120。顏色較淺的區域120A指的是光源130被阻擋的區域,因此未產生酸。顏色較深的區域120B指的是照光區,其產生酸以進行化學反應。
光源130可為多種光源,比如深紫外光(DUV)光源。在一例中,光源130可為極紫外光(EUV)光源。在一些例子中,可採用其他光源130如電子束寫入。在其他例中,曝光製程可採用其他射線束如離子束、x-光、或其他合適的曝光能量。此外,可在曝光製程前對光阻120進行預烘烤,以硬化並乾燥光阻120。
在曝光時,PAG 124將產生酸150,並使鍵結至聚合物的ALG自聚合物斷裂。正型的光阻120之溶解度將提升,因酸可斷裂聚合物的聚合物被酸斷裂,造成聚合物更親水。負型的光阻120之溶解度將下降,因酸催化之可交聯的聚合物將被酸催化並交聯,造成聚合物更疏水。
接著可對光阻120進行曝光後烘烤(PEB),再以任何合適製程顯影光阻120,以形成圖案於光阻120中。在圖案曝光及/或PEB製程後,光阻120中的PAG 124產生酸150,其增加或降低聚合物的溶解度。正型光阻之溶解度將提升,因酸可斷裂聚合物的聚合物被酸斷裂,造成聚合物更親水。負型光阻之溶解度將下降,因酸催化之可交聯的聚合物將被酸催化並交聯,造成聚合物更疏水。
PAG 124之重量與光阻120之總重的比例,介於約1:100至約7:100之間。上述重量比例大於或等於約7:100,有助於確保不需額外曝光。上述重量比例小於或等於約7:100,有 助於避免降低光阻組成的光穿透率。
ALG 126可控制光阻層之曝光區與非曝光區中的酸150其濃度。正光阻中曝光區之PAG 124產生的酸,與ALG反應並斷裂ALG,使光阻的聚合物之極性更親水。ALG 126亦中合過量的酸150,並避免酸150擴散至非曝光區。在非曝光區中,ALG 126可緩衝或中和來自曝光區的酸,以改善曝光區與非曝光區之酸對比。
接著可採用顯影溶液移除部份的光阻120。顯影溶液可移除光阻的曝光部份或非曝光部份,端視光阻型態而定。若光阻120包含負型光阻,則曝光部份不溶於顯影溶液並保留於基板上。若光阻120包含正型光阻,則曝光部份將溶於正型顯影溶液中,並保留非曝光部份。若採用負型顯影溶液,則非曝光部份將溶解,並保留曝光部份。保留的曝光部份(或非曝光部份)定義圖案。
雖然現有的微影方法可適用於其發展目的,但仍無法完全符合所有方面的需求。舉例來說,在曝光製程與PEB製程中,ALG自光阻120斷裂並離去會造成光阻120的質量損失,並使光阻圖案的膜縮減及關鍵尺寸(CD)縮減。本揭露提供的微影製程調整光阻,以降低質量損失。
第2A圖係一些實施例中,pALG(極性的ALG)210之示意圖。pALG 210包含ALG 212與極性單元214。此處用語「極性」指的是偶極矩。此實施例中,ALG 212與前述第1B圖中所述之ALG 126在許多方面類似。極性單元214可包含一或多個極性力官能基如-OH、=O、-S-、-P-、-P(O2)-、-C(=O)SH、 -C(=O)OH、-C(=O)O-、-O-、-N-、-C(=O)NH、-SO2OH、-SO2SH、-SOH、-SO2-、其他合適的極性官能基、及/或上述之組合。極性官能基可彼此鍵結以形成氫鍵。在一實施例中,極性基團214之結構如下:
在此實施例中,ALG 212與極性單元214一起耦接至化學鏈段中。在一些實施例中,化學鏈段可重複多次,比如重複x次(x為整數)。ALG 212可經連接基216耦接至極性單元214,且連接方式可為化學鍵、共價鍵、氫鍵、及/或離子鍵。連接基216可包含脂肪基或芳基。連接基216可為直鏈狀、支鏈狀、非支鏈狀、環狀、或非環狀之飽和且具有氫、氧、或鹵素的C1-9單元(如烷基、烯基、或苯)、及/或上述之任何組合。至少一極性單元214經由連接基216耦接至ALG 212。
第2B圖係一些實施例中,psALG(極性轉換ALG)220之示意圖。psALG 220包含ALG與極性轉換單元224。在微影曝光製程中,極性轉換單元224與PAG 124產生的酸反應,且由非極性態轉變成極性態。在此實施例中,極性轉換單元224可包含一或多個極性轉換官能基如縮醛、縮丙酮(acetonide)化合物、酸酐、其他合適的極性轉換官能基、及/或上述之組合。在一實施例中,極性轉換單元224之結構如下:
在此實施例中,ALG 212與極性轉換單元224一起耦接至化學鏈段中。在一些實施例中,化學鏈段可重複多次,比如重複y次(y為整數)。ALG 212可經連接基216耦接至極性轉換單元216,且連接方式可為化學鍵、共價鍵、氫鍵、及/或離子鍵。在一實例中,縮醛的極性轉換單元224或縮丙酮的極性轉換單元224,連接至光阻220之聚合物。
第3圖係一些實施例中,半導體製程中採用改質光阻310中pALG 210之方法500的流程圖。第4至6圖係一些實施例中,半導體結構600之剖視圖。
如第3與4圖所示,方法500之步驟502沉積改質光阻310於基板110上,且沉積方法可為旋轉塗佈技術。在此實施例中,改質光阻310包含PAG 124(如第1B圖所示)與pALG 210(如第2A圖所示)。在一實施例中,pALG 210與改質光阻310之莫耳比介於約5:100至約40:100之間。在其他實施例中,改質光阻310包含PAG 124與溶劑128(如第1B圖所示),以及psALG 220(如第2B圖所示)。在一實施例中,psALG 220與改質光阻310之莫耳比介於約5:100至約40:100之間。
如第3、5A、與5B圖所示,方法500之步驟504對改質光阻310進行微影曝光製程與曝光後烘烤(PEB)。以光源130之射線束135曝光改質光阻310,且射線束穿過具有預定圖案(見第5A圖)之光罩140。如此一來,光罩圖案具有多個曝光區320(如曝光結構)與非曝光區330。微影曝光製程可為本技術領域所知之多種型態,比如採用紫外光(UV)微影、深紫外光(DUV)微影、或極紫外光(EUV)微影的曝光系統。舉例來說,微影系統的射線束可為具有波長436nm(G線)或365nm(I線)的汞燈、具有波長248nm之氟化氪(KrF)準分子雷射、具有波長193nm之氟化氬(ArF)準分子雷射、或具有所需波長的其他光源。
在此實施例中,在曝光製程後對改質光阻310進行PEB,如第5B圖所示。在烘烤製程中,加熱改質光阻310。藉由化學放大製程,使光產生的酸形成更多酸。PEB的操作裝置可為加熱板、烘箱、及/或其他合適裝置。在一實施例中,PEB的溫度介於約80℃至約150℃之間,且歷時約30秒至約90秒之間。
在曝光製程與PEB製程中,對改質光阻310曝光可使其產生化學反應,以產生酸組份350。酸組份350使改質光阻310不溶於特定型態的顯影溶液中。第5A與5B圖顯示改質光阻310中顏色深淺不同的區域。光源130未照射非曝光區330,因此未產生酸組份。曝光區320產生酸組份350,進而產生化學反應以形成改質光阻310E。
當改質光阻310具有pALG 210時(搭配第2A圖所述之製程),曝光製程與PEB製程時曝光區320中的PAG 124會產 生酸組份350,其使pALG 210自改質光阻310E的聚合物主鏈斷裂。耦接至ALG 212的極性單元214之後可提供極性力,使ALG 212傾向於保留在改質光阻310E中,進而降低改質光阻310E的質量損失。
當改質光阻310具有psALG 220(搭配第2B圖所述之製程),曝光製程與PEB製程時曝光區320中的PAG 124會產生酸組份350,其使psALG 220自改質光阻310E的聚合物主鏈斷裂。與此同時,曝光區320中的極性轉換單元224會與酸組份350反應,並由非極性態轉換至極性態。如此一來,極性轉換單元224提供極性力,使ALG 212傾向於保留在改質光阻310E中,進而降低改質光阻310E的質量損失。
此外,由於曝光區與非曝光區之間的酸濃度差異,曝光製程與PEB製程時曝光區320中產生的酸組份350傾向於擴散至非曝光區330,造成曝光區320與非曝光區330之對比損失,且不利於光阻圖案保真度。極性單元214或轉換成極性態的極性轉換單元224所提供之極性力,可將擴散至非曝光區330之酸組份350吸引回曝光區320,以改善/維持擴散區與非擴散區之間的酸濃度對比。
如第3與6圖所示,方法500之步驟506以負型顯影劑(NTD)顯影改質光阻310。NTD溶解並移除非曝光區330中的部份改質光阻,而曝光區320中的改質光阻310E不溶解且保留為圖案結構410。在一些實施例中,NTD可包含乙酸正丁酯(nBA)溶解於有機溶劑中。NTD亦可包含2-庚酮、甲基異丁基甲醇(MIBC)、及/或其他合適溶液。此外,可進行沖洗製程如去離 子(DI)水的沖洗製程,以移除殘留粒子。
如此一來,形成圖案結構410時改質光阻310不具有質量損失,有助於保護圖案結構410並維持其保真度。由於ALG 212保留於改質光阻310(此時為圖案結構410)中,可提高圖案結構410於後續蝕刻製程中的抗蝕刻強度。
在方法500之前、之中、或之後可進行額外步驟。在其他實施例中,上述方法的某些步驟可省略或置換為其他步驟。舉例來說,方法可進行沖洗、乾燥、或其他合適步驟。圖案結構410可作為對下方之層狀物進行一或多道製程時的遮罩單元,且這些製程可為蝕刻、離子佈植、沉積、及/或其他合適製程如與CMOS製程相容的一般製程。接著可自基板實質上剝除圖案結構410。
基於上述內容,本揭露提供用於微影製程的方法。此方法採用之光阻具有ALG連接至極性單元或極性轉換單元以提供極性力,使ALG自光阻的聚合物斷裂後仍能保留於光阻中。此方法在曝光製程與曝光後烘烤製程中可降低質量損失,並維持光阻圖案的保真度。
本揭露提供許多不同實施例以製作半導體裝置,其比現有方法具有一或多種改良。在一實施例中,微影方法包括:形成光阻於基板上,其中光阻包含酸活性基團(ALG)連接至極性單元;以射線束曝光光阻;烘烤光阻;以及對光阻進行顯影製程。
在一實施例中,上述極性單元係-OH、=O、-S-、-P-、-P(O2)-、-C(=O)SH、-C(=O)OH、-C(=O)O-、-O-、-N-、 -C(=O)NH、-SO2OH、-SO2SH、-SOH、或-SO2-。
在一實施例中,上述連接至極性單元的ALG與光阻之莫耳比介於約5:100至約40:100之間。
在一實施例中,上述ALG經由連接基連接至極性單元,且連接基係直鏈狀、支鏈狀、非支鏈狀、環狀、或非環狀之飽和且具有氫、氧、或鹵素的C1-9單元。
在一實施例中,上述連接基係脂肪族或芳族。
在一實施例中,上述顯影步驟採用負型顯影劑(NTD)。
在一實施例中,上述顯影製程包含以NTD移除光阻之非曝光部份。
在一實施例中,上述顯影製程包括維持光阻的曝光部份完整,其中連接至極性單元之ALG保留於光阻的曝光部份中。
在另一實施例中,微影方法包括:形成光阻於基板上,其中光阻包含具有極性轉換單元的酸活性基團(ALG);以射線束曝光光阻,以改變極性轉換單元的極性狀態;烘烤光阻;以及對光阻進行顯影製程。
在一實施例中,上述以射線束曝光光阻,以改變極性轉換單元之極性狀態的步驟,包括極性轉換單元自非極性態轉變至極性態。
在一實施例中,上述極性轉換單元係縮醛、縮丙酮、或酸酐。
在一實施例中,上述ALG連接至極性轉換單元,且 連接至極性轉換單元之ALG與光阻的莫耳比介於約5:100至約40:100之間。
在一實施例中,上述ALG經由連接基連接至極性轉換單元,其中連接基係直鏈狀、支鏈狀、非支鏈狀、環狀、或非環狀之飽和且具有氫、氧、或鹵素的C1-9單元。
在一實施例中,上述連接基係脂肪族或芳族。
在一實施例中,顯影製程包含施加負型顯影劑(NTD)。
在一實施例中,顯影製程包含以NTD移除光阻的非曝光部份。
在一實施例中,顯影製程包含維持光阻的曝光部份完整,其中連接至極性轉換單元之ALG保留於光阻的曝光部份中。
本揭露亦提供用於微影圖案化之光阻,其包括:光酸產生(PAG)組份;酸活性基團(ALG);以及極性功能組份與ALG相連,其中極性功能組份具有極性單元,或在接收射線曝光或烘烤後自非極性態轉變至極性態。
在一實施例中,上述連接至ALG的極性功能組份包含極性單元,且極性單元係-OH、=O、-S-、-P-、-P(O2)-、-C(=O)SH、-C(=O)OH、-C(=O)O-、-O-、-N-、-C(=O)NH、-SO2OH、-SO2SH、-SOH、或-SO2-,其中極性單元經由連接基連接至ALG,且連接基係直鏈狀、支鏈狀、非支鏈狀、環狀、或非環狀之飽和且具有氫、氧、或鹵素的C1-9單元。
在一實施例中,上述連接至ALG之極性功能單元包 含連接至ALG之極性轉換單元,其中極性轉換單元係縮醛、縮丙酮、或酸酐。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本揭露。本技術領域中具有通常知識者應理解可採用本揭露作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本揭露精神與範疇,並可在未脫離本揭露之精神與範疇的前提下進行改變、替換、或更動。
110‧‧‧基板
130‧‧‧光源
135‧‧‧射線束
140‧‧‧光罩
212‧‧‧ALG
214‧‧‧極性單元
224‧‧‧極性轉換單元
310、310E‧‧‧改質光阻
320‧‧‧曝光區
330‧‧‧非曝光區
350‧‧‧酸組份
600‧‧‧半導體結構

Claims (1)

  1. 一種微影方法,包括:形成一光阻於一基板上,其中該光阻包含一酸活性基團連接至一極性單元;以一射線束曝光該光阻;烘烤該光阻;以及對該光阻進行一顯影製程。
TW105136102A 2016-02-09 2016-11-07 微影方法與光阻 TWI742010B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/019,836 2016-02-09
US15/019,836 US11822251B2 (en) 2016-02-09 2016-02-09 Photoresist with polar-acid-labile-group

Publications (2)

Publication Number Publication Date
TW201729013A true TW201729013A (zh) 2017-08-16
TWI742010B TWI742010B (zh) 2021-10-11

Family

ID=59496242

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105136102A TWI742010B (zh) 2016-02-09 2016-11-07 微影方法與光阻

Country Status (3)

Country Link
US (2) US11822251B2 (zh)
CN (1) CN107045263A (zh)
TW (1) TWI742010B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11605538B2 (en) * 2018-10-31 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Protective composition and method of forming photoresist pattern

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI294553B (en) * 2001-06-15 2008-03-11 Shinetsu Chemical Co Polymer,resist composition and patterning process
TW574607B (en) * 2001-06-25 2004-02-01 Shinetsu Chemical Co Polymers, resist compositions and patterning process
US7033728B2 (en) * 2003-12-29 2006-04-25 Az Electronic Materials Usa Corp. Photoresist composition
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US7838199B2 (en) * 2007-02-28 2010-11-23 Rohm And Haas Electronic Materials Llc Polymers and photoresist compositions
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
US8034547B2 (en) * 2007-04-13 2011-10-11 Fujifilm Corporation Pattern forming method, resist composition to be used in the pattern forming method, negative developing solution to be used in the pattern forming method and rinsing solution for negative development to be used in the pattern forming method
US8632942B2 (en) * 2007-06-12 2014-01-21 Fujifilm Corporation Method of forming patterns
JP5071658B2 (ja) * 2008-02-14 2012-11-14 信越化学工業株式会社 レジスト材料、レジスト保護膜材料、及びパターン形成方法
JP5223775B2 (ja) * 2009-05-25 2013-06-26 セントラル硝子株式会社 液浸レジスト用撥水性添加剤
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
JP2012031381A (ja) * 2010-03-12 2012-02-16 Central Glass Co Ltd 重合性単量体、重合体およびそれを用いたレジスト材料およびそのパターン形成方法
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
TWI506370B (zh) * 2011-01-14 2015-11-01 Shinetsu Chemical Co 圖案形成方法及使用於該方法之光阻組成物
JP5440515B2 (ja) * 2011-01-14 2014-03-12 信越化学工業株式会社 レジスト材料及びパターン形成方法
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
JP5914196B2 (ja) * 2012-06-13 2016-05-11 富士フイルム株式会社 パターン形成方法、感活性光線性又は感放射線性樹脂組成物、及び、レジスト膜、並びに、これらを用いる電子デバイスの製造方法
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
JP6003873B2 (ja) * 2013-11-28 2016-10-05 信越化学工業株式会社 レジスト材料並びにこれを用いたパターン形成方法
US9529265B2 (en) 2014-05-05 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of preparing and using photosensitive material

Also Published As

Publication number Publication date
CN107045263A (zh) 2017-08-15
US11822251B2 (en) 2023-11-21
TWI742010B (zh) 2021-10-11
US20170227852A1 (en) 2017-08-10
US20230384683A1 (en) 2023-11-30

Similar Documents

Publication Publication Date Title
US8323870B2 (en) Method and photoresist with zipper mechanism
US9012132B2 (en) Coating material and method for photolithography
TW201800861A (zh) 微影圖案化方法
KR20160045645A (ko) 감광성 재료 및 리소그래피 방법
US11378884B2 (en) Extreme ultraviolet photoresist and method
US7662542B2 (en) Pattern forming method and semiconductor device manufacturing method
TW201900593A (zh) 微影方法
TWI737856B (zh) 微影圖案化方法
KR20130039124A (ko) 반도체 소자의 패턴 형성방법
TWI718209B (zh) 微影方法
US20230384683A1 (en) Photoresist with polar-acid-labile-group
CN108333866B (zh) 光刻图案化的方法
US20170017158A1 (en) Photolithography Process and Materials
CN105990104B (zh) 制造一半导体装置的方法
KR19990003857A (ko) 감광막 형성 방법
CN108231550B (zh) 半导体装置的制作方法
US20160252815A1 (en) Photoresist with Floating-OOB-Absorption Additive
US9235118B1 (en) Patterning methods and methods of making a photoresist composition using a photoresist additive
KR102481142B1 (ko) Euv 리소그래피를 위한 네가티브 톤 포토레지스트
TWI754801B (zh) 半導體裝置的製造方法
TW202238276A (zh) 微影的方法
JP2005115118A (ja) パターン形成方法
KR20010058558A (ko) 노광면적 차이에 따른 산기의 확산길이 차이를 감소시킬수 있는 포토레지스트 패턴 형성 방법
KR20070000064A (ko) 에이알에프 포토레지스트 조성물 및 이를 이용한 플로우용에이알에프 포토레지스트 콘택홀 패턴 형성 방법
KR20110013152A (ko) 포지티브형 포토레지스트 패턴의 조도를 개선하는 혼합액 조성물 및 그 사용방법