TW202238276A - 微影的方法 - Google Patents

微影的方法 Download PDF

Info

Publication number
TW202238276A
TW202238276A TW110123452A TW110123452A TW202238276A TW 202238276 A TW202238276 A TW 202238276A TW 110123452 A TW110123452 A TW 110123452A TW 110123452 A TW110123452 A TW 110123452A TW 202238276 A TW202238276 A TW 202238276A
Authority
TW
Taiwan
Prior art keywords
photoresist layer
photoresist
lithography
molecular weight
layer
Prior art date
Application number
TW110123452A
Other languages
English (en)
Inventor
翁明暉
張慶裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202238276A publication Critical patent/TW202238276A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一種微影的方法包括形成光阻層在基板上、對光阻層進行處理以形成具有第一分子量之光阻層的上部和具有小於第一分子量的第二分子量之光阻層的下部、對光阻層進行曝光製程、以及對光阻層進行顯影製程以形成圖案化光阻層。

Description

減少缺陷的微影技術
微影(lithography)製程廣泛地使用在積體電路(integrated circuit, IC)的製造中,藉由微影技術將各種IC圖案轉移至工作件上以形成IC裝置。一般而言,微影製程包括形成光阻層在工作件上、在圖案化輻射中曝光此光阻層、以及顯影已曝光之光阻層,藉此形成圖案化光阻層。圖案化光阻層可作為遮罩件,並在後續IC製程中,例如蝕刻製程,圖案化光阻層的圖案轉移至工作件上。圖案化光阻層的品質直接影響IC裝置的品質。隨著IC技術持續地朝向更小節點的方向邁進(例如,14奈米、10奈米、和更小尺寸),在微影製程中可能會產生缺陷,例如相連開口(bridge)或半開開口(blind)。舉例來說,使用高溶解性的聚合物作為光阻層時,未曝光區域的光阻層可能會在顯影過程中損失,進而導致相連開口之缺陷。在另一方面,使用低溶解性的聚合物作為光阻層時,產生的浮渣在後續的除渣操作中導致相連開口之缺陷。因此,微影技術亟需改善。
以下的揭示內容提供許多不同的實施例或範例,以展示本揭示案的不同特徵。以下將揭示本揭示案各部件及其排列方式之特定範例,用以簡化本揭示案敘述。當然,這些特定範例並非用於限定本揭示案。例如,若是本揭示案以下的發明內容敘述了將形成第一結構於第二結構之上或上方,即表示其包括了所形成之第一及第二結構是直接接觸的實施例,亦包括了尚可將附加的結構形成於上述第一及第二結構之間,則第一及第二結構為未直接接觸的實施例。此外,本揭示案說明中的各式範例可能使用重複的參照符號及/或用字。這些重複符號或用字的目的在於簡化與清晰,並非用以限定各式實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖示中一元件或特徵部件與另一(些)元件或特徵部件的關係,可使用空間相關用語,例如「在...之下」、「下方」、「下部」、「上方」、「上部」及諸如此類用語。除了圖示所繪示之方位外,空間相關用語亦涵蓋使用或操作中之裝置的不同方位。當裝置被轉向不同方位時(例如,旋轉90度或者其他方位),則其中所使用的空間相關形容詞亦將依轉向後的方位來解釋。
微影製程包括形成光阻層在工作件上,以及以圖案化輻射曝光此光阻層,圖案化輻射例如深紫外光(deep ultraviolet, DUV) 圖案化輻射、極紫外光(extreme ultraviolet, EUV) 圖案化輻射、或電子束圖案化輻射。對於先進技術的節點(例如,14奈米、10奈米、或更小),微影製程使用較小波長(例如波長小於255奈米)的輻射源以達到更精細的微影解析度極限。先進微影材料,例如化學放大光阻劑(chemically amplified resist, CAR),的導入已改善光阻層對輻射的靈敏性,藉此最大化輻射的使用度。化學放大光阻劑材料經輻射的照射後即可產生多個化學反應,藉此強化材料對輻射的反應。由化學放大光阻劑材料組成的光阻層包括不受IC製程(例如蝕刻製程)影響的聚合物、酸產生組成(例如光酸產生劑(photoacid generator, PAG))、以及溶劑組成。光酸產生劑經輻射的照射後立即產生酸,酸可作為引發化學反應之催化劑,且化學反應可提升(或減少)光阻層中曝光部分的溶解度。舉例而言,一些實施例的光酸產生劑產生的酸可催化裂解原本鍵結(連接)至聚合物的酸不穩定基團(acid labile group, ALG),藉此改變光阻層中曝光部分的溶解度。
光阻層經圖案化輻射曝光之後,可在顯影劑(developer)(意即,一種化學溶液)中顯影光阻層。顯影劑移除光阻層的一部分(例如,正型光阻層的曝光部分或是負型光阻層的未曝光部分),進而形成圖案化光阻層。在後續製程中,例如在蝕刻製程或佈植製程中,圖案化光阻層可作為遮罩件以轉移圖案化光阻層的圖案(在此意指光阻圖案)至工作件上。顯影製程通常分為兩種:正型顯影(positive tone development, PTD)製程和負型顯影(negative tone development, NTD)製程。正型顯影使用正型顯影劑,通常是指顯影劑選擇性溶解並移除光阻層的曝光部分。負型顯影使用負型顯影劑,通常是指顯影劑選擇性溶解並移除光阻層的未曝光部分。一般而言,正型顯影劑為水溶液鹼性顯影劑例如氫氧化四甲銨(TMAH),負型顯影劑為有機顯影劑例如乙酸正丁酯(n-BA)。
當正型顯影製程或負型顯影製程試圖達到先進技術節點對微影解析度的要求時,正型顯影製程和負型顯影製程各自具有缺點。負型顯影製程經常無法在光阻層上產生足夠的曝光部分和未曝光部分之間的對比度(意即,負型顯影製程導致較差的光阻對比),從而導致線邊緣粗糙度(line edge roughness, LER)和線寬粗糙度(line width roughness, LWR) 高於預期,及/或低圖案保真度(patterning fidelity)。雖然正型顯影製程可達高光阻顯影對比,但可觀察到正型顯影製程會產生開口相連或半開開口之缺陷。
本揭示案因此提出減少光阻層開口相連和半開開口之缺陷的光阻材料和相關的微影技術。在一些實施例中,本揭示案的光阻材料和相關的微影技術提供一種光阻層,其所含的聚合物之重量平均分子量從光阻層的底部到光阻層的頂部遞增。換言之,位在光阻層上部的聚合物具有較大分子量,而位於光阻層下部的聚合物具有較小分子量。在顯影過程中,光阻層上部的較大分子量之聚合物具有較低蝕刻速率,因此可降低在未曝光區域中光阻層的損失。在顯影過程中,光阻層下部的較小分子量之聚合物具有較高蝕刻速率,因此可減少浮渣(scum)的生成。再者,光阻層上部的聚合物具有較大分子量和較高玻璃轉化溫度(glass transition temperature, Tg)可抑制酸的擴散。因此,可改善線寬粗糙度和局部臨界尺寸均勻度(local critical dimension uniformity, LCDU)。
第1圖根據本揭示案多種實施例繪示在IC製程中可用於形成光阻層的光阻材料10。用於微影製程的輻射曝光光阻材料10後,光阻材料10的性質(例如溶解度及/或極性)將改變。用於微影製程的輻射可為深紫外光(例如氟化氪(KrF)雷射的248奈米輻射或氟化氬(ArF)雷射的193奈米輻射)、極紫外光(例如13.5奈米輻射)、電子束輻射、離子束輻射、或其他合適輻射。輻射的波長可小於250奈米。在後續說明中,光阻材料10為用於形成正型光阻層的正型光阻材料,即光阻材料10的曝光部分將溶於顯影劑,而光阻材料10的未曝光部分仍不溶於顯影劑。第1圖已簡化以利清楚地了解本揭示案的概念。光阻材料10可加入額外特徵,且其他實施例的光阻材料10可置換、調整、或省略一些後續描述的特徵。
如第1圖所示,光阻材料10包含不受IC製程影響的聚合物12。舉例來說,聚合物12對蝕刻製程具有抗蝕刻性,及/或對佈植製程具有抗佈植性。在一些實施例中,聚合物12包含任何數目的碳耦接於碳鏈中,藉此形成碳主鏈。在一些實施例中,聚合物12包含酚醛樹脂(phenol formaldehyde resin)、降冰片烯-馬來酸酐共聚物(poly(norbornene)-co-malaic anhydride (COMA) polymer)、聚羥基苯乙烯(poly(4-hydroxystyrene) (PHS) polymer)、酚醛(電木)聚合物(phenol-formaldehyde (hakelite) polymer)、聚乙烯、聚丙烯、聚碳酸酯、聚酯、或丙烯酸酯為主的聚合物如聚甲基丙烯酸甲酯(PMMA)或聚甲基丙烯酸(PMAA)。
聚合物12具有鍵結(或連接)至碳主鏈的一或多個官能基,比如酸不穩定基團組成14(又稱作溶解抑制劑)及/或光阻組成16。在曝光製程之後,酸不穩定基團組成14使光阻材料10產生化學變化。舉例來說,當酸不穩定基團組成14暴露在酸時,酸不穩定基團組成14將自聚合物12裂解,進而改變光阻材料10之曝光部分的溶解度及/或極性。在一些實施例中,酸不穩定基團組成14包含第三丁氧羰基( tert-butoxycarbonyl, tBOC)。第2A與第2B圖根據本揭示案的多種實施例繪示光阻材料中的例示性酸不穩定基團之化學結構,其中例示性酸不穩定基團例如酸不穩定基團組成14以及光阻材料例如光阻材料10。第2A圖所示,酸不穩定基團組成14為甲基環戊基,其經由共價鍵鍵結至聚合物12的羧基(特別是羧基的氧)。在第2B圖中,酸不穩定基團組成14為乙基環戊基,其經由共價鍵鍵結至聚合物12的羧基(特別是羧基的氧)。
請再參閱第1圖,在一些實施例中,酸不穩定基團組成14包括可交聯官能基22,可交聯官能基22例如烯烴、炔烴、三氮烯、或其他合適的官能基。舉例來說,當對包含光阻材料10的光阻層進行處理時,可交聯官能基22可相應地和其他可交聯官能基產生反應,而使光阻層的上部產生交聯反應。對包含光阻材料10的光阻層進行的處理方式將於第5A圖和第5B圖描述。第3A圖和第3B圖根據本揭示案的一些實施例繪示光阻材料中的例示性可交聯官能基之化學結構,其中例示性可交聯官能基例如可交聯官能基22,以及光阻材料例如光阻材料10。可交聯官能基有利於光阻材料的酸不穩定基團組成(例如光阻材料10的酸不穩定基團組成14)進行交聯反應。如第3A圖所示,可交聯官能基22包含烯基鍵結至酸不穩定基團組成14。如第3B圖所示,可交聯官能基22包含炔基鍵結至酸不穩定基團組成14。
請再參閱第1圖,在一些實施例中,當對包含光阻材料10的光阻層進行處理時,交聯劑32的使用可有助於可交聯官能基22相應地和鍵結至酸不穩定基團組成(未繪出)之其他可交聯官能基(未繪出)的交聯反應,藉此在光阻層的上部產生交聯反應。第4A圖至第4D圖根據本揭示案的一些實施例繪示光阻材料中的例示性交聯劑的化學結構,其中例示性交聯劑例如交聯劑32,以及光阻材料例如光阻材料10。如第4A圖所示,交聯劑32可能包含至少兩個可交聯官能基34,例如第4A圖中四個可交聯官能基34,並且每一個可交聯官能基34可鍵結至可交聯官能基22(第1圖)。換句話說,交聯劑32可鍵結至至少兩個酸不穩定基團組成14。在一些實施例中,為了使光阻層所含的聚合物之分子量從光阻層的底部到光阻層的頂部順利地遞增,可交聯官能基34的數量等於或大於3。可交聯官能基34可包括烯烴、炔烴、三氮烯、或其他合適的可交聯官能基。如第4B圖所示,交聯劑32可包括四個烯基,這四個烯基可鍵結至四個可交聯官能基22。如第4C圖所示,交聯劑32可包括三個烯基,這三個烯基可鍵結至三個可交聯官能基22。如第4D圖所示,交聯劑32可包括可交聯官能基34和氟。含氟交聯劑32具有流動性。舉例來說,在含有光阻材料10的光阻層之形成過程中,含氟交聯劑32流至光阻層的表面。含氟交聯劑32的流動性有助於在光阻層的上部發生交聯反應,而非在光阻層的下部發生交聯反應。在一些實施例中,可藉由調整修改第4B圖和第4C圖的交聯劑32而使其帶有氟。例如,對第4A圖的交聯劑32進行氟化,以形成如第4D圖所示的含氟交聯劑32。
請再參閱第1圖,光阻組成16可化學鍵結(或連接)至聚合物12。光阻組成16設置以與光阻材料10的其他組成產生反應。在一些實施例中,光阻組成16包含熱酸產生劑(thermal acid generator, TAG)組成、(鹼性)淬火劑(quencher)組成、發色團(chromophore)組成、界面活性劑(surfactant)組成、及/或其他合適的組成,端視光阻材料10的需求而定。本揭示案之其他實施例中,光阻組成16與光阻材料10的組成產生反應,但光阻組成16並非化學鍵結 (或連接) 至如第1圖所示的聚合物12。
光阻材料10更包括酸產生組成,例如光酸產生劑組成18,光酸產生劑組成18吸收輻射後產生酸。當輻射曝光光酸產生劑組成18時,光酸產生劑組成18從而可催化酸不穩定基團組成14自聚合物12裂解,使得位在光阻材料10的曝光部分中的酸不穩定基團組成14不受到保護,並且改變光阻材料10的曝光部分之特性如極性及/或溶解度。舉例來說,當使用達到既定曝光劑量臨界值的輻射來曝光光阻材料10時,光阻材料10的曝光部分在顯影劑中的溶解度將增加(針對正型光阻材料)。在一些實施方式中,光酸產生劑組成18包含苯環為主的官能基團、雜環為主的官能基團、其他合適的官能基團、或上述之組合。在一些光阻材料10包含淬火劑組成之實施例中,淬火劑可中和酸,因此焠火劑可抑制光酸產生劑組成18產生的酸與酸不穩定基團組成14之間的反應。在一些實施方式中,淬火劑為光可分解鹼(photo-decomposable base, PDB)組成。
混合聚合物12、酸不穩定基團組成14、光阻組成16、和光酸產生劑組成18至溶劑20中以形成光阻材料10。在一些實施例中,溶劑20為水相溶劑。在一些實施例中,溶劑20為有機相溶劑,例如丙二醇甲醚醋酸酯(propylene glycol monomethyl ether acetate, PGMEA)、丙二醇甲醚(propylene glycol monomethyl ether, PGME)、丙二醇乙醚(propyleneglycol monoethylether, PGEE)、γ-丁內酯(gamma-butyrolacetone, GML)、環己酮與醋酸正丁酯(cyclohexanone, n-butyl acetate, CHN)、甲基異丁基甲醇(methyl isobutyl carbinol, MIBC)、2-庚酮、其他合適的有機溶劑為主的溶劑、或上述之組合。
第5A圖至第5D圖根據本揭示案的一些實施例繪示微影製程的其中一個階段。如第5A圖所示,對光阻材料10進行處理。光阻材料10包括如第1圖所描述之聚合物12、酸不穩定基團組成14、可交聯官能基22、和其他組成(未繪出於第5A圖)。對含有光阻材料10的光阻層進行處理之後,光阻層內部形成交聯梯度,意即交聯程度從光阻層的底部到光阻層的頂部遞增。舉例來說,在光阻層的下部沒有發生交聯反應,而在光阻層的上部發生完整的交聯反應。在一些實施例中,進行的處理為使用電磁波的處理。例如,電磁波可包含無線電波、微波、紅外光、可見光、紫外光、其他合適的電磁波。電磁波的波長可為單一波長或是寬頻波長。在一些實施例中,電磁波的波長小於約190奈米或大於約300奈米。舉例來說,波長的主峰可為365奈米、172奈米、156奈米、120奈米、或類似者。在一些實施例中,進行的處理為使用紫外光的處理。在一些實施例中,對處理加以控制,使得只在光阻層的上部發生完整的交聯反應。藉由控制電磁波的能量和處理的時間以形成具有不同交聯程度的光阻層。例如,紫外光的能量可少於約500瓦(W),並且處理的時間可少於約300秒,例如約30秒至約180秒之間。可依據光阻材料10內不同的聚合物12而使用不同的製程條件。
如第5A圖所示,在進行處理之後,透過交聯劑32和可交聯官能基22,聚合物12的數個部分發生交聯反應。在一些實施例中,交聯劑32的每一個可交聯官能基34可鍵結至聚合物12的一部分。因此,藉由具有三個或四個可交聯官能基34的單個交聯劑32,聚合物12的三或四個部分可產生交聯反應,進而增加聚合物12的分子量。連接聚合物12的一部分的可交聯官能基22可以和連接另一個聚合物12的一部分的可交聯官能基22產生鍵結,如第5A圖所示。在一些實施例中,透過交聯劑32使聚合物12的數個部分交聯之分子量大於透過可交聯官能基22使聚合物12的數個部分交聯之分子量。無論是透過交聯劑32或可交聯官能基22,位在光阻層的上部之反應聚合物12的數個部分發生交聯反應,而位在光阻層的下部之反應聚合物12的數個部分沒有發生交聯反應。因此,光阻層的上部具有的分子量大於光阻層的下部具有的分子量。
如第5B圖所示,交聯劑32為含氟交聯劑32,在光阻層的形成過程中,含氟交聯劑32流至光阻層的上部。在一些實施例中,進行處理的製程容許範圍(window)較大時,交聯反應可能會發生在光阻層的整個厚度內。因為交聯劑32(含氟交聯劑32)的位置較接近光阻層的上表面,再加上,透過交聯劑32使聚合物12的數個部分交聯之分子量大於透過可交聯官能基22使聚合物12的數個部分交聯之分子量,所以光阻層的上部具有的分子量大於光阻層的下部具有的分子量。
如第5C圖和第5D圖所示,除了使用電磁波進行處理之外,取而代之,可對光阻材料10進行熱處理。光阻材料10包括如第1圖所描述之聚合物12、酸不穩定基團組成14、可交聯官能基22、和其他組成(未繪出)。對含有光阻材料10的光阻層進行熱處理之後,在光阻層的上部發生交聯反應。在一些實施例中,光阻層內部形成交聯梯度,意即交聯程度從光阻層的底部到光阻層的頂部遞增。舉例來說,在光阻層的下部沒有發生交聯反應,而在光阻層的上部發生完整的交聯反應。熱處理可包括加熱含有光阻材料10的光阻層至低於約150℃的溫度並且加熱時間少於約150秒,以確保在光阻層的上部發生交聯反應。例如,熱處理可為加熱光阻層至低於約130℃的溫度並且加熱時間為約10秒至約120秒之間。若溫度高於150℃並且加熱時間多於150秒,則整個光阻層都會發生交聯反應。可依據光阻材料10內不同的聚合物12而使用不同的製程條件。
熱自由基起始劑(thermal radical initiator)可啟動聚合物12的數個部分的交聯反應。第6A圖和第6B圖根據本揭示案的一些實施例繪示光阻材料中的例示性熱自由基起始劑的化學結構,其中例示性熱自由基起始劑例如熱自由基起始劑36,以及光阻材料例如光阻材料10。熱自由基起始劑36可能會在高溫下分解。舉例來說,在交聯反應開始之後,熱自由基起始劑36在熱處理的溫度下分解。
第7圖根據本揭示案的一些實施例繪示微影製程的其中一個階段。如第7圖所示,在進行電磁波處理或熱處理以形成具有上部的分子量大於下部的分子量之光阻層之後,使用輻射曝光此光阻層的數個部分,使得位在曝光部分中的光酸產生劑組成18(第1圖)吸收輻射後產生酸,並催化酸不穩定基團組成14自聚合物12裂解。在一些實施例中,對光阻層進行曝光後烘烤(post exposure bake, PEB)以使酸不穩定基團組成14自聚合物12裂解,如第7圖所示。光酸產生劑組成18從而使位在曝光部分中的酸不穩定基團組成14不受到保護,並且使聚合物的支鏈單元轉變成羧基組成38,例如COOH。上述反應讓光阻層的曝光部分變得較親水性,並且增加光阻層的曝光部分對顯影劑(例如,正型顯影劑)的溶解度。舉例來說,當顯影劑穿透曝光部分時,顯影劑的鹼與含羧基組成38發生反應以形成離子對,因此顯影劑可溶解曝光部分。以正型顯影劑為例,顯影劑可為2.38%TMAH。顯影劑自光阻層移除裂解的酸不穩定基團組成14和交聯劑32。在一些實施例中,交聯劑32與二個、三個或四個酸不穩定基團組成14一起鍵結的分子量少於約1000。
第8A圖至第8F圖根據本揭示案的一些實施例繪示部分或完整工作件在各個製作階段(例如與微影製程相關的製作階段)之局部截面圖。工作件200描述為積體電路裝置,如微處理器、記憶體、及/或其他積體電路裝置,的製造過程之中間階段。在一些實施例中,工作件200可為部分的積體電路晶片或單晶片系統(system on chip, SoC),其中晶片包含多種被動與主動的微電子裝置如電阻、電容、電感、二極體、p型場效電晶體、n型場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極接面電晶體、橫向擴散金氧半電晶體、高壓電晶體、高頻電晶體、鰭狀場效電晶體、其他合適的積體電路構件、或上述之組合。第8A圖至第8F圖經簡化以利清楚了解本揭示案的概念。工作件200可加入額外特徵,且其他實施例的工作件200可置換、調整、或省略一些後續描述的特徵。
如第8A圖所示,工作件200包含晶圓210,其包含基板(例如半導體基板)、遮罩(亦指稱光罩或倍縮光罩(reticle))、或任何基體材料,其中可在晶圓210上進行製程以提供用於形成積體電路裝置的多種結構的材料層。晶圓210包含多種材料層如介電層、半導體、及/或導電層,其設置以形成積體電路結構如摻雜區/結構、內連線結構、其他結構、或上述之組合,端視積體電路製程的階段而定。在此實施例中,晶圓210包含半導體基板如矽基板。在其他或額外實施例中,晶圓210包含另一半導體元素如鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。在其他實施例中,晶圓210為絕緣層上半導體基板,比如絕緣層上矽基板、絕緣層上矽鍺基板、或絕緣層上鍺基板。絕緣層上半導體基板的製作方法可採用隔離佈植氧、晶圓接合、及/或其他合適方法。
材料層220(又稱作下方層)位於晶圓210上,用以進行後續製程。然而本揭示案其他實施例可省略材料層220,因此直接對晶圓210進行製程。在一些實施例中,材料層220包含導電材料或半導體材料,比如金屬或金屬合金。在一些實施方式中,金屬包含鈦、鋁、鎢、鉭、銅、鈷、釕、其他合適金屬、或上述之組合。在一些實施例中,金屬合金包含金屬氮化物、金屬硫化物、金屬硒化物、金屬氧化物、金屬矽化物、其他合適的金屬合金、或上述之組合。在一些實施例中,材料層220為硬遮罩層,圖案化硬遮罩層以用於工作件200的後續製程中。在一些實施例中,材料層220為抗反射塗層。在一些實施例中,材料層220為用於形成工作件200中的閘極結構(例如閘極介電層及/或閘極)、源極/汲極結構(例如磊晶的源極/汲極)、及/或接觸特徵件(例如工作件200的多層內連線的導電或介電結構)的層狀物。在一些實施例中,工作件200將製作成用於圖案化積體電路裝置的光罩,而晶圓210可為光罩基板,且晶圓210包含透明材料如石英或低熱膨脹材料如氧化鈦矽。在這些實施例中,可對材料層220進行製程以形成積體電路圖案於其中,且材料層220可為吸收層。舉例來說,材料層220包含鉻。
光阻層230形成於晶圓210上的方法可為任何合適製程。光阻層230又稱作光敏層、成像層、圖案化層、或輻射敏感層。光阻層230對微影曝光製程採用的輻射(如深紫外光、極紫外光、電子束、離子束、及/或其他合適輻射)敏感。在一些實施例中,光阻層230對波長小於約250奈米的輻射敏感。在一些實施例中,光阻層230的形成方法為旋轉塗佈(spin coating)液態的光阻材料,例如上述之光阻材料10,至待進行製程的材料層(如材料層220)上。舉例來說,光阻層230包含聚合物12、鍵結至可交聯官能基22的酸不穩定基團組成14、光阻組成16、光酸產生劑組成18、交聯劑32、及/或其他合適的光阻組成混合於溶劑20中。在一些實施例中,光阻層230更包含熱自由基起始劑36混合於溶劑20中。在旋轉塗佈液態的光阻材料之後且在進行曝光製程之前,可對光阻層230進行預烘烤製程以揮發溶劑(如溶劑20),並使形成於材料層220上的液態光阻材料緻密化/固化。在一些實施例中,光阻層230更包括含氟交聯劑32,並且在光阻層230的旋轉塗佈過程中,含氟交聯劑32流至上部245(第8B圖)。在一些實施例中,在形成光阻層230前先形成抗反射塗層於材料層220上,因此光阻層230形成於抗反射塗層上。抗反射塗層可為無氮抗反射塗層,其材料可為氧化矽、碳氧化矽(silicon oxygen carbide, SOC)、電漿增強化學氣相沉積的氧化矽(plasma enhanced chemical vapor deposited silicon oxide, PECVD-SiO 2)、其他合適材料、或上述之組合。在一些實施方式中,可在材料層220與光阻層230之間形成超過一層的層狀物(包含一或多層的抗反射塗層)。
如第8B圖所示,對光阻層230進行處理。處理可為電磁波處理或熱處理,如第5A圖至第5D圖所描述。如第5A圖至第5C圖所述,對處理加以控制,使得光阻層230的上部245發生完整的交聯反應,光阻層230的下部250發生部分的交聯反應或無交聯反應。在一些實施例中,交聯程度從下部250到上部245遞增。因為對處理進行控制,所以電磁波或熱能無法抵達下部250而避免下部250發生交聯反應。因此,光阻層230的上部245具有第一分子量,而光阻層230的下部250具有小於第一分子量的第二分子量。或者,當使用含氟交聯劑32(第5B圖和第5D圖)時,交聯反應可能會發生在光阻層230的整個厚度內。由於含氟交聯劑32的位置較接近光阻層230的上部245,因此上部245具有第一分子量大於下部250具有的第二分子量。在一些實施例中,上部具有厚度T1而光阻層230具有厚度T2。厚度T1可為厚度T2的約5%和約20%之間。如果厚度T1小於厚度T2的約5%,則在顯影製程時光阻層230的未曝光部分有損失的風險。如果厚度T1大於厚度T2的約20%,則在顯影製程時出現浮渣的缺陷。
如第8C圖所示,對光阻層230進行曝光製程,即以圖案化輻射照射光阻層230。在一些實施例中,以波長小於約250奈米的圖案化射線曝光光阻層,且圖案化輻射可為深紫外光、極紫外光、X光、電子束、離子束、及/或其他合適輻射。曝光製程可在空氣、液體(如浸潤式微影)、或真空(例如,當使用極紫外光微影及/或電子束微影)中進行。在一些實施例中,使用具有積體電路圖案定義其中的光罩(未繪出)以圖案化輻射,因此圖案化輻射形成積體電路圖案的影像於光阻層230上。依據積體電路圖案和光罩的製作技術,光罩可穿透、吸收、及/或反射輻射。光罩或曝光製程可採用多種解析度增進技術如相移、離軸照射(off-axis illumination, OAI)、及/或光學鄰近修正(optical proximity correction, OPC)。在一些實施例中,可依據積體電路圖案直接調整射線束以圖案化射線束,而不需採用光罩(通常稱作無光罩微影)。
藉由曝光製程,潛圖案形成於光阻層230上。潛圖案通常為曝光至光阻層上的圖案,在對光阻層進行顯影製程後將轉為物理的光阻圖案。潛圖案包含曝光部分230A與未曝光部分230B。曝光部分230A與未曝光部分230B各自皆具有上部245和下部250,如第8C圖所示。在此實施例中,曝光部分230A產生物理及/或化學變化以回應曝光製程。舉例來說,光阻層230的曝光部分230A中的光酸產生劑組成18吸收輻射以產生酸,酸作為催化劑以造成化學反應的發生而增加曝光部分230A的溶解度。舉例來說,光酸產生劑18(第1圖)產生的酸可催化光阻層230的曝光部分230A內的酸不穩定基團組成14(第1圖)自聚合物12(第1圖)裂解。在一些實施例中,曝光製程後可對光阻層230進行曝光後烘烤製程。曝光後烘烤製程可將光阻層230的溫度提高到約80℃至約180℃之間。在一些實施例中,曝光後烘烤製程於熱腔室中進行,其可將光阻層230的溫度提高到約120℃至約150℃之間。第5C圖和第5D圖所描述熱處理的溫度可能低於曝光後烘烤製程的溫度,以避免在熱處理過程中使酸不穩定基團組成14裂解。在曝光後烘烤製程中,光阻層230的曝光部分230A內的酸不穩定基團組成14(第1圖)自聚合物12(第1圖)裂解,藉此化學改變光阻層230的曝光部分230A。曝光部分230A的上部245和下部250皆可因輻射而變化。舉例來說,在所述之實施例中,曝光製程及/或曝光後烘烤製程增加了曝光部分230A的親水性(換言之,第1圖的聚合物12變得更親水性),進而增加光阻層230的曝光部分230A對顯影劑的溶解度。曝光製程和曝光後烘烤製程可如第7圖所描述之曝光製程和曝光後烘烤製程。
如第8D圖所示,對光阻層230進行顯影製程以形成圖案化光阻層230'。在所述之實施例中,進行正型顯影製程以移除光阻層230的曝光部分230A。舉例來說,應用正型顯影劑至光阻層230以溶解曝光部分230A,並保留未曝光部分230B,使圖案化光阻層230'具有開口240,其中開口240定義為未曝光部分230B(統稱為光阻圖案)之間。在一些實施方式中,正型顯影劑包含水溶液鹼性溶劑,例如氫氧化四甲基銨或氫氧化四丁基銨。藉由交聯圖案化光阻層230'的未曝光部分230B的上部245,顯影製程無法穿透未曝光部分230B,進而最小化未曝光部分230B的損失。在另一方面,顯影製程可移除曝光部分230A的下部250 ,進而最小化浮渣之形成。
如第8E圖所示,在工作件200上進行製程,例如以圖案化光阻層230'作為遮罩並對材料層220及/或晶圓進行製程。舉例來說,製程只施加至圖案化光阻層230'之開口240中的部分工作件200,而圖案化光阻層230'覆蓋的其他部分工作件200將不受製程影響。在一些實施例中,製程包含以圖案化光阻層230'作為蝕刻遮罩,並在材料層220上進行蝕刻製程。因此圖案化光阻層230'的圖案將轉移至材料層220,以形成圖案化材料層220'。在材料層220為硬遮罩層的實施例中,圖案先自圖案化光阻層230'轉移至材料層220,接著自圖案化材料層220'轉移至晶圓210的材料層(未繪出)。蝕刻製程包含乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程、或上述之組合。在一些其他實施例中,製程包含採用圖案化光阻層230'作為佈植遮罩,並在材料層220上進行佈植製程以形成多種摻雜結構(區)於材料層220中。之後如第8F圖所示,自工作件200移除圖案化光阻層230'以保留圖案化材料層220'於晶圓210上,且移除方法可為任何合適製程如光阻剝除製程。在一些實施例中,製程如蝕刻製程會消耗部分的圖案化光阻層230',因此光阻剝除製程之後將移除殘留的圖案化光阻層230'。
第9A圖至第9C圖根據本揭示案的另一些實施例繪示部分或完整工作件200在各個製作階段(例如與微影製程相關的製作階段)之局部截面圖。工作件200包括晶圓210、材料層220、和光阻層300。不同與第8A圖地光阻層230,光阻層300包括第一光阻層310和第二光阻層320。藉由任何合適的製程,例如旋轉塗佈接著預烘烤製程,形成第一光阻層310在材料層220上。第一光阻層310包括光阻材料10(第1圖)但不包括交聯劑32、可交聯官能基22和熱自由基起始劑36(第5C圖)。如此一來,即使電磁波或熱能抵達到第一光阻層310,仍不會發生交聯反應,並且第一光阻層310的分子量不因這些處理而增加。第二光阻層320包括光阻材料10(第1圖),其中光阻材料10具有聚合物12、具有可交聯官能基22的酸不穩定基團組成14、光阻組成16、光酸產生劑組成18、交聯劑32、及/或其他合適的光阻組成混合於溶劑20中。在一些實施例中,第二光阻層320更包括熱自由基起始劑36混合於溶劑20中。在一些實施例中,第二光阻層320包括與光阻層230(第8A圖)相同的材料,並且第一光阻層310包括與第二光阻層320相同材料但不包含交聯劑32、可交聯官能基22和熱自由基起始劑36。第二光阻層320具有厚度T1而光阻層300具有厚度T2,如第9A圖所示。厚度T1可為厚度T2的約5%至約20%之間。
對Z300進行處理。處理可包括使用電磁波或熱能,並且,相較於對第8B圖的光阻層230進行之處理,此處進行之處理的製程容許範圍較大。這是因為第一光阻層310不具有交聯劑32或可交聯官能基22,所以即使電磁波或熱能抵達到第一光阻層310,第一光阻層310的聚合物仍不會交聯。第二光阻層320可能因為進行處理而產生交聯。不同於光阻層230僅上部245發生交聯反應的情況,交聯反應可能會發生在第二光阻層320的整個厚度T1內。第二光阻層320的分子量大於第一光阻層310的分子量。
如第9B圖所示,對光阻層300進行曝光製程和顯影製程以形成圖案化光阻層300'。圖案化光阻層300'包括圖案化第一光阻層310'和圖案化第二光阻層320'。曝光製程可與第8C圖所述之曝光製程相同,而顯影製程可與第8D圖所述之顯影製程相同。例如,曝光製程使光阻層300形成曝光部分(未繪出)和未曝光部分300B,其中曝光部分於顯影製程中移除。曝光部分和未曝光部分300B皆包括第二光阻層320和第一光阻層310。曝光部分的第二光阻層320和位在第二光阻層320下方的第一光阻層310可能因曝光製程而產生物理及/或化學變化,例如變得更親水性,如第8C圖所述。由於顯影製程無法穿透交聯後的第二光阻層320的未曝光部分300B,因此可降低未曝光部分300B於顯影製程中的損失。在另一方面,顯影製程可移除第一光阻層310的曝光部分 ,進而最小化浮渣之形成。
如第9C圖所示,圖案化光阻層300'的圖案轉移至材料層220上以形成圖案化材料層220',並且,移除圖案化光阻層300'。
本揭示案的各種實施例提供減少光阻層開口相連和半開開口之缺陷的光阻材料和相關的微影技術。微影技術包括形成光阻層230,並且對光阻層230進行處理以使光阻層230的上部245具有第一分子量以及光阻層230的下部250具有小於第一分子量的第二分子量。一些實施例可具有優勢。舉例來說,顯影製程無法穿透未曝光部分230B的上部245,進而最小化未曝光部分230B的損失。再者,顯影製程可移除曝光部分230A的下部250 ,進而最小化浮渣之形成。
一種微影的方法之一實施例中,方法包括形成光阻層在基板上、對光阻層進行處理以形成具有第一分子量之光阻層的上部和具有小於第一分子量的第二分子量之光阻層的下部、對光阻層進行曝光製程、以及對光阻層進行顯影製程以形成圖案化光阻層。
一種微影的方法之另一實施例中,方法包括形成光阻層在基板上,其中光阻層包括含氟交聯劑設置於光阻層的上部。方法更包括對光阻層進行處理,並且交聯程度從光阻層的下部到光阻層的上部遞增。方法更包括對光阻層進行曝光製程,以及對光阻層進行顯影製程以形成圖案化光阻層。
一種微影的方法之另一實施例中,方法包括形成第一光阻層在基板上、形成第二光阻層在第一光阻層上、以及對第二光阻層進行處理以增加第二光阻層的第一分子量。第一光阻層具有的第二分子量小於第一分子量。方法更包括對第一光阻層和第二光阻層進行曝光製程,以及對第一光阻層和第二光阻層進行顯影製程以形成圖案化第一光阻層和圖案化第二光阻層。
以上概略說明了本揭示案數個實施例的特徵,使所屬技術領域內具有通常知識者對於本揭示案可更為容易理解。任何所屬技術領域內具有通常知識者應瞭解到本揭示案可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本揭示案實施例的目的及/或獲得相同的優點。任何所屬技術領域內具有通常知識者亦可理解與上述等同的結構並未脫離本揭示案之精神及保護範圍內,且可在不脫離本揭示案之精神及範圍內,可作更動、替代與修改。
10:光阻材料 12:聚合物 14:酸不穩定基團組成 16:光阻組成 18:光酸產生劑組成 20:溶劑 22:可交聯官能基 32:交聯劑 34:可交聯官能基 36:熱自由基起始劑 38:羧基組成 200:工作件 210:晶圓 220:材料層 220':圖案化材料層 230:光阻層 230A:曝光部分 230B:未曝光部分 230':圖案化光阻層 240:開口 245:上部 250:下部 300:光阻層 300':圖案化光阻層 300B:未曝光部分 310:第一光阻層 310':圖案化第一光阻層 320:第二光阻層 320':圖案化第二光阻層 T1:厚度 T2:厚度
閱讀以下實施方法時搭配附圖以清楚理解本揭示案的觀點。應注意的是,根據業界的標準做法,各種特徵並未按照比例繪製。事實上,為了能清楚地討論,各種特徵的尺寸可能任意地放大或縮小。 第1圖根據本揭示案的一些實施例繪示用以形成光阻層的光阻材料。 第2A圖和第2B圖根據本揭示案的一些實施例繪示光阻材料(如第1圖所示之光阻材料)中的酸不穩定基團(acid labile group, ALG)的化學結構。 第3A圖和第3B圖根據本揭示案的一些實施例繪示光阻材料(如第1圖所示之光阻材料)中的交聯(cross-linking)官能基的化學結構。 第4A圖至第4D圖根據本揭示案的一些實施例繪示光阻材料(如第1圖所示之光阻材料)中的交聯劑的化學結構。 第5A圖至第5D圖根據本揭示案的一些實施例繪示微影製程的其中一個階段。 第6A圖和第6B圖根據本揭示案的一些實施例繪示光阻材料(如第1圖所示之光阻材料)中的熱自由基起始劑(thermal radical initiator)的化學結構。 第7圖根據本揭示案的一些實施例繪示微影製程的其中一個階段。 第8A圖至第8F圖根據本揭示案的一些實施例繪示部分或完整工作件在各個製作階段(例如與微影製程相關的製作階段)之局部截面圖。 第9A圖至第9C圖根據本揭示案的另一些實施例繪示部分或完整工作件在各個製作階段(例如與微影製程相關的製作階段)之局部截面圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:工作件
210:晶圓
220:材料層
230:光阻層
245:上部
250:下部
T1:厚度
T2:厚度

Claims (20)

  1. 一種微影的方法,包括: 形成一光阻層在一基板上; 對該光阻層進行一處理以形成具有一第一分子量的該光阻層的一上部和具有一第二分子量的該光阻層的一下部,其中該第二分子量小於該第一分子量; 對該光阻層進行一曝光製程;以及 對該光阻層進行一顯影製程以形成一圖案化光阻層。
  2. 如請求項1所述之微影的方法,其中在進行該顯影製程之前,該光阻層包括一交聯劑。
  3. 如請求項2所述之微影的方法,其中該交聯劑包括一含氟交聯劑。
  4. 如請求項1所述之微影的方法,其中該處理包括曝光該光阻層於一電磁波中。
  5. 如請求項4所述之微影的方法,其中該電磁波包括一紫外光。
  6. 如請求項1所述之微影的方法,其中該光阻層進一步包括一熱自由基起始劑,以及該處理包括加熱該光阻層。
  7. 如請求項6所述之微影的方法,其中加熱該光阻層至一第一溫度,該第一溫度低於約150℃。
  8. 如請求項7所述之微影的方法,進一步包括在該曝光製程之後和該顯影製程之前,進行一曝光後烘烤,其中該曝光後烘烤包括加熱該光阻層至一第二溫度,該第二溫度高於該第一溫度。
  9. 一種微影的方法,包括: 形成一光阻層在一基板上,其中該光阻層包括一含氟交聯劑,該含氟交聯劑設置在該光阻層的一上部; 對該光阻層進行處理,其中一交聯程度從該光阻層的一下部到該光阻層的一上部遞增; 對該光阻層進行一曝光製程;以及 對該光阻層進行一顯影製程以形成一圖案化光阻層。
  10. 如請求項9所述之微影的方法,其中對該光阻層進行處理包括曝光該光阻層於一電磁波中。
  11. 如請求項10所述之微影的方法,其中該電磁波包括一紫外光。
  12. 如請求項9所述之微影的方法,其中該光阻層進一步包括一熱自由基起始劑,以及對該光阻層進行處理包括加熱該光阻層。
  13. 如請求項12所述之微影的方法,其中加熱該光阻層至一第一溫度,該第一溫度低於約150℃。
  14. 如請求項9所述之微影的方法,其中在該曝光製程之後,該光阻層包括一曝光部分和一未曝光部分。
  15. 如請求項14所述之微影的方法,其中該顯影製程移除該曝光部分。
  16. 一種微影的方法,包括: 形成一第一光阻層在一基板上; 形成一第二光阻層在該第一光阻層上; 對該第二光阻層進行一處理以增加該第二光阻層的一第一分子量,其中該第一光阻層的一第二分子量小於該第一分子量; 對該第一光阻層和該第二光阻層進行一曝光製程;以及 對該第一光阻層和該第二光阻層進行一顯影製程以形成一圖案化第一光阻層和一圖案化第二光阻層。
  17. 如請求項16所述之微影的方法,其中在該顯影製程之前,該第二光阻層包括一交聯劑。
  18. 如請求項16所述之微影的方法,其中在該曝光製程之後,該第一光阻層和該第二光阻層包括一曝光部分和一未曝光部分。
  19. 如請求項18所述之微影的方法,其中該顯影製程移除該第一光阻層和該第二光阻層的該曝光部分。
  20. 如請求項16所述之微影的方法,其中該第二光阻層進一步包括一熱自由基起始劑,以及該處理包括加熱該第二光阻層。
TW110123452A 2021-03-18 2021-06-25 微影的方法 TW202238276A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/206,112 US20220299879A1 (en) 2021-03-18 2021-03-18 Lithography techniques for reducing defects
US17/206,112 2021-03-18

Publications (1)

Publication Number Publication Date
TW202238276A true TW202238276A (zh) 2022-10-01

Family

ID=82365030

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110123452A TW202238276A (zh) 2021-03-18 2021-06-25 微影的方法

Country Status (3)

Country Link
US (1) US20220299879A1 (zh)
CN (1) CN114764214A (zh)
TW (1) TW202238276A (zh)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10520822B2 (en) * 2017-06-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography techniques for reducing resist swelling

Also Published As

Publication number Publication date
US20220299879A1 (en) 2022-09-22
CN114764214A (zh) 2022-07-19

Similar Documents

Publication Publication Date Title
US10281819B2 (en) Silicon-containing photoresist for lithography
TWI798185B (zh) 微影圖案化的方法
JP5944484B2 (ja) リソグラフィ適用において感放射線材料のラインを幅狭化する方法
CN106226998B (zh) 光刻方法
US5955222A (en) Method of making a rim-type phase-shift mask and mask manufactured thereby
TWI706224B (zh) 微影圖案化方法與光阻
JP5663656B2 (ja) リソグラフィ用途において放射線感受性を有する材料のラインを細くする方法
US10527941B2 (en) Extreme ultraviolet photoresist and method
US10866517B2 (en) Lithography techniques for reducing resist swelling
US20100173247A1 (en) Substrate planarization with imprint materials and processes
TW201900593A (zh) 微影方法
TWI737856B (zh) 微影圖案化方法
TWI793079B (zh) 半導體裝置的製作方法
US20070281248A1 (en) Stabilization of deep ultraviolet photoresist
CN108333866B (zh) 光刻图案化的方法
TWI737782B (zh) 微影圖案化的方法
TW202238276A (zh) 微影的方法
TWI761987B (zh) 負光阻及其顯影的方法
JP2012178394A (ja) 半導体装置の製造方法および半導体装置ならびに露光装置
TW201824345A (zh) 半導體裝置的製作方法
JP2005115118A (ja) パターン形成方法
JPH0653115A (ja) パターン形成方法