TWI798185B - 微影圖案化的方法 - Google Patents

微影圖案化的方法 Download PDF

Info

Publication number
TWI798185B
TWI798185B TW106134517A TW106134517A TWI798185B TW I798185 B TWI798185 B TW I798185B TW 106134517 A TW106134517 A TW 106134517A TW 106134517 A TW106134517 A TW 106134517A TW I798185 B TWI798185 B TW I798185B
Authority
TW
Taiwan
Prior art keywords
developer
acid
photoresist layer
solvent
metal
Prior art date
Application number
TW106134517A
Other languages
English (en)
Other versions
TW201830472A (zh
Inventor
訾安仁
鄭雅如
張慶裕
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201830472A publication Critical patent/TW201830472A/zh
Application granted granted Critical
Publication of TWI798185B publication Critical patent/TWI798185B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Electron Beam Exposure (AREA)

Abstract

根據一些實施例,本發明提供一種微影圖案化的方法。該方法包括:形成光阻層於基底上方,其中光阻層包括含金屬化學品;對光阻層實行曝光製程;及使用第一顯影劑對光阻層實行第一顯影製程,從而形成圖案化光阻層,其中第一顯影劑包括第一溶劑及化學添加劑,以移除由含金屬化學品所產生的金屬殘餘物。

Description

微影圖案化的方法
本發明實施例係關於一種微影圖案化的方法。
半導體積體電路(IC)產業歷經了指數成長。IC材料及設計上的技術進步已產生了數代的IC,其中每一代皆比前一代具有體積更小且更精密的電路。在IC發展的進程上,功能密度(即,每一晶片之內連線裝置的數量)逐漸增加的同時,幾何尺寸(即,利用製程步驟可以產生之最小元件(或線))逐漸縮小。此微縮化(scaling down)製程通常可提供增加產率及降低相關成本之益處。此微縮化亦增加了IC處理及製造的複雜度。舉例而言,隨著半導體製造持續縮小至低於20nm節點的間距,傳統的i-ArF面臨巨大的挑戰。光學限制導致解析度和微影性能無法達到目標。已利用極紫外光(EUV)微影技術來支持較小裝置的臨界尺寸(critical dimension,CD)需求。極紫外光(EUV)微影技術採用掃描器,其使用極紫外光(EUV)區中的輻射,其波長為約1nm至約100nm。極紫外光(EUV)掃描器除了使用反射而非折射光學元件之外,相似於一些光學掃描器,一些極紫外光(EUV)掃描器提供4倍(4X)的縮小投影印刷至塗佈在基底上的光阻膜。極紫外光(EUV)微影對光阻膜施加了一系列複雜的要求。ArF光阻中的光酸產生劑(photo acid generator,PAG)吸收193nm的波並產生光酸,且該酸具有1000倍的化學放大反應(chemical amplifier reaction,CAR),並使酸不穩定基去保護。然而,光酸產生劑(PAG)對極紫外光(EUV)不敏感。由於極紫外光(EUV)工具的低源功率和其他因素,光阻無法產生足夠的酸以達到所需的解析度,導致各種圖案化問題,例如線寬粗糙度和臨界尺寸(CD)均勻性。因此,需要提供一種光阻及微影圖案化的方法以改進上述問題。
根據一些實施例,本發明提供一種微影圖案化的方法。該方法包括:塗佈光阻層於基底上方,其中光阻層包括聚合物、含金屬化學品及酸產生化合物;對光阻層實行曝光製程;使用第一顯影劑對光阻層實行第一顯影製程;及使用不同於第一顯影劑之第二顯影劑對光阻層實行第二顯影製程,從而形成圖案化光阻層,其中第一顯影劑包括第一溶劑及化學添加劑以有效地移除金屬殘餘物。
在一些實施例中,其中實行第一顯影製程包括:在施用第一顯影劑至光阻層之前,加熱第一顯影劑至第一溫度;及實行第二顯影製程包括:施用第二顯影劑,且第二顯影劑具有低於第一溫度之第二溫度。
根據一些實施例,本發明提供一種微影圖案化的方法。該方法包括:形成光阻層於基底上方,其中光阻層包括聚合物、含金屬化學品及酸產生化合物;對光阻層實行曝光製程;及對光阻層實行顯影製程。顯影製程包括:施用第一溫度 之第一顯影劑至光阻層,並施用第二溫度之第二顯影劑至光阻層,從而形成圖案化光阻層並有效地移除金屬殘餘物。第二顯影劑不同於第一顯影劑。第二溫度低於第一溫度。第一顯影劑包括第一溶劑及化學添加劑。第二顯影劑包括第二溶劑且不含有化學添加劑。
100:方法
102:步驟
104:步驟
106:步驟
108:步驟
108A:第一步驟
108B:第二步驟
110:步驟
200:半導體結構
202:基底
204:底層
204:材料層
204':圖案化硬罩幕層
206:光阻層
206a:未曝光部分
206b:曝光部分
206':圖案化光阻層
300:光阻材料
302:聚合物
304:阻隔基
304:酸不穩定基
306:酸產生化合物
308:溶劑
310:含金屬化學品
400:化學結構
402:末端
404:末端
406:羥基(OH)
500:叔丁氧羰基
602:含金屬化學品
604:含金屬化學品
606:含金屬化學品
608:含金屬化學品
610:含金屬化學品
612:含金屬化學品
以下將配合所附圖式詳述本發明之實施例,應注意的是,依照工業上的標準實施,以下圖示並未按照比例繪製,事實上,可能任意的放大或縮小元件的尺寸以便清楚表現出本發明的特徵。而在說明書及圖式中,除了特別說明外,同樣或類似的元件將以類似的符號表示。
第1圖係根據一些實施例所繪示之微影圖案化方法的流程圖。
第2A、2B、2C、2D及2E圖係根據一些實施例所繪示之半導體結構在各個製造階段之剖面圖。
第3圖係根據一些實施例所繪示之第2A圖之光阻材料。
第4圖係根據一些實施例所繪示之第3圖之光阻材料中聚合物的化學結構。
第5圖係根據本發明一些實施例所繪示之第3圖之光阻材料中酸不穩定基(ALG)的化學結構。
第6圖係根據本發明一些實施例所繪示之第3圖之光阻材料中含金屬化學品的化學結構。
第7圖係根據本發明一些實施例所繪示之經過曝光製程之第3圖之光阻材料的含金屬化學品。
以下提供許多不同的實施方法或是例子來實行各種實施例之不同特徵。以下描述具體的元件及其排列的例子以闡述本發明。當然這些僅是例子且不該以此限定本發明的範圍。例如,元件的尺寸並不限定於所揭露的範圍或數值,而是取決於製程條件及/或裝置所期望的性質。此外,在描述中提及第一個元件形成於第二個元件上時,其可以包括第一個元件與第二個元件直接接觸的實施例,也可以包括有其他元件形成於第一個與第二個元件之間的實施例,其中第一個元件與第二個元件並未直接接觸。為簡化及清楚起見,各種特徵可任意繪製成不同尺寸。
此外,其中可能用到與空間相關的用詞,像是“在…下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,這些關係詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係。這些空間關係詞包括使用中或操作中的裝置之不同方位,以及圖示中所描述的方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則其中使用的空間相關形容詞也可相同地照著解釋。
本發明實施例係關於半導體裝置製造的方法,特別係極紫外光(extreme ultraviolet,EUV)微影中光敏感膜的組成及其使用方法。在微影圖案化中,在光阻膜曝光於諸如極紫外光(EUV)輻射(或其他輻射,例如電子束)的輻射之後,在顯影劑(化學溶液)中顯影。顯影劑移除部分的光阻膜(例如:正型光阻中的曝光部分或負型光阻中的未曝光部分),從 而形成光阻圖案,其可包括導線圖案及/或溝槽圖案。接著,在隨後的蝕刻製程中,將光阻圖案用作蝕刻罩幕,並將圖案轉移到下面的材料層。或者,接著,在隨後施用至下層材料層(例如磊晶半導體層)之離子佈植製程中,將光阻圖案用作離子佈植罩幕。
一般而言,為了產生最小可能的電路,大部分先進的微影系統被設計為使用波長非常短的光,例如:波長為200nm或低於200nm的深紫外光,或者波長為約13.5nm的極紫外光(EUV)。由於這樣的光源相對較弱,故需要設計光敏感膜(例如光阻)以盡可能有效地利用該光。基本上目前用於微電子/奈米電子製造的光阻採用化學放大的概念來提高光利用的效率。
採用化學放大的光阻通常被稱為“化學放大光阻(chemically amplified resist,CAR)”。光阻包括在半導體製造期間抵抗蝕刻或離子佈植的聚合物、酸產生化合物(例如光酸產生劑(photo acid generator,PAG))及溶劑。在一些範例中,聚合物還包括對酸起反應的至少一種酸不穩定基(acid labile group,ALG)。光酸產生劑(PAG)吸收輻射能並產生酸。在微影製程期間,將光阻塗覆到工件(例如半導體晶片)之前,聚合物和光酸產生劑(PAG)在溶劑中混合。光酸產生劑(PAG)對極紫外光(EUV)輻射不敏感。也就是說,微影效率(例如:解析度/對比度、線寬粗糙度和靈敏度)的提升遇到問題。由於極紫外光(EUV)微影系統的光源功率有限,現有的光阻在微影曝光過程中無法提供具有期望的解析度和 對比度之成像效果。因此,本發明實施例的光阻更包括含金屬的化學品以增加光阻的靈敏度。含金屬的化學品可用作敏化劑或透過其它機制增加靈敏度,這將於稍後進一步解釋。舉例而言,敏化劑對極紫外光(EUV)輻射敏感,吸收極紫外光(EUV)輻射並產生電子。因此,光酸產生劑(PAG)吸收電子並產生酸。然而,含金屬化學品在隨後的製程步驟期間會留下金屬殘留物,這可能導致後續製程中的金屬污染。本發明實施例的微影製程及顯影劑解決了這個問題。
第1圖係在一些實施例中,根據本發明的各個面向之圖案化基底(例如,半導體晶圓)的方法100之流程圖。方法100可全部或部分地藉由採用先進的微影製程之系統來執行,以改善圖案尺寸精確度。上述微影製程為具有諸如極紫外光(EUV)之輻射或其他輻射,例如深紫外光(DUV)、電子束(e-beam)微影或X射線微影。在本實施例中,使用極紫外光(EUV)微影作為主要範例。可以在方法100之前、期間及之後提供額外的步驟,且對於方法的附加實施例,可以取代、移除或移動所述的一些步驟。
第2A至2E圖係根據一些實施例,半導體結構200在各個製造階段的剖面圖。以下結合第1圖與第2A至2E圖描述方法100,其中藉由使用方法100的實施例來製造半導體結構200。半導體結構200可為製造積體電路(integrated circuit,IC)期間的中間工件或其一部分。積體電路(IC)可以包括邏輯電路、記憶體結構、被動元件(例如:電阻器、電容器和電感器)、以及主動元件(例如:二極體、場效電晶體(field-effect transistors,)、金屬氧化半導體場效電晶體(metal-oxide semiconductor field effect transistor,MOSFET)、互補金屬氧化半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極性電晶體(bipolar transistors)、高壓電晶體、高頻(high frequency)電晶體、鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)、其他三維(3D)場效電晶體(FET)及上述之組合)。
請參照第1圖及第2A圖,方法100開始於步驟102之半導體結構200。半導體結構200包括基底202。在一實施例中,基底202為半導體基底(例如:晶圓)。進一步地,在此實施例中,基底202包括晶體結構中之矽。在替代實施例中,基底202包括其它元素半導體(例如:鍺)或化合物半導體(例如:碳化矽、砷化鎵、砷化銦和磷化銦)。基底202包括一或多層的材料或組合物。基底202可包括絕緣體上矽(silicon on insulator,SOI)基底,其被施加應變/應力以增強性能、包括磊晶區、包括隔離區、包括摻雜區、包括一或多個半導體裝置或其部分、包括導電及/或非導電層及/或包括其它合適的特徵和層。
在本實施例中,基板202包括欲進行處理的底層(或材料層)204,例如欲進行圖案化或進行佈植。舉例而言,底層204為欲進行圖案化的硬罩幕層。在另一範例中,底層204係欲進行離子佈植的磊晶半導體層。然而,在替代實施例中,基底202可不包括底層。在一實施例中,底層204為硬罩幕層,其包括例如以下材料:氧化矽、氮化矽(SiNx)、氮氧化矽或 其它合適材料或組成。在一實施例中,底層204為抗反射塗層(anti-reflection coating,ARC),例如無氮抗反射塗層(nitrogen-free anti-reflection coating,NFARC),其包括諸如氧化矽、矽氧碳化物或經電漿增強化學氣相沉積的氧化矽之材料。在各種實施例中,底層204可包括高介電常數(high-k)介電層、閘極層、硬罩幕層、界面層、覆蓋層、擴散/阻障層、介電層、導電層、其它合適的層及/或上述之組合。
在一些實施例中,結構200可替代地為用於圖案化半導體晶圓的光罩。進一步地,在該些實施例中,基底202係光罩基底,其可包括透明材料(例如:石英)或低熱膨脹材料(例如:氧化矽-氧化鈦化合物)。光罩基底202更可包括欲進行圖案化的材料層。進一步地,在此範例中,基底202可為用於製造深紫外光(DUV)罩幕、極紫外光(EUV)罩幕或其它類型的罩幕之光罩基底。因此,底層204為欲進行圖案化以定義電路圖案的材料層。舉例而言,底層204為吸收層,例如用於深紫外光(DUV)光罩之鉻層或用於極紫外光(EUV)光罩之鉭氮化硼(TaBN)。
方法100進行到步驟104,形成光阻層206於基底202上方(第2A圖)。光阻層206對於在微影曝光製程中使用的輻射係敏感的,且具有抗蝕刻(或佈植)的能力。在一些實施例中,光阻層206包括用於微影圖案化的雙層或三層。舉例而言,三層光阻層包括底層、底層上的中間層及中間層上的感光層。底層和中間層被設計成具有不同蝕刻選擇性的組成。進一步地,在此範例中,底層為富含碳的聚合物材料,中間層為富 含矽的材料。在第2A圖中,僅示出感光層,並將其稱為光阻層206。然而,這僅是為了說明而不限於此,其可包括附加層,例如上述之雙層或三層光阻層之方案。請參照第2A圖,在一實施例中,藉由旋轉塗佈製程以形成光阻層206。在一些實施例中,光阻層206進一步以軟烘烤製程處理。光阻層206對輻射敏感,例如:I線光、深紫外光(DUV)(例如,透過氟化氪(KrF)準分子雷射之248nm輻射或透過氟化氬(ArF)準分子雷射之193nm輻射)、極紫外光(EUV)(例如:13.5nm的光)、電子束(e-beam)及離子束。在本實施例中,光阻層206對極紫外光(EUV)輻射敏感。
第3圖顯示根據一些實施例,光阻層206的光阻材料300之實施例。在本實施例中,光阻材料300利用化學放大(chemical amplification,CA)光阻材料。舉例而言,化學放大(CA)光阻材料為負型,且包括一聚合物材料,該聚合物材料在與酸反應之後,轉變成不溶於諸如鹼溶液之顯影劑。在另一範例中,化學放大(CA)光阻材料為正型,且包括一聚合物材料,該聚合物材料在與酸反應之後,轉變成可溶於顯影劑。在又一範例中,化學放大(CA)光阻材料包括一聚合物材料,該聚合物材料在與酸反應之後,改變了它的極性。
光阻材料300對來自微影系統的輻射源之第一輻射(例如:極紫外光(EUV))敏感。第一輻射具有第一波長。光阻材料300包括聚合物302以提供對蝕刻(或佈植)的抗蝕性。在各種實施方案中,聚合物302包括聚(降冰片烯)-共-馬來酸酐(poly(norbornene)-co-malaic anhydride,COMA)聚 合物,聚羥基苯乙烯(polyhydroxystyrene,PHS)聚合物或丙烯酸酯系(acrylate-based)聚合物。舉例而言,丙烯酸酯系聚合物包括聚甲基丙烯酸甲酯(poly(methyl methacrylate),PMMA)聚合物。聚羥基苯乙烯(PHS)聚合物包括第4圖所示之多個聚羥基苯乙烯(PHS)化學結構400,其中n為大於2的整數。聚羥基苯乙烯(PHS)化學結構400包括可與其它聚羥基苯乙烯(PHS)化學結構化學連接之兩個末端402和404。此外,聚羥基苯乙烯(PHS)對極紫外光(EUV)也是敏感的,且能夠作為極紫外光(EUV)光阻劑的敏化劑。因此,多個化學結構400化學地鍵結在一起(透過兩個末端402和404),從而形成聚羥基苯乙烯(PHS)聚合物主鏈。聚合物302還包括可與其它化學品化學鍵結的多個側面位置。舉例而言,聚羥基苯乙烯(PHS)聚合物包括可與其它化學品化學鍵結的多個羥基(OH)406。
在一些範例中,光阻材料300更包括阻隔基(blocking group)304,例如酸不穩定基(ALG)或對酸起反應的溶解抑製劑。在本實施例中,阻隔基304化學鍵結至聚合物302,例如,在一範例中,阻隔基304化學鍵結至聚羥基苯乙烯(PHS)的羥基(OH)406。酸不穩定基(ALG)304係在光阻層的曝光區中被光酸產生劑(PAG)去保護的化學基團。因此,曝光的光阻材料300可改變極性及溶解性。舉例而言,曝光的光阻材料在顯影劑(用於正型光阻)中的溶解性增加或在顯影劑(用於負型光阻)中的溶解性降低。當微影曝光製程的曝光劑量達到劑量閾值時,曝光的光阻材料將可溶於 (dissoluble)顯影劑中,或者曝光的光阻材料將易溶於(soluble)顯影劑中。在一範例中,酸不穩定基(ALG)304包括第5圖所示之叔丁氧羰基(t-butoxycardbonyl,tBOC)500。
光阻材料300包括酸產生化合物306,例如光酸產生劑(PAG)。酸產生化合物(或光酸產生劑(PAG))306吸收輻射能並產生酸。光阻材料300亦包括溶劑308。在微影製程期間,將光阻材料塗覆到工件(例如:半導體晶圓)之前,將聚合物302與酸產生化合物306混合於溶劑308中。在一些實施例中,酸產生化合物306包括苯環。在特定的範例中,酸產生化合物306包括鋶陽離子(sulfonium cation),例如三苯基鋶(triphenylsulfonium,TPS)基團;以及陰離子,例如三氟甲磺酸根陰離子(triflate anion)。特別地,光酸產生劑(PAG)的陽離子具有與硫及額外化學鍵形成鍵結的化學鍵,使得光酸產生劑(PAG)對電子(或其它類型的第二輻射)的靈敏度(或吸收)增加。
現有的光酸產生劑(PAG)對極紫外光(EUV)輻射不敏感。光酸產生劑(PAG)或光阻中的有機元素,例如碳(C)、氮(N)及氧(O)在極紫外光(EUV)光子吸收中較弱。在本發明實施例中,由於金屬具有高度極紫外光(EUV)光子吸收,故光阻材料300包括含金屬化學品310,以增強極紫外光(EUV)吸收和光阻效率。含金屬化學品310包括一或多種金屬元素,例如:鋇(Ba)、銦(In)、鈰(Ce)或錫(Sn)。在一些實施例中,含金屬化學品310為金屬有機材料,其以金屬鍵結至有機化學品或以其他方式併入有機化學品中。第6圖 顯示根據各種範例之含金屬化學品310,例如:含金屬化學品602、604、606、608、610或612。含金屬化學品602、604、606、608或610各自包含Sn,而含金屬化學品612包括銻(Sb)。將金屬併入光阻材料中可能導致隨後製造階段中的金屬污染問題。舉例而言,當光阻層顯影時,金屬殘留物可能留在工件上。方法100的後續步驟將解決上述問題。在一些實施例中,含金屬化學品310與其它化學品(例如:聚合物和光酸產生劑(PAG))混合於溶劑308中;化學鍵結至聚合物302;或化學鍵結至光酸產生劑(PAG)306。或者,透過上述機制之各種組合將含金屬化學品310併入光阻材料之中。
含金屬化學品310透過一或兩種以下機制以有效地增強極紫外光(EUV)。在第一機制中,含金屬化學品310作為敏化劑,以提高光阻材料的靈敏度和效率。光阻材料的光酸產生劑(PAG)或其他成分可能對極紫外光(EUV)不敏感,但對電子或其他輻射(例如:紫外光(UV)或深紫外光(DUV))敏感。因此,藉由併入敏化劑,光阻材料對第一輻射具有增強的靈敏度。具體而言,敏化劑對第一輻射敏感且能夠產生回應(in response to)第一輻射之第二輻射。在本實施例中,第一輻射係極紫外光(EUV)輻射,第二輻射係電子。敏化劑吸收極紫外光(EUV)輻射並產生二次電子。此外,光酸產生劑(PAG)306對二次電子敏感,且吸收二次電子並產生酸。此外/或者,敏化劑吸收具有第一波長的第一輻射並產生具有第二波長的第二輻射。第二波長大於第一波長。進一步地,在該實施例中,第一輻射係極紫外光(EUV),且第一波長為約13.5nm;且第 二波長係介於180nm至250nm之間。
在第7圖所示之第二機制中,含金屬化學品310各自包含鍵結在一起的金屬核心(例如:金屬離子,標記為”M+”)與配位子(標記為”L”)。在微影曝光製程(曝光製程)期間,將極紫外光(EUV)輻射施用至光阻材料。含金屬化學品吸收極紫外光(EUV)光子並產生自由基,例如:配位子和金屬核心。上述自由基可直接導致聚合物302的交聯,使得光阻材料的曝光部分在顯影期間保留。在這種情況下,由於極紫外光(EUV)輻射導致聚合物透過含金屬化學品的交聯,故光阻材料為負型光阻,且不包括酸產生化合物及阻隔基。進一步地,在該情況中,在微影曝光製程之前,聚合物302可能不是交聯的或僅為部分交聯的。
此外,光阻材料可更包括其它敏化劑,例如:含氟化學品、含苯酚化學品或其組合。在一些範例中,敏化劑包括聚羥基苯乙烯(polyhydroxystyrene)、聚氟苯乙烯(poly-fluorostyrene)或聚氯苯乙烯(poly-chlorostyrene)。
請參見第1圖及第2B圖,方法100繼續至步驟106,利用來自微影系統的第一輻射對光阻層206實行曝光製程,進行到步驟106。在本實施例中,第一輻射為極紫外光(EUV)輻射(例如13.5nm)。在其他實施例中,第一輻射可為I線(365nm)、深紫外光(DUV)輻射、x射線、電子束、離子束及/或其它合適的輻射。可於空氣、液體中(浸沒式微影)或真空中(例如:用於極紫外光(EUV)微影和電子束微影)實行步驟106。在一些實施例中,輻射束係針對光阻層206以在適 當的曝光模式(例如:逐步掃描)中形成定義於光罩(例如:透射光罩或反射光罩)上之電路圖案的影像。可透過光罩或曝光製程以使用或執行各種解析度增強技術,例如:相移(phase-shifting)、離軸照明(off-axis illumination,OAI)及/或光學鄰近校正(optical proximity correction,OPC)。舉例而言,光學鄰近校正(OPC)特徵可被併入光罩上的電路圖案。在另一範例中,光罩係相移光罩,例如:替代相移光罩、衰減相移光罩或無鉻相移光罩。在又一範例中,在離軸照明模式下執行曝光製程。在一些其它實施例中,輻射束係以預定義圖案(例如:IC佈局)直接調製,而未使用光罩(例如:使用數位圖案產生器或直接寫入模式)。在本實施例中,輻射束係極紫外光(EUV)輻射,且在極紫外光(EUV)微影系統中實行步驟106。由於光阻層206的靈敏度增強,且光阻層的曝光閾值可低於20mJ/cm2,因此,根據本實施例,以小於20mJ/cm2的劑量來執行曝光製程。
請參見步驟106,在曝光製程之後,步驟106還可以包括其他步驟,例如:熱處理。在本實施例中,步驟106包括對半導體結構200,特別是對塗佈在基板202上的光阻層206進行後曝光烘烤(post-exposure baking,PEB)製程。在後曝光烘烤(PEB)製程期間,根據一些範例,曝光的光阻材料中之酸不穩定基(ALG)304被裂解,且光阻材料300的曝光部分產生化學變化(例如變得較親水或較疏水)。在一個特定的實施例中,可在溫度為約120℃至約160℃的熱腔室中實行後曝光烘烤(PEB)製程。
在步驟106之後,在光阻層206上形成潛在(latent)圖案。光阻層的潛在圖案係指光阻層上的曝光圖案,其藉由例如顯影製程而最終變成物理光阻圖案。光阻層206的潛在圖案包括未曝光部分206a和曝光部分206b。在此情況下,光阻層206的曝光部分206b產生物理或化學變化。在一些範例中,曝光部分206b在聚合反應中發生變化,例如:如負型光阻中之交聯,或如正型光阻中之解聚(depolymerized)。在其他範例中,曝光部分206b被去保護,誘導雙型成像(dual-tone imaging)(顯影)的極性變化。
請參見第1圖和第2C圖,接著方法100繼續至步驟108,根據一些實施例,在顯影劑中顯影曝光的光阻層206。藉由顯影製程,形成圖案化光阻層206'。在一些實施例中,光阻層206為負型光阻,且光阻層的曝光部分經歷交聯,因此在顯影製程之後保留下來。在一些實施例中,光阻層206在步驟106之後經歷極性改變,且可實行雙型顯影製程(dual-tone developing process)。舉例而言,光阻層206的曝光部分從非極性狀態(疏水狀態)改變為極性狀態(親水狀態),接著藉由水性溶劑(例如:氫氧化四甲胺(tetramethyl ammonium hydroxide,TMAH))移除曝光部分206b(正型成像),或者,藉由有機溶劑(例如:乙酸丁酯(butyl acetate))移除未曝光部分206a(負型成像)。在一些其它範例中,光阻層206從極性狀態改變為非極性狀態,接著藉由有機溶劑移除曝光部分206b(正型成像),或者,藉由水性溶劑移除未曝光部分206a(負型成像)。
顯影劑被設計成具有化學品以形成圖案化光阻層206',並進一步有效地移除工件上的金屬殘餘物。在本實施例中,顯影劑包括溶劑和化學添加劑,其可有效地移除金屬殘留物並顯影光阻層。在各種範例中,溶劑包括有機溶劑,且可額外地包括與有機溶劑混合的水性溶劑。本發明實施例的實驗數據指出,金屬殘留物的有效移除係由顯影製程和相關顯影劑之以下因素所決定:顯影劑的化學成分和濃度、Hansen溶解度參數、酸度及溫度,例如上述之適當的組合。對顯影製程及顯影劑進行設計及調整,並於以下進一步地詳細描述。
在一些實施例中,溶劑係有機溶劑,其設計為具有以下範圍的Hansen溶解度參數(△D、△P和△H):18>△D>3,7>△P>1及7>△H>1。根據各種範例,有機溶劑可包括乙酸正丁酯(n-Butyl acetate)、甲基正戊基酮(Methyl n-Amyl Ketone)、己烷、庚烷或乙酸戊酯。
在一些其它實施例中,顯影劑可額外包括與有機溶劑混合的第二溶劑。第二溶劑設計為具有以下範圍的Hansen溶解度參數(△D、△P和△H):25>△D>13,25>△P>3及30>△H>4。在此情況下,有機溶劑的重量百分比大於60w%,而第二溶劑的重量百分比小於40w%。在此,溶劑的重量百分比定義為W/W0之重量比,其中W及W0分別為給定體積之溶劑和顯影劑的重量。第二溶劑可為水性溶劑或具有極性官能基之其它有機溶劑,例如:-OH、-COOH、-CO-、-O-、-COOR、-CN-、-SO-、-CON-或-NH-。在各種範例中,第二溶劑為另一有機溶劑,例如:丙二醇單甲醚(propylene glycol monomethyl ether, PGME)、1-乙氧基-2-丙醇(1-Ethoxy-2-propanol,PGEE),γ-丁內酯(Gamma-Butyrolactone,GBL)、環己酮(Cyclohexanone,CHN)、乳酸乙酯(Ethyl lactate,EL)、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲醯胺(Dimethylformamide,DMF)、乙腈、異丙醇(Isopropyl alcohol,IPA)、四氫呋喃(Tetrahydrofuran,THF)、乙酸或上述之組合。
在一些實施例中,當溶劑包括混合在一起的有機溶劑與水性溶劑時,相對於顯影劑的總重量,水性溶劑的重量百分比為小於20w%。在各種範例中,水性溶劑包括水、乙二醇或其組合。在此情況下,水性溶劑可進一步地與酸性水溶液(例如氫氟酸(HF)或鹽酸(HCl))或鹼性水溶液(例如NH4OH)混合,其中相對於顯影劑的總重量,酸性水溶液或鹼性水溶液的重量百分比為小於5w%。
顯影劑中的化學添加劑包括有機酸、有機鹼、螯合添加劑或上述之組合,以增強顯影能力。在一些實施例中,化學添加劑包括調節為具有對數常數pKa之有機酸,其中pKa的範圍為-11<pKa<4。進一步地,在該些實施例中,顯影劑中有機酸的重量百分比範圍為0.001w%至30w%,或是0.1w%至20w%。在各種範例中,有機酸包括乙二酸(ethanedioic acid)、甲酸(methanoic acid)、2-羥基丙酸(2-hydroxypropanoic acid)、2-羥基丁二酸(2-hydroxybutanedioic acid)、檸檬酸(Citric acid)、尿酸(Uric acid)、三氟甲磺酸(Trifluoromethanesulfonic acid)、苯磺酸(Benzenesulfonic acid)、乙磺酸(ethanesulfonic acid)、甲磺酸(methanesulfonic acid)、草酸二水合物(Oxalic acid dihydrate)、馬來酸(Maleic acid)或上述之組合。
在一些實施例中,顯影劑中的化學添加劑包括調節為具有對數常數pKa之有機鹼,其中pKa的範圍為40>pKa>9。進一步地,在該些實施例中,顯影劑中有機鹼的重量百分比範圍為0.001w%至30w%,或是0.1w%至20w%。在各種範例中,有機鹼包括單乙醇胺(Monoethanolamine)、單異丙醇胺(Monoisopropanolamine)、2-氨基-2-甲基-1-丙醇(2-Amino-2-methyl-1-propanol)、1氫-苯並三唑(1H-Benzotriazole)、1,2,4-三唑(1,2,4-Triazole)、1,8-二氮雜雙環十一碳-7-烯(1,8-Diazabicycloundec-7-ene)或上述之組合。
在一些實施例中,顯影劑中的化學添加劑包括螯合添加劑,相對於顯影劑,螯合添加劑的重量百分比為0.001w%至30w%,或是0.1w%至20w%。在各種範例中,螯合添加劑包括乙二胺四乙酸(Ethylenediaminetetraacetic acid,EDTA)、乙二胺-N,N'-二琥珀酸(Ethylenediamine-N,N'-disuccinic acid,EDDS)、二亞乙基三胺五乙酸(diethylenetriaminepentaacetic acid,DTPA)、聚天冬氨酸(Polyaspartic acid)、反式-1,2-環己烷二胺-N,N,N',N'-四乙酸單水合物(trans-1,2-Cyclohexanediamine-N,N,N',N'-tetraacetic acid monohydrate)、乙二胺(ethylenediamine)或上述之組合。
在一些實施例中,顯影劑更包括重量百分比小於 5w%的表面活性劑,以增加溶解度並降低基板上的表面張力。
在一些實施例中,步驟108包括兩個步驟:第一步驟108A係利用具有溶劑和化學添加劑的第一顯影劑(如上詳細描述之第一顯影劑)來實行第一顯影製程;及第二步驟108B係利用第二顯影劑(例如:現有的顯影劑,如乙酸正丁酯(n-Butyl acetate,nBA)溶劑或甲基α-戊基酮(Methyl a-Amyl Ketone,MAK)溶劑而無化學添加劑)來實行第二顯影製程。進一步地,在該些實施例中,第一步驟108A中的第一顯影劑在塗覆至工件之前被加熱到高溫,而當第二步驟108B中的第二顯影劑被塗覆至工件上之時或之前未被加熱。舉例而言,第一顯影劑加熱至溫度T1,其中室溫<T1<75℃,而第二顯影劑置於室溫而未加熱。在各種範例中,第一步驟108A和第二步驟108B可順序不同(例如:交換成相反的順序,即先實行108B之後再實行108A)。
在第2C圖所示之一些範例中,於顯影製程中移除未曝光部分206a。在第2C所示之上述範例中,圖案化光阻層206'由兩個線路圖案所表示(這種類型的光阻被稱為負型光阻)。然而,以下討論同樣適用於由溝槽所表示之光阻圖案。
請參見第1圖及第2D圖,方法100包括步驟110,使用圖案化光阻層206'作為罩幕以對半導體結構200實行製造製程,使得製造製程僅被施用至圖案化光阻層206'的開口內之半導體結構200的部分,而被圖案化光阻層206'覆蓋之其它部分被保護而不受製造製程的影響。在一些實施例中,製造製程包括使用圖案化光阻層206'作為蝕刻罩幕以將蝕刻製程施用至材料 層204,從而將來自圖案化光阻層206'的圖案轉移至材料層204。在替代實施例中,製造製程包括施用至半導體結構200之離子佈植製程,其使用圖案化光阻層作為佈植罩幕,從而在半導體結構200中形成各種摻雜特徵。
在本範例中,材料層204為硬罩幕層。進一步地,在此實施例中,首先將圖案從圖案化光阻層206'轉移到硬罩幕層204,接著轉移到基底202的其它層。舉例而言,可使用乾蝕刻(電漿)、濕蝕刻及/或其它蝕刻方法並透過圖案化光阻層206'的開口對硬罩幕層204進行蝕刻。舉例而言,乾蝕刻製程可提供含氧氣體、含氟氣體、含氯氣體、含溴氣體、含碘氣體、其它合適的氣體及/或電漿及/或上述之組合。在硬罩幕層204的蝕刻期間,可部分或完全消耗圖案化光阻層206'。在一實施例中,如第2E圖所示,圖案化光阻層206'的任何剩餘部分皆可被剝離,留下圖案化硬罩幕層204'於基底202上方。
儘管第1圖中未示出,方法100可於上述步驟之前、期間或之後包括其他步驟。在一實施例中,基底202係半導體基底,且方法100繼續以形成鰭式場效電晶體(fin field effect transistor,FinFET)結構。在此實施例中,方法100包括形成多個主動鰭於半導體基底202中。進一步地,在此實施例中,步驟110更包括透過圖案化硬罩幕204'的開口來蝕刻基底202以形成溝槽於基底202中;以介電材料填充溝槽;實行化學機械研磨(chemical mechanical polishing,CMP)製程以形成淺溝槽隔離(shallow trench isolation,STI)特徵;並使淺溝槽隔離(STI)特徵磊晶生長或凹陷以形成鰭狀主動區。在另一 實施例中,方法100包括其他步驟以形成多個閘極電極於半導體基底202中。方法100更可形成閘極間隔物、摻雜源極/汲極區、用於閘極/源極/汲極特徵的接觸物等。在另一實施例中,目標圖案將被形成為多層互連結構中的金屬導線。舉例而言,可將金屬線形成在基底202的層間介電層(inter-layer dielectric,ILD)中,其被步驟110蝕刻以形成多個溝槽。方法100繼續以利用諸如金屬的導電材料來填充溝槽;並進一步使用諸如化學機械平坦化(CMP)之製程來研磨導電材料以暴露圖案化層間介電層(ILD),從而形成金屬導線於層間介電層(ILD)中。以上係根據本發明實施例的各個方面之裝置/結構的非限制性範例,其可使用方法100及材料層206來製造及/或改良。
本發明實施例提供具有各自的光阻材料及顯影劑之微影製程,以提高靈敏度而不受金屬污染。光阻材料包括含金屬化學品,且顯影劑包括溶劑和化學添加劑,例如:有機酸、有機鹼或螯合物,其被設計以有效地移除金屬殘餘物。顯影步驟可包括具有不同顯影劑或額外具有不同溫度的兩個步驟。因此,可提高光阻材料的靈敏度並排除金屬污染。
根據一些實施例,本發明提供一種微影圖案化的方法。該方法包括:形成光阻層於基底上方,其中光阻層包括聚合物、含金屬化學品及酸產生化合物;對光阻層實行曝光製程;及使用第一顯影劑對光阻層實行第一顯影製程,從而形成圖案化光阻層,其中第一顯影劑包括第一溶劑及化學添加劑,以移除由含金屬化學品所產生的金屬殘餘物。
在一些實施例中,更包括使用不同於第一顯影劑 之第二顯影劑以對光阻層實行第二顯影製程。
在一些實施例中,其中實行第一顯影製程包括:在塗覆第一顯影劑至光阻層之前,加熱第一顯影劑至第一溫度;及實行第二顯影製程包括:塗覆第二顯影劑,且第二顯影劑具有低於第一溫度之第二溫度。
在一些實施例中,其中實行第一顯影製程包括:加熱第一顯影劑至第一溫度,其中第一溫度高於室溫且低於75℃。
在一些實施例中,其中在第二顯影製程之前實行第一顯影製程。
在一些實施例中,其中在第二顯影製程之後實行第一顯影製程。
在一些實施例中,其中第一顯影劑包括第一溶劑及化學添加劑,其中第一溶劑包括有機溶劑及化學添加劑,且化學添加劑係選自由酸、鹼及螯合物所組成之群組;及第二顯影劑包括第二溶劑且不含有添加劑。
在一些實施例中,其中第一溶劑包括有機溶劑,其被設計為具有以下範圍之Hansen溶解度參數:18>△D>3,7>△P>1及7>△H>1。
在一些實施例中,其中第一溶劑包括有機溶劑,其係選自由以下所組成之群組:乙酸正丁酯(n-Butyl acetate)、甲基正戊基酮(Methyl n-Amyl Ketone)、己烷、庚烷或乙酸戊酯或上述之組合。
在一些實施例中,其中第一顯影劑中之化學添加 劑具有介於0.001w%至30w%的重量百分比。
在一些實施例中,其中化學添加劑中的酸包括有機酸,其係選自由以下所組成之群組:乙二酸(ethanedioic acid)、甲酸(methanoic acid)、2-羥基丙酸(2-hydroxypropanoic acid)、2-羥基丁二酸(2-hydroxybutanedioic acid)、檸檬酸(Citric acid)、尿酸(Uric acid)、三氟甲磺酸(Trifluoromethanesulfonic acid)、苯磺酸(Benzenesulfonic acid)、乙磺酸(ethanesulfonic acid)、甲磺酸(methanesulfonic acid)、草酸二水合物(Oxalic acid dihydrate)、馬來酸(Maleic acid)及上述之組合;及有機酸調節為具有對數常數pKa,其中pKa的範圍為-11<pKa<4。
在一些實施例中,其中化學添加劑中的鹼包括有機鹼,其係選自由以下所組成之群組:單乙醇胺(Monoethanolamine)、單異丙醇胺(Monoisopropanolamine)、2-氨基-2-甲基-1-丙醇(2-Amino-2-methyl-1-propanol)、1氫-苯並三唑(1H-Benzotriazole)、1,2,4-三唑(1,2,4-Triazole)、1,8-二氮雜雙環十一碳-7-烯(1,8-Diazabicycloundec-7-ene)及上述之組合;及有機鹼調節為具有對數常數pKa,其中pKa的範圍為40>pKa>9。
在一些實施例中,其中化學添加劑中的鹼包括螯合物,其係選自由以下所組成之群組:乙二胺四乙酸(Ethylenediaminetetraacetic acid,EDTA)、乙二胺-N,N'-二琥珀酸(Ethylenediamine-N,N'-disuccinic acid,EDDS)、二亞乙基三胺五乙酸(diethylenetriaminepentaacetic acid,DTPA)、 聚天冬氨酸(Polyaspartic acid)、反式-1,2-環己烷二胺-N,N,N',N'-四乙酸單水合物(trans-1,2-Cyclohexanediamine-N,N,N',N'-tetraacetic acid monohydrate)、乙二胺(ethylenediamine)及上述之組合。
在一些實施例中,其中第一顯影劑更包括與有機溶劑混合之另一溶劑;第一顯影劑中之另一溶劑具有低於40w%之重量百分比,且第一顯影劑中之有機溶劑具有高於60w%之重量百分比;及另一溶劑之Hansen溶解度參數分別被設計為具有以下範圍:25>△D>13、25>△P>3及30>△H>4。
在一些實施例中,其中另一溶劑係選自由以下所組成之群組:丙二醇單甲醚(propylene glycol monomethyl ether,PGME)、1-乙氧基-2-丙醇(1-Ethoxy-2-propanol,PGEE),γ-丁內酯(Gamma-Butyrolactone,GBL),環己酮(Cyclohexanone,CHN),乳酸乙酯(Ethyl lactate,EL)、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲醯胺(Dimethylformamide,DMF),乙腈、異丙醇(Isopropyl alcohol,IPA)、四氫呋喃(Tetrahydrofuran,THF)、乙酸及上述之組合。
在一些實施例中,其中第一溶劑更包括與有機溶劑混合之水性溶劑;第一顯影劑中之水性溶劑具有小於20w%之重量百分比;及水性溶劑係選自由以下所組成之群組:水、乙二醇及上述之組合。
在一些實施例中,其中水性溶劑進一步與酸性水溶液及鹼性水溶液的其中之一混合,相對於顯影劑的總重量, 酸性水溶液或鹼性水溶液的重量百分比為小於5w%;酸性水溶液包括氫氟酸(HF)及鹽酸(HCl)的至少一種;及鹼性水溶液包括NH4OH。
根據一些實施例,本發明提供一種微影圖案化的方法。該方法包括:塗佈光阻層於基底上方,其中光阻層包括聚合物、含金屬化學品及酸產生化合物;對光阻層實行曝光製程;使用第一顯影劑對光阻層實行第一顯影製程;及使用不同於第一顯影劑之第二顯影劑對光阻層實行第二顯影製程,從而形成圖案化光阻層,其中第一顯影劑包括第一溶劑及化學添加劑以有效地移除金屬殘餘物。
在一些實施例中,其中實行第一顯影製程包括:在施用第一顯影劑至光阻層之前,加熱第一顯影劑至第一溫度;及實行第二顯影製程包括:施用第二顯影劑,且第二顯影劑具有低於第一溫度之第二溫度。
根據一些實施例,本發明提供一種微影圖案化的方法。該方法包括:形成光阻層於基底上方,其中光阻層包括聚合物、含金屬化學品及酸產生化合物;對光阻層實行曝光製程;及對光阻層實行顯影製程。顯影製程包括:施用第一溫度之第一顯影劑至光阻層,並施用第二溫度之第二顯影劑至光阻層,從而形成圖案化光阻層並有效地移除金屬殘餘物。第二顯影劑不同於第一顯影劑。第二溫度低於第一溫度。第一顯影劑包括第一溶劑及化學添加劑。第二顯影劑包括第二溶劑且不含有化學添加劑。
前述內文概述了許多實施例的特徵,使本技術領 域中具有通常知識者可以更佳的了解本發明的各個方面。本技術領域中具有通常知識者應該可理解,他們可以很容易的以本發明為基礎來設計或修飾其它製程及結構,並以此達到相同的目的及/或達到與本發明介紹的實施例相同的優點。本技術領域中具有通常知識者也應該了解這些相等的結構並不會背離本發明的發明精神與範圍。本發明可以作各種改變、置換、修改而不會背離本發明的發明精神與範圍。
Figure 106134517-A0101-11-0002-1
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
108A‧‧‧第一步驟
108B‧‧‧第二步驟
110‧‧‧步驟

Claims (10)

  1. 一種微影圖案化的方法,包括:形成一光阻層於一基底上方,其中該光阻層包括一含金屬化學品;對該光阻層實行一曝光製程;及使用一第一顯影劑對該光阻層實行一第一顯影製程,從而形成一圖案化光阻層,其中該第一顯影劑包括一第一溶劑及一化學添加劑,以移除由該含金屬化學品所產生的金屬殘餘物,其中該第一溶劑包括一有機溶劑,該有機溶劑具有重量百分比至少為該第一顯影劑重量的60%,並且該化學添加劑係選自由以下所組成之群組:酸、鹼及螯合物。
  2. 如申請專利範圍第1項所述之方法,更包括使用不同於該第一顯影劑之一第二顯影劑以對該光阻層實行一第二顯影製程。
  3. 如申請專利範圍第2項所述之方法,其中實行該第一顯影製程包括:在塗覆該第一顯影劑至該光阻層之前,加熱該第一顯影劑至一第一溫度;及實行該第二顯影製程包括:塗覆該第二顯影劑,且該第二顯影劑具有低於該第一溫度之一第二溫度。
  4. 如申請專利範圍第2項所述之方法,其中該第二顯影劑包括一第二溶劑且不含有添加劑。
  5. 如申請專利範圍第4項所述之方法,其中該有機溶劑被設計為具有以下範圍之Hansen溶解度參數:18>△D>3,7>△P>1及7>△H>1。
  6. 如申請專利範圍第4項所述之方法,其中該化學添加劑中的該酸包括有機酸,其係選自由以下所組成之群組:乙二酸(ethanedioic acid)、甲酸(methanoic acid)、2-羥基丙酸(2-hydroxypropanoic acid)、2-羥基丁二酸(2-hydroxybutanedioic acid)、檸檬酸(Citric acid)、尿酸(Uric acid)、三氟甲磺酸(Trifluoromethanesulfonic acid)、苯磺酸(Benzenesulfonic acid)、乙磺酸(ethanesulfonic acid)、甲磺酸(methanesulfonic acid)、草酸二水合物(Oxalic acid dihydrate)、馬來酸(Maleic acid)及上述之組合;及該有機酸調節為具有對數常數pKa,其中pKa的範圍為-11<pKa<4。
  7. 如申請專利範圍第2-4項中任一項所述之方法,其中該第一顯影劑更包括與該有機溶劑混合之一另一溶劑;該第一顯影劑中之該另一溶劑具有低於40w%之重量百分比,且該第一顯影劑中之該有機溶劑具有高於60w%之重量百分比;及該另一溶劑之Hanscn溶解度參數分別被設計為具有以下範圍:25>△D>13、25>△P>3及30>△H>4。
  8. 如申請專利範圍第4項所述之方法,其中該水性溶劑進一步與一酸性水溶液及一鹼性水溶液的其中之一混合,相對於該顯影劑的總重量,酸性水溶液或鹼性水溶液的重量百分比為小於5w%;該酸性水溶液包括氫氟酸(HF)及鹽酸(HCl)的至少一種; 及該鹼性水溶液包括NH4OH。
  9. 一種微影圖案化的方法,包括:塗佈一光阻層於一基底上方,其中該光阻層包括一聚合物、一含金屬化學品及一酸產生化合物;對該光阻層實行一曝光製程;使用一第一顯影劑對該光阻層實行一第一顯影製程,其中該第一顯影劑包括一有機溶劑及一化學添加劑,該有機溶劑具有重量百分比至少為該第一顯影劑重量的60%,並且該化學添加劑係選自由以下所組成之群組:酸、鹼及螯合物;及使用不同於該第一顯影劑之一第二顯影劑對該光阻層實行一第二顯影製程,從而形成一圖案化光阻層,其中該第一顯影劑包括一第一溶劑及一化學添加劑以有效地移除金屬殘餘物。
  10. 一種微影圖案化的方法,包括:形成一光阻層於一基底上方,其中該光阻層包括一聚合物、一含金屬化學品及一酸產生化合物;對該光阻層實行一曝光製程;及對該光阻層實行一顯影製程,其中該顯影製程包括:塗覆一第一溫度之一第一顯影劑至該光阻層,並塗覆一第二溫度之一第二顯影劑至該光阻層,從而形成一圖案化光阻層,其中該第二顯影劑不同於該第一顯影劑其中該第二溫度低於該第一溫度;該第一顯影劑包括一第一溶劑及一化學添加劑以去除由該含金屬化學品產生的金屬殘餘物並且該第二顯影劑包括一第二溶劑且不含有化學添加劑,其中該第一溶劑包括一有 機溶劑,該有機溶劑具有重量百分比至少為該第一顯影劑重量的60%,並且該化學添加劑係選自由以下所組成之群組:酸、鹼及螯合物。
TW106134517A 2016-12-15 2017-10-06 微影圖案化的方法 TWI798185B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662434950P 2016-12-15 2016-12-15
US62/434,950 2016-12-15
US15/617,300 2017-06-08
US15/617,300 US10866511B2 (en) 2016-12-15 2017-06-08 Extreme ultraviolet photolithography method with developer composition

Publications (2)

Publication Number Publication Date
TW201830472A TW201830472A (zh) 2018-08-16
TWI798185B true TWI798185B (zh) 2023-04-11

Family

ID=62556286

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106134517A TWI798185B (zh) 2016-12-15 2017-10-06 微影圖案化的方法

Country Status (3)

Country Link
US (3) US10866511B2 (zh)
CN (1) CN108227392B (zh)
TW (1) TWI798185B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386723B2 (en) 2016-03-04 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with flexible solution adjustment
US10866511B2 (en) * 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
US11300878B2 (en) 2017-11-13 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
US11320738B2 (en) * 2018-06-27 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and material for manufacturing semiconductor devices
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
US10910466B2 (en) 2018-10-22 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Process for tuning via profile in dielectric material
US10845704B2 (en) * 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US20200326627A1 (en) * 2019-04-12 2020-10-15 Inpria Corporation Organometallic photoresist developer compositions and processing methods
EP3990987A4 (en) * 2019-06-26 2023-08-16 Lam Research Corporation DEVELOPMENT OF PHOTORESIST WITH HALOGEN CHEMICALS
DE102020124247A1 (de) * 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Fotolackentwickler und verfahren zum entwickeln von fotolack
US11694896B2 (en) 2019-10-31 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
KR20220147617A (ko) 2020-03-02 2022-11-03 인프리아 코포레이션 무기 레지스트 패터닝을 위한 공정 환경
KR102619719B1 (ko) * 2020-05-12 2023-12-28 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US20210364924A1 (en) * 2020-05-21 2021-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist developer and method of manufacturing a semiconductor device
TWI780715B (zh) * 2020-05-21 2022-10-11 台灣積體電路製造股份有限公司 製造半導體裝置的方法及顯影劑組成物
US11430893B2 (en) 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US20220293587A1 (en) * 2021-03-11 2022-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for reduction of photoresist defect
WO2024015168A1 (en) * 2022-07-11 2024-01-18 Applied Materials, Inc. Dual tone photoresists
US20240085785A1 (en) * 2022-08-17 2024-03-14 Inpria Corporation Additives for metal oxide photoresists, positive tone development with additives, and double bake double develop processing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130296214A1 (en) * 2010-07-16 2013-11-07 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US20150192851A1 (en) * 2013-12-05 2015-07-09 Tokyo Ohka Kogyo Co., Ltd. Negative resist composition, method of forming resist pattern, and complex
TW201634756A (zh) * 2015-03-31 2016-10-01 氣體產品及化學品股份公司 清潔配方

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060257785A1 (en) * 2005-05-13 2006-11-16 Johnson Donald W Method of forming a photoresist element
JP5690703B2 (ja) * 2010-11-30 2015-03-25 富士フイルム株式会社 ネガ型パターン形成方法及びレジストパターン
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9110376B2 (en) * 2013-03-12 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
JP6303943B2 (ja) * 2013-09-30 2018-04-04 Jsr株式会社 感放射線性樹脂組成物及びレジストパターン形成方法
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
TWI584061B (zh) * 2014-08-27 2017-05-21 羅門哈斯電子材料有限公司 多重圖案的形成方法
JP6764636B2 (ja) * 2014-10-08 2020-10-07 東京応化工業株式会社 感放射線性樹脂組成物、パターン製造方法、透明絶縁膜、及び表示装置
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US10866511B2 (en) * 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130296214A1 (en) * 2010-07-16 2013-11-07 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US20150192851A1 (en) * 2013-12-05 2015-07-09 Tokyo Ohka Kogyo Co., Ltd. Negative resist composition, method of forming resist pattern, and complex
TW201634756A (zh) * 2015-03-31 2016-10-01 氣體產品及化學品股份公司 清潔配方

Also Published As

Publication number Publication date
CN108227392B (zh) 2022-01-04
US20240045327A1 (en) 2024-02-08
US11822238B2 (en) 2023-11-21
TW201830472A (zh) 2018-08-16
US20180173096A1 (en) 2018-06-21
US10866511B2 (en) 2020-12-15
CN108227392A (zh) 2018-06-29
US20210103213A1 (en) 2021-04-08

Similar Documents

Publication Publication Date Title
TWI798185B (zh) 微影圖案化的方法
TWI761399B (zh) 半導體裝置的製作方法
TWI706224B (zh) 微影圖案化方法與光阻
TW202018766A (zh) 微影圖案化之方法
US11378884B2 (en) Extreme ultraviolet photoresist and method
US10520821B2 (en) Lithography process with enhanced etch selectivity
US11809080B2 (en) Extreme ultraviolet photoresist with high-efficiency electron transfer
TW201901294A (zh) 微影圖案化的方法
TWI793079B (zh) 半導體裝置的製作方法
CN108333866B (zh) 光刻图案化的方法
US11003076B2 (en) Extreme ultraviolet photoresist and method
TWI761987B (zh) 負光阻及其顯影的方法