KR20220147617A - 무기 레지스트 패터닝을 위한 공정 환경 - Google Patents

무기 레지스트 패터닝을 위한 공정 환경 Download PDF

Info

Publication number
KR20220147617A
KR20220147617A KR1020227032090A KR20227032090A KR20220147617A KR 20220147617 A KR20220147617 A KR 20220147617A KR 1020227032090 A KR1020227032090 A KR 1020227032090A KR 20227032090 A KR20227032090 A KR 20227032090A KR 20220147617 A KR20220147617 A KR 20220147617A
Authority
KR
South Korea
Prior art keywords
coating
wafer
atmosphere
radiation
exposure
Prior art date
Application number
KR1020227032090A
Other languages
English (en)
Inventor
앨런 제이. 텔레키
피터 데 쉐퍼
스티븐 티. 메이어스
제이슨 케이. 스토워스
더글라스 에이. 케쉴러
소냐 카스테야노스 오르테가
마이클 그리어
커스틴 루탄
Original Assignee
인프리아 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인프리아 코포레이션 filed Critical 인프리아 코포레이션
Publication of KR20220147617A publication Critical patent/KR20220147617A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

방사선 패턴화가능한 유기금속 코팅의 처리는 코팅과 패턴 현상 사이의 적절한 후처리 조건 선택을 통해 개선되는 것으로 나타났다. 특히, 코팅된 웨이퍼는, 특히 조사 후에, 다양한 공정 지점에서 코팅의 에이징을 허용하도록 공정 지연을 거칠 수 있다. 공정 지연은 가열 단계와 결합되고 가열 단계 사이에 배치될 수 있다. 다양한 공정 단계에서 코팅된 웨이퍼 위의 분위기를 조정하여 패턴 현상에서의 원하는 개선을 수득할 수 있다. 반응성 기체는 코팅 특성의 개선과 관련하여 유익할 수 있다.

Description

무기 레지스트 패터닝을 위한 공정 환경
관련 출원의 상호 참조
본 출원은 "Process Environment For Inorganic Resist Patterning" 라는 발명의 명칭으로 2020년 3월 2일자로 Telecky 등에 의해 출원된 공계류 중인 미국 가특허 출원 제62/984,023호에 대한 우선권을 주장하며, 이는 참조로 본 명세서에 포함된다.
기술분야
본 발명은 패턴의 현상을 통한 증착과 관련한 방사선 민감성 유기금속 패터닝 조성물, 특히 유기 주석 산화물 수산화물 기반 조성물의 처리에 관한 것이다.
반도체 제조는 반도체 장치의 제조를 구성하는 재료와 공정의 고성능과 고재현성을 모두 요구한다. 예를 들어, 대량 제조 중에, 리소그래피 공정이 많은 웨이퍼에 걸쳐 여러 날에 걸쳐 반복가능한 방식으로 동일한 크기와 모양의 피처를 생성하는 것이 바람직하며 따라서 리소그래피 재료의 패터닝 안정성이 높은 것이 바람직하다.
금속 산화물 수산화물 포토레지스트, 특히 유기주석 산화물 재료는 높은 흡광도, 높은 패턴 충실도 및 높은 에칭 대비(contrast)로 인해 반도체 패터닝 및 제조에 대한 유망한 재료이다. 이러한 장점에도 불구하고, 이들 재료 중 다수는 다단계 제조 공정 전반에 걸쳐 웨이퍼가 노출되는 분위기의 습도 또는 조성과 같은 환경적 영향에 취약한 것으로 알려져 있다. 본 발명은 금속 산화물 수산화물 포토레지스트의 처리 상의 변동을 감소시키고/시키거나 감도를 향상시킬 수 있다.
발명의 개요
본 명세서에 기술된 방법은 웨이퍼 처리 전반에 걸쳐 제어된 농도의 반응성 기체의 존재 하에 포토레지스트를 처리함으로써 금속 산화물 수산화물 포토레지스트의 공정 변동성을 개선한다. 본 발명은 또한 예를 들어 이산화탄소, 일산화탄소 및 물과 같은 반응성 기체의 제어된 및/또는 표적화된 농도를 갖는 분위기에 방사선 패턴화된 금속 산화물 수산화물 포토레지스트 코팅을 노출시키는 것에 관한 것이다. 반응성 기체는 일반적인 처리 중에 도입되거나 또는 선택된 온도에서 도입될 수 있다.
본 발명은 또한 노광된 재료와 반응하여 조사된 또는 조사되지 않은 재료의 적어도 일부를 새로운 조성으로 변환시킬 수 있는 화학물질에 방사선 패턴화된 금속 산화물 수산화물 코팅을 노출시키는 것에 관한 것이다.
특히, 본 발명은 약 1 nm 내지 약 500 nm의 두께를 갖는 웨이퍼 상의 방사선 패턴화가능한 유기 주석계 코팅의 처리를 개선하는 방법에 관한 것으로, 상기 방법은, 조사 후, 코팅을 갖는 웨이퍼를 약 200 Torr 이상의 압력의 분위기에서 패턴 현상 전에 에이징하기 위해 보관하는 단계를 포함하며, 상기 에이징은 약 20분 이상 동안이다.
추가 구현예에서, 본 발명은 약 1 nm 내지 약 500 nm의 평균 두께를 갖는 웨이퍼 상의 방사선 패턴화가능한 유기 주석계 코팅을 형성하는 방법에 관한 것으로, 상기 방법은 현상 전에 코팅을 갖는 웨이퍼를 약 500 ppm 내지 약 10 몰%의 CO2 농도를 포함하는 분위기와 접촉시켜 물리적 패턴을 형성하는 단계를 포함하며, 상기 코팅은 화학식 RSnOxOH3-x로 나타내는 조성을 포함하며, 여기서 R은 1 내지 31개의 탄소 원자를 가지며, 탄소 원자가 Sn에 결합되고 하나 이상의 탄소 원자가 하나 이상의 헤테로원자 작용기로 임의로 치환된 유기 리간드이다.
추가 구현예에서, 본 발명은 Sn-C 결합을 갖는 유기 주석 산화물 수산화물을 포함하는 방사선 패턴화가능한 유기 주석계 코팅의 처리를 개선하는 방법에 관한 것으로, 상기 코팅은 약 1 nm 내지 약 500 nm의 두께를 갖고, 상기 방법은, 조사 후, 유기 주석 산화물 수산화물 코팅을 갖는 웨이퍼를 조사된 영역에서의 주석-결합 리간드를 변경시키는 반응성 기체를 포함하는 분위기와 접촉시키는 단계를 포함하고, 여기서 반응성 기체는 SO2, H2S, CH3SH, CO, COS, HOOH, NH3, H2, O3, 산화질소, PH3, SiH4, CH4, 산화에틸렌 또는 이들의 조합을 포함한다.
다른 구현예에서, 방법은 Sn-C 결합을 갖는 유기 주석 산화물 수산화물을 포함하는 방사선 패턴화가능한 유기 주석계 코팅의 처리를 개선하는 방법에 관한 것으로, 상기 코팅은 웨이퍼 상의 약 1 nm 내지 약 500 nm의 두께를 갖고, 상기 방법은 유기 주석 산화물 수산화물 코팅을 반응성 기체를 포함하는 분위기와 접촉시키는 단계를 포함하고, 상기 반응성 기체는 SO2, H2S, CH3SH, CO, COS, H2, O3, 산화질소, PH3, SiH4, HOOH, NH3, CH4, 또는 산화에틸렌을 포함하고, 상기 반응성 기체는 방사선 노광에 의해 형성된 잠상이 코팅의 조사된 부분과 조사되지 않은 부분 사이의 현상 속도에서의 더욱 큰 대비를 생성하도록 코팅의 조사된 부분과 조사되지 않은 부분에서의 주석 결합 리간드를 차등적으로 변경시키는 것이다. 일부 구현예에서, 대비 상승의 결과 적어도 약 0.25 nm의 현상된 구조의 임계 치수 증가를 초래할 수 있다.
도 1은 레지스트가 처리 중에 제어된 분위기와 접촉하고 궁극적으로 현상되는 공정 흐름의 개략도이다.
도 2는 웨이퍼와 접촉하는 분위기가 선택될 수 있는 처리의 상이한 단계를 보여주는 개략도이다.
도 3은 처리 분위기의 평균 CO2 농도에 대한 패턴화된 필름의 임계 치수(CD)의 플롯이다.
도 4는 코팅 후 지연(PCD) 대상 샘플 및 노출 후 지연(PED) 대상 샘플에 대한 지연 시간에 대한 패턴화된 필름의 임계 치수(CD)의 플롯이다.
도 5는 인-하우스 UV 노광 시스템의 개략적인 측면도이다.
도 6은 질소 분위기에서 노출된 복제 샘플 세트와 공기 분위기에서 노출된 복제 샘플 세트에 대한 1% 도즈 단계를 나타내는 패드 수에 따른 레지스트 두께의 대비 곡선의 플롯이다.
도 7은 질소 분위기에서 또는 공기 분위기에서 방사선에 노광된 레지스트 샘플에 대한 1% 도즈 단계를 나타내는 패드 수에 따른 FTIR 스펙트럼의 CH 흡수 면적의 플롯이며, 여기서 FTIR 스펙트럼은 공기 중에서 제1 노광 후 에이징 기간 후에 측정된다.
도 8은 질소 분위기에서 또는 공기 분위기에서 방사선에 노광된 레지스트 샘플에 대한 1% 도즈 단계를 이용하는 패드 수에 따른 FTIR 스펙트럼의 CH 흡수 면적의 플롯이며, 여기서 FTIR 스펙트럼은 공기 중에서 제1, 제2 및 제3 노광 후 에이징 기간 후에 측정된다.
도 9는 공기 중에서 24시간 노광 후 지연, 진공에서 24시간 노광 후 지연, 또는 노광 후 지연 없음이 적용된 EUV 조사된 레지스트 샘플에 대한 노광량에 따른 정규화된 레지스트 두께를 보여주는 대비 곡선의 플롯이다.
도 10은 공기 중에서 24시간 노광 후 지연, 진공에서 24시간 노광 후 지연, 또는 노광 후 지연 없음이 적용된 EUV 조사된 레지스트 샘플의 FTIR 스펙트럼에서의 노광량에 따른 정규화된 OH 흡수 면적의 플롯이다.
도 11은 노광 후 지연 및 노광 후 베이킹 조건의 3가지 다른 조합이 적용된 레지스트 샘플에 대한 노광량에 따른 정규화된 레지스트 두께를 나타내는 대비 곡선의 플롯이다.
유기 주석계 레지스트의 패터닝은 증착된 상태의 코팅을 코팅 후 처리에 이어 조사를 통한 형성된 이미지 현상을 통해 향상될 수 있다. 처리 중에 금속 산화물 수산화물로 코팅된 웨이퍼가 노출되는 반응성 기체의 분압을 제어함으로써, 재료 변동성 및 후속 패터닝 변동성을 감소시킬 수 있다. 추가적으로 또는 대안적으로, 조사 후 웨이퍼의 에이징은 패터닝 대비를 개선하기 위해 조사된 재료의 축합 또는 네트워크 형성 공정을 추가로 할 수 있고, 에이징 동안의 분위기는 또한 처리를 개선하기 위해 조정될 수 있다. 개선된 처리의 일부는 현상 이전 조사 후의 웨이퍼의 에이징을 포함할 수 있으며 일부 바람직한 에이징은 불활성 분위기에서 수행될 수 있다. 특히, 일부 구현예에서, 현재의 설명은 금속 산화물 수산화물 포토레지스트를 특정 농도의 반응성 기체에 노출시키는 방법을 다룬다. 또한, 방사선 패턴화된 금속 산화물 수산화물 재료가 특정 반응성 기체에 노출될 때, 패턴화된 재료는 반응하여 적어도 부분적으로 새로운 조성으로 변환될 수 있다. 대안적으로 또는 추가적으로, 금속 산화물 수산화물 코팅의 조사되지 않은 부분은 반응성 기체와 반응하여 적어도 부분적으로 새로운 조성으로 변환될 수 있다. 일부 구현예에서, 이러한 개질된 재료의 얻어진 신규 조성은 특히 비-개질된 조성에 비해 개선된 에칭 선택성, 경도, 응력 및 안정성을 나타낼 수 있다. 추가적으로 또는 대안적으로, 반응성 기체에 대한 방사선 패턴화된 금속 산화물 수산화물 포토레지스트 재료의 노출은 재료의 표면을 변경하여 초기 재료 표면에 비해 극성 또는 표면 에너지 차를 크게 변경시키는 결과를 초래할 수 있으며 따라서 현저히 다른 에칭 선택성 또는 접착력 결과를 초래할 수 있다.
웨이퍼 처리는 일반적으로 기판 또는 웨이퍼가 코팅/증착에서부터 기판으로부터의 제거까지 거치는 모든 개별 프로세스를 포함한다. 추가적으로, 톤 포토레지스트 패턴을 반전시키기 위해 톤-반전 프로세스가 구현될 수 있다. 일반적으로, 웨이퍼 처리에는 코팅, 베이킹, 전사 단계, 후면 및 가장자리-비드 헹굼, 방사선 노광, 현상, 어닐링 및 에칭이 포함될 수 있다. 웨이퍼 처리 동안 반응성 기체의 존재와 농도를 제어할 뿐만 아니라 처리 타이밍도 제어하는 것이 바람직하다는 것이 발견되었다.
적절한 가스 또는 기타 반응성 종에 노출되면, 무기 물질, 금속 산화물 및 금속 수산화물이 반응하여 출발 물질과 다른 특성 및/또는 조성을 나타내는 새로운 화합물을 형성할 수 있다. 이것은 도 1에 개략적으로 도시되어 있다. 조사된 구조(100)는 기판(106) 상의 조사된 코팅 재료(102), 조사되지 않은 코팅 재료(104)를 포함한다. 조사 후 처리(108) 후에, 처리된 구조(110)는 기판(106) 상의 조사되지 않은 코팅 재료(104)에 인접한 변형된 재료(112)를 포함한다. 에칭(118) 후에, 에칭된 구조(120)에는 처리된 코팅 재료가 제거된다.
예를 들어, 많은 금속 산화물이 수소 가스에 노출되어 금속을 더 낮은 산화 상태로 또는 원소 형태로 변환시키는 환원 반응을 유도할 수 있다. 또한, 많은 금속은 여러 안정한 산화 상태, 예를 들어 Fe2+/Fe3+, Sn2+/Sn4+, Sb3+/Sb5+ 등을 가지며, 이의 상응하는 산화물은 전도도, 용해도, 경도 및 밀도와 같은 다른 물리적 및 화학적 특성을 나타낼 수 있다. 어떤 경우에는 패턴화된 금속 산화물 재료의 일부만이 새로운 조성으로 변환된다. 궁극적으로, 이러한 다른 특성은 달리 달성할 수 없는 현상 공정을 포함한 후속 에칭 공정을 가능하게 할 수 있다.
현재 처리는 금속 산화물 수산화물 코팅 재료 내의 비휘발성 금속 이온의 존재를 기반으로 할 수 있다. 종래 폴리머 패터닝 재료와 달리 금속 산화물 수산화물 재료와 같은 금속 이온을 포함하는 재료는, 기체, 액체, 또는 플라즈마 등과 같은 특정 반응성 조성물에 노출되거나 그와 반응할 때 산화 상태 및/또는 리간드 구조와 관련해 화학적 변화를 거칠 수 있다. 많은 금속은 적절한 반응 조건을 제어함으로써 선택적으로 형성될 수 있는 여러 안정한 산화 상태를 가지고 있다. 또한, 금속 산화물 및 수산화물은 다양한 음이온 및 반대이온을 구조에 혼입하여 시작 물질과 다른 특성을 갖는 새로운 조성을 형성하는 것으로도 알려져 있다. 유기주석 산화물 수산화물 및 유기안티몬 산화물 수산화물과 같은 유기금속 조성물은 또한 이들의 금속 산화물 수산화물 특성, 예컨대 금속-산소 및 금속- 수산화물 결합의 존재로 인해 반대이온을 혼입하는 이러한 능력을 가지고 있다.
얻어진 코팅 재료 특성의 경험적 평가가 일반적으로 패터닝 공정에 효과적인 처리 조건을 선택하기 위해 수행될 수 있다. 공정의 성공적인 적용을 위해 가열이 필요하지 않을 수 있지만, 코팅된 기판을 가열하여 공정을 가속화하고/하거나 공정의 재현성을 증가시키고/시키거나 휘발성 부산물의 기화를 촉진하는 것이 바람직할 수 있다. 사전-노광 베이킹에서 용매를 제거하기 위해 열이 적용되는 구현예에서, 코팅 재료는 약 45℃ 내지 약 250℃의 온도로, 추가 구현예에서 약 55℃ 내지 약 225℃의 온도로 가열될 수 있다. 용매 제거를 위한 가열은 일반적으로 적어도 약 0.1분 동안, 추가 구현예에서 약 0.5분 내지 약 30분, 또다른 구현예에서 약 0.75분 내지 약 10분 동안 수행될 수 있다. 최종 필름 두께는 베이킹 온도와 시간 및 전구체의 초기 농도에 의해 결정된다. 당업자는 상기 명시적인 범위 내에서 가열 온도 및 시간의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 코팅 재료의 열처리, 잠재적인 가수분해 및 조밀화의 결과로, 코팅 재료는 용해 속도 대비의 현저한 손실 없이 굴절률 및 방사선 흡수의 증가를 나타낼 수 있다.
증착 공정은 습식 코팅 두께를 결정한다. 추가 처리를 위해 일반적으로 용매를 제거하여 기판에 코팅으로서 고체 층을 남긴다. 적절한 구현예의 경우, 용액 농도 및 공정 조건은 건조 코팅 두께에 영향을 미치며, 이는 원하는 패터닝 특성을 달성하도록 선택될 수 있다. 유사하게, 증기 코팅 공정의 경우, 증착 속도 및 시간과 같은 증착 매개변수가 코팅 두께에 영향을 미칠 수 있다. 평균 건조 코팅 두께는 약 1 nm 내지 약 1000 nm, 일부 구현예에서는 약 2 nm 내지 약 300 nm, 추가 구현예에서는 약 3 nm 내지 약 200 nm, 또다른 구현예에서는 약 3 nm 내지 약 80 nm일 수 있다. 후술하는 기상 증착의 경우, 코팅 두께는 원하는 코팅 층 두께를 달성하기 위해 공정 조건을 통해 상응하게 조정될 수 있다. 당업자는 상기 명시적인 범위 내에서 추가적인 평균 두께 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
예를 들어 수증기는 금속 산화물 및 수산화물과 반응하여 금속 수산화물을 형성할 수 있으며, 이 금속 수산화물은 반응에 따라 추가 축중합 반응을 겪을 수 있다. 반응이 진행됨에 따라, 얻어진 금속 산화물 수산화물 물질은 수소 결합을 통해 네트워크를 형성하거나 물의 제거에 의해 축합하여 감소된 현상 속도를 갖는 물질을 형성할 수 있다. 따라서, 포토레지스트를 수증기에 노출시킴으로써 원하는 피처 크기를 생성하기 위해 금속 산화물 수산화물 포토레지스트를 패터닝하는 데 필요한 도즈를 줄이는 것이 가능하다. 특히, 방사선 패턴화된 재료를 수증기에 노출시키는 것은 포토레지스트의 감도를 향상시킬 수 있다.
물의 평형 증기압은 온도에 따라 직접적으로 변하기 때문에 공기의 수분 함량은 일반적으로 상대 습도 퍼센트(RH%)로 표시된다. 주어진 온도에서, 더 높은 RH%는 더 낮은 RH% 값보다 공기 중에 더 많은 수증기가 존재함을 나타낸다. 공정 변동성을 줄이기 위해, 코팅에서부터 현상후 어닐링까지 기판 처리의 각 단계는 일반적으로 40%에서 60% 사이의 일정한 상대 습도 환경에서 수행할 수 있다. 다른 구현예에서, 선택된 RH%는 리소그래피 처리 전반에 걸쳐 상이한 단계들에 대해 변할 수 있는데; 그러나 각 단계 내에서 일반적으로 RH%는 대략 일정하게 유지되는 것이 바람직할 수 있다. 일반적으로 웨이퍼 패터닝 설비의 상대 습도(RH)는 40%와 60% 사이로 설정되며 이 값에 가깝게 유지된다. 코팅 모듈 또는 웨이퍼 트랙 내의 베이킹 모듈에서와 같이 처리 중 웨이퍼 주변의 분위기의 RH는 그보다 큰 시설과는 다른 값으로 설정될 수 있다. 일부 구현예에서, 처리 분위기의 RH는 60%와 80% 사이, 다른 구현예에서 80%와 90% 사이, 추가 구현예에서 90% 초과와 같이 큰 시설보다 높을 수 있다. 다른 구현예에서, 처리 분위기의 RH는 20%와 40% 사이, 다른 구현예에서 10%와 20% 사이, 추가 구현예에서 10% 미만과 같이 큰 시설보다 낮을 수 있다. 일부 구현예에서, 처리 분위기의 RH%는 약 10% 이하, 또다른 구현예에서는 약 5% 이하, 추가의 구현예에서 약 2% 이하로 변할 수 있다. 상대 습도 및 온도는 수증기에 대한 분압과 상관관계가 있으며, 당업자는 이러한 값을 상호 변환할 수 있다. 질소 또는 아르곤과 같은 불활성 분위기가 처리에 사용되는 경우, 물 농도는 10 몰ppm 이하와 같이 매우 낮을 수 있으며, 상응하는 RH 값은 온도에 따라 달라진다. 당업자는 상기 명시적인 범위 내에서 추가적인 상대 습도 범위 및 상대 습도 변형예가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
또한, 일부 구현예에서, 처리의 적어도 일부 동안 코팅된 웨이퍼 위에 불활성 분위기를 갖는 것이 바람직할 수 있다. 예를 들어, 질소(N2), Ar, 기타 불활성 기체 또는 이들의 조합이 사용될 수 있다. 압력은 별도로 조정될 수 있지만, 대기압에 가까운 압력을 사용하면 대기로 배출되는 흐름이 있는 더 간단한 장비를 포함할 수 있다. 대안적으로, 압력 조절 챔버는 상용 장비를 사용하여 웨이퍼 처리에 쉽게 사용할 수 있다. 적절한 압력 범위는 아래에서 추가로 설명된다. 254 nm UV로 조사하는 동안 또는 조사 후 지연 동안 N2를 사용하여 처리하는 예가 아래에 나와 있으며, 이는 향상된 처리 결과를 얻었다. 불활성 기체는 효과를 나타내기 위해 완벽하게 순수할 필요는 없으며, 일반적으로 95 몰% 이상 순수, 추가 구현예에서 약 98 몰% 이상, 또다른 구현예에서 약 99 몰% 이상 순수, 및 다른 구현예에서 약 99.9 몰% 이상 순수하다. 당업자는 상기 명시적인 범위 내의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
일반적인 공정도가 도 1에 개략적으로 제시되어 있다. 먼저, 웨이퍼는 방사선 패턴화가능한 유기금속 산화물 수산화물 레지스트로 코팅된다. 그후 코팅된 웨이퍼가 건조되며, 여기에는 PAB(도포 후 베이킹(post application bake))가 포함될 수 있다. 공정을 성공적으로 적용하기 위해 가열이 필요하지 않을 수 있지만, 코팅된 기판을 가열하여 공정 속도를 높이고/하거나 공정의 재현성을 높이고/높이거나 아민 및/또는 알코올과 같은 가수분해 부산물의 기화를 촉진하는 것이 바람직할 수 있다. 용매를 제거하기 위해 열이 가해지는 구현예에서, 코팅 재료는 약 45℃ 내지 약 250℃, 추가 구현예에서 약 55℃ 내지 약 225℃의 온도로 가열될 수 있다. 용매 제거를 위한 가열은 일반적으로 적어도 약 0.1분 동안, 추가 구현예에서 약 0.5분 내지 약 30분, 또다른 구현예에서 약 0.75분 내지 약 10분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내에서 가열 온도 및 시간의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 코팅 재료의 열처리, 가수분해 및 조밀화의 결과로, 코팅 재료는 대비의 현저한 손실 없이 굴절률 및 방사선 흡수의 증가를 나타낼 수 있다.
건조 및 임의의 PAB 적용 이후 코팅된 웨이퍼는 조사 전에 일정 시간 동안 보관할 수 있다. 특정 공정 트랙은 코팅된 웨이퍼를 방사선 노광을 위한 위치로 이동시키는 표준량의 시간을 가질 수 있다. 공정 트랙의 노광 스테이션으로 웨이퍼를 이송하기 위한 통상적인 공정 시간보다 더 긴 기간 동안 방사선 노광 이전에 건식 코팅된 웨이퍼를 추가로 에이징시키기 위한 코팅 후 지연이 도입될 수 있다. 코팅 후 지연은 20분 이상, 추가 구현예에서 약 1시간 이상, 추가 구현예에서 약 13시간 이상, 일부 구현예에서 약 2시간 내지 약 7일일 수 있다. 당업자는 상기 명시적인 범위 내의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 코팅 후 지연(PCD)은 공기, 기체 함량이 변경된 공기, N2, 아르곤 또는 기타 불활성 기체 또는 진공과 같은 웨이퍼 위의 특정 분위기에서 수행할 수 있다.
도 2를 참조하면, 임의의 코팅 후 지연 후에, 구조물을 방사선 노광시킨다. 유기금속 레지스트에 잠상을 형성하기 위해 패턴화된 방사선이 방사선 민감성 코팅으로 지향된다. 방사선은 일반적으로 마스크를 통해 코팅된 기판으로 지향될 수 있거나 방사선 빔이 기판을 가로질러 제어가능하게 스캔될 수 있다. 일반적으로, 방사선은 전자기 방사선, 전자선(베타 방사선), 또는 다른 적절한 방사선을 포함할 수 있다. 일반적으로 전자기 방사선은 가시광선, 자외선, 극자외선 또는 X선 방사선과 같은 원하는 파장 또는 파장 범위를 가질 수 있다. 방사선 패턴에 대해 달성가능한 해상도는 일반적으로 방사선 파장에 따라 달라지며 일반적으로 더 높은 해상도 패턴은 더 짧은 파장의 방사선에 의해 달성될 수 있다. 따라서, 특히 고해상도 패턴을 달성하기 위해서는, 자외선, 극자외선 또는 X선 방사선 또는 전자선 조사를 사용하는 것이 바람직할 수 있다.
본 명세서에 참조로 포함된 국제 표준 ISO 21348(2007)에 따라, 자외선은 100 nm 이상 400 nm 미만의 파장 사이에서 확장된다. 크립톤 플루오라이드 레이저는 248 nm 자외광의 공급원으로 사용될 수 있다. 자외선 범위는 10 nm 이상 내지 121 nm 이하의 극자외선(EUV) 및 122nm 이상 내지 200 nm 이하의 원자외선(FUV)과 같이 허용되는 표준에 따라 여러 방식으로 세분될 수 있다. 아르곤 플루오라이드 레이저의 193 nm 라인을 FUV의 방사선 공급원으로 사용할 수 있다. 13.5 nm의 EUV 광은 리소그래피에 사용되어 왔으며, 이 광은 고에너지 레이저 또는 방전 펄스를 사용하여 여기된 Xe 또는 Sn 플라즈마 소스로부터 생성된다. 연 X선은 0.1 nm 이상 내지 10 nm 미만으로 정의될 수 있다.
전자기 방사선의 양은 노출 시간에 대한 통합 복사 플럭스로 정의되는 플루언스 또는 선량(dose)으로 특성화될 수 있다. 일반적으로, 적합한 EUV 방사선 플루언스는 약 1 mJ/cm2 내지 약 175 mJ/cm2일 수 있고, 추가 구현예에서 약 2 mJ/cm2 내지 약 150 mJ/cm2, 추가 구현예에서 약 3 mJ/cm2 내지 약 125 mJ/cm2일 수 있다. 당업자는 상기 명시적인 범위 내에서 방사선 플루언스의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
코팅 재료의 설계에 따라 코팅 재료의 조사된 영역과 조사되지 않은 영역 사이에서 재료 특성의 큰 대비가 유도될 수 있다. 조사 이후, 조사된 구조는 잠상의 현상 전에 노광 후 처리를 거칠 수 있다(도 2 참조). 특히, 노광 후 처리는 노광 후 베이킹 및/또는 조사된 구조를 에이징하기 위한 노광 후 지연을 포함할 수 있다. 조사 후 열처리가 사용되는 구현예(노광 후 베이킹(PEB))의 경우, 조사 후 열처리는 현상 전에 약 45℃ 내지 약 250℃, 또다른 구현예에서는 약 50℃ 내지 약 225℃, 추가의 구현예에서는 약 60℃ 내지 약 200℃, 다른 구현예에서는 약 95℃ 내지 약 190℃의 온도, 뿐만 아니라 상한과 하한의 조합에 기초한 임의의 범위를 명시적으로 포함하는 온도, 예컨대 약 95℃ 내지 약 250℃에서 수행될 수 있다. 노광 후 가열은 일반적으로 적어도 약 0.1분 동안, 추가 구현예에서 약 0.5분 내지 약 30분, 또다른 구현예에서 약 0.75분 내지 약 10분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내에서 조사 후 가열 온도 및 시간의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 본 명세서에 기술되는 바와 같이, 공기, 기체 함량이 변경된 공기, N2, 아르곤 또는 기타 불활성 기체 또는 진공과 같은 웨이퍼 위의 특정 분위기에서 노광 후 베이킹(PEB)가 수행될 수 있다. 재료 속성의 높은 대비는 다음 섹션에 기술된 바와 같이 현상 후 패턴에서 부드러운 가장자리가 있는 고해상도 라인의 형성을 더욱 용이하게 한다.
또한, 노광된 웨이퍼가 에이징되는 노광 후 지연을 갖는 것이 바람직할 수 있다. 노광 후 지연은 노광 후 베이킹의 대안으로 사용될 수 있거나(일부 구현예에서는 둘 다 사용되지 않을 수도 있음), 또는 노광 후 베이킹 전에 노광 후 지연이 수행될 수 있거나, 또는 노광 후 베이킹 후에 노광 후 지연이 수행될 수 있거나, 또는 제1 노광 후 지연 후 및 제2 노광 후 베이킹 전에 모두에서 노광 후 베이킹이 수행될 수 있다. 노광 후 지연 동안 가열이 수행되는 경우, 가열 온도는 일반적으로 노광 후 베이킹 온도보다 낮으며 다른 가열 영역들 사이에서 전환시키기 위해 적절한 온도 램프가 사용되기도 한다.
노광 후 지연은 약 10분 이상, 추가 구현예에서 약 20분 이상, 또다른 구현예에서 약 25분 내지 약 7일, 일부 구현예에서 약 30분 내지 약 3일, 및 다른 구현예에서 약 40분 내지 약 2일의 시간 동안일 수 있으며, 추가 범위는 이러한 범위의 지연 종료점의 임의의 및 모든 조합을 명시적으로 포함한다. 본 명세서에 기술된 바와 같이, 공기, 기체 함량이 변경된 공기, N2, 아르곤 또는 다른 불활성 기체, 또는 진공과 같은 웨이퍼 상의 특정 분위기에서 노광 후 지연(PED)이 수행될 수 있다. 노광 후 지연은 주변 온도에서 수행되거나 또는 상승된 온도에서 수행될 수 있는데, 이는 더 짧은 지연을 허용하기 위해 공정 시간을 가속화할 수 있다. 노광 후 지연 또는 노광 후 지연의 선택된 일부 동안의 온도는 약 30℃ 내지 약 150℃, 또다른 구현예에서 약 40℃ 내지 약 130℃, 추가 구현예에서 약 50℃ 내지 약 120℃, 및 일부 구현예에서 약 55℃ 내지 약 95℃일 수 있으며, 뿐만 아니라 이러한 온도 종점에 기초한 추가 범위를 명시적으로 포함하는 온도, 예컨대 30℃ 내지 약 95℃일 수 있다. 당업자는 상기 명시적인 범위 내에서 시간 및 온도의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 더 높은 온도는 일반적으로 장기간 유지되지 않는다. 그러나 다양한 공정 파라미터는 패터닝의 바람직한 개선을 얻기 위해 본 명세서의 교시에 기초하여 최적화될 수 있다.
유기금속 레지스트 조성물에 대한 방사선 노광은 일반적으로 결합 절단을 수반한다. 특히 관심 있는 레지스트 조성물에서 결합 절단은 일반적으로 탄소-금속 결합의 파괴를 포함한다. 탄소 금속 결합의 파괴는 다른 리간드-금속 결합을 형성할 수 있는 능력을 가진 라디칼 및/또는 금속 원자와 같은 반응성 종을 남길 수 있다. 유기 종은 일반적으로 물질을 빠져나가는 기체 부산물을 형성하고, 금속 산화물 수산화물은 보다 금속 산화물과 유사한 구조로 축합하고/하거나 및/또는 긴밀하게 결합된 종의 네트워크를 형성하여 패턴화된 구조가 조사된 영역과 조사되지 않은 영역 사이에 높은 에칭 대비를 갖도록 조밀화시킨다. 예를 들어, 조밀화된 조사된 코팅은 원래 유기금속 조성물을 가용화하는 데 사용되는 유기 용매에 더 불용성이 된다.
노광 후 처리는 일반적으로 네트워크 형성 및 노출된 코팅의 조밀화를 촉진하고 향상시키는 것과 관련이 있다. 가열은 일반적으로 조밀화 과정의 일부인 격자 구조의 고체 상태 재구성을 가속화할 수 있으며 가열은 또한 특정 반응을 촉진할 수 있다. 과도한 가열은 코팅의 조사되지 않은 부분에 영향을 주어 현상 대비를 감소시킬 수 있으므로 가열을 적절하게 제어해야 한다. 잠상의 현상 이전에 노광 후 지연을 통한 추가 에이징은 조밀화 공정이 일어나는데 더 많은 시간을 제공할 수 있다. 코팅 후 처리 중에 코팅된 웨이퍼를 둘러싼 분위기는 처리 효과에 상당한 영향을 미칠 수 있다. 분위기는 조성과 압력으로 특징지어질 수 있다.
조밀화 공정은 작은 부피 변화를 포함하므로, 압력의 증가는 열역학적으로 조밀화에 유리하게 작용하는 경향이 있다. 통상 그 반대도 또한 그러하므로, 압력을 낮추면 열역학적으로 조밀화에 불리하게 작용하는 경향이 있다. 노광 후 지연 중에 적용된 진공이 에칭 대비 감소를 초래하는 것으로 나타난 예가 아래에 제시되어 있다. 유사하게, 분위기의 화학적 성질은 노광 후 처리의 효과를 변경할 수 있다. 다시 말하지만, 적절한 기체 분위기는 예를 들어 공기, 공기와 함께 추가 기체, 질소, 아르곤 및 기타 불활성 기체, 반응성 기체를 포함한다. 일부 열이 별도의 노광 후 베이킹과 별개로 또는 그와 함께 노광 후 지연 동안 가해질 수 있는데, 별도의 노광 후 베이킹은 노광 후 지연 동안의 가열보다 더 높은 온도일 수 있으며, 두 공정 체제는 구별된다.
특히, 방사선 패턴화된 물질의 이산화탄소에 대한 노출은 포토레지스트의 감도를 증가시킬 수 있다는 것도 발견되었다. 유기주석 산화물 수산화물 시스템 내 CO2 혼입으로 인한 새로운 조성의 형성은 예를 들어 문헌[Plasseraud et al., J. Organometallic Chem. 2010, Vol 695, 1618-1626, "Di-n-butyltin oxide as a chemical carbon dioxide capturer"], 문헌[Plasseraud et al. Z. Naturforsch. 2010, Vol. 65b, 1293-1300, "Unprecedented Hexa- and Undecanuclear Frameworks of Two New Tin(IV) Oxo Clusters Resulting from Partial Debenzylation Reactions"] 및 문헌[Zheng et al., Chem. Eur. J 2010, Vol. 10, 3761-3768, "A New System in Organooxotin Cluster Chemistry Incorporating Inorganic and Organic Spacers between Two Ladders Each Containing Five Tin Atoms"]에 제시되어 있으며, 상기 모두 본 명세서에 참조로 포함된다. 이론에 의해 제한되고 싶지는 않지만, 탄산염-결핍 또는 탄산염-부재 물질과 현상제 중의 상이한 용해도를 갖는 금속 탄산염의 형성으로 인해 증가된 감도가 발생할 수 있다. 예를 들어, 금속 탄산염과 중탄산염은 다음 반응을 통해 형성될 수 있는 것으로 알려져 있다:
M-O + CO2 → MCO3
2M-OH + CO2 → MCO3 + H2O
M-OH + CO2 → M-HCO3
2M-OH + CO2 → M-O(CO)O-M + H2O
본 명세서의 교시에 기초하여, CO2에 대한 노출은 코팅 침착과 이미지 현상 사이의 하나 이상의 공정 단계 동안 일어날 수 있고, CO2에 대한 노출 동안 열이 가해질 수도 있고 가해지지 않을 수도 있다.
얻어진 금속 탄산염 물질의 현상제 중의 용해도는 미사용 물질에 비해 감소한다. 이는 차례로 포토레지스트를 원하는 피처 크기로 패터닝하는 데 필요한 더 낮은 선량으로 해석된다. 이산화탄소는 공기 중에 존재하며, 공기 중 CO2의 일시적 변화는 오늘날 미디어에서 흔히 논의된다. 현재, 공기 중 CO2의 양은 약 415 ppm으로 보고된다. 웨이퍼 처리의 경우, 탄산염 형성을 촉진하기 위해 CO2의 부분압(농도)이 증가하면 공기가 강화될 수 있다. 또한, Le Chatelier의 원리는 습도를 낮추는 것도 탄산염 형성에 유리하지만 일부 습도는 일부 탄산염 형성 경로를 활성화할 수 있는 금속 수산화물 형성에 바람직하다고 제안한다. 아래에는 500 ppm CO2 농도의 예가 제시된다. 일반적으로, 강화된 CO2 수준은 약 450 몰ppm 이상, 일부 구현예에서는 약 475 ppm 내지 약 10 몰%, 추가 구현예에서는 500 ppm 내지 약 5 몰%, 또다른 구현예에서는 약 750 몰ppm 내지 약 1 몰%일 수 있으며, 뿐만 아니라 임의의 상한과 결합된 임의의 하한을 갖는 명시적 범위일 수 있다. 일부 구현예에서, CO2 농도는 약 20% 이하, 또다른 구현예에서 약 10% 이하, 추가 구현예에서 약 5% 이하만큼 변할 수 있다. 당업자는 상기 명시적인 범위 내에서 이산화탄소 농도의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
수증기 및 이산화탄소에 대한 위의 예는 예시를 위한 것이며 제한적이지 않다. 본 발명은 새로운 비휘발성 조성물을 형성하기 위해 반응성 기체 종에 의해 방사선 패턴화된 재료를 노출시키는 것에 관한 것이다. 산소(O2), 과산화수소(H2O2), 오존(O3), 이산화황, 황화수소(HS), 일산화탄소(CO), 수소 가스(H2), 질소 산화물(예, NO, N2O2, NO2, N2O4, 및 N2O), 메탄(CH4), 암모니아(NH3), 에틸렌 옥사이드(옥시란, 고리형-C2H4O), 카르보닐 설파이드(OCS), 알킬 메르캅탄(CH3SH), 실란 또는 디실란(SiH4, Si2H6), 및 포스핀(PH3)과 같은 다른 반응성 기체가 예상되고 구상된다. 처리를 위해 이러한 조성물은 공기, 건조 공기, 질소, 아르곤 또는 기타 불활성 기체와 같은 운반 기체로 희석될 수 있다. 전체 압력은 약 200 Torr 이상, 또는 600 Torr 내지 800 Torr와 같이 일반적인 처리에 대해 주어진 상기 명시적인 범위 내에 속할 수 있지만, 본 명세서의 다른 압력 범위가 유사하게 사용될 수 있다. 운반 기체 중의 이러한 반응성 기체의 농도와 관련하여, 사용되는 경우, 약 100 몰ppm 내지 약 10 몰%, 추가 구현예에서 약 500 ppm 내지 약 5 몰%, 다른 구현예에서 0.1 몰% 내지 약 1 몰%일 수 있다. 당업자는 이러한 명시적인 범위 내에서 압력 및 농도의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 다른 음이온을 포함하는 유기주석 산화물 수산화물 시스템의 예는 문헌[Plasseraud et al., Z. Naturforsh. 2011, Vol. 66b, 262-268, "A New Dodecanuclear Organostannoxane"] 및 리뷰 문헌[Chandrasekhar et al., Coord. Chem. Rev. 2002, Vol. 235, 1-52, "Organotin assemblies containing Sn-O bonds"]에 기재된 바 같으며, 상기 두 문헌 모두 본 명세서에 참조로 포함된다. 알킬주석산을 기반으로 한 합성 작업은 여기에 설명된 방법이나 특정 범위의 조성을 제안하지 않는다.
또한 모든 처리 지점에서 동일한 가스가 존재할 필요는 없다. 한 공정 중에는 하나의 반응성 기체가 존재하지만 다른 공정 중에는 존재하지 않는 것이 바람직할 수 있다. 최적의 결과에 필요한 반응성 기체의 절대 농도는 일상적인 실험을 통해 발견할 수 있다. 더욱이, 반응성 기체의 절대 농도는 포토레지스트의 처리 수명 내에서 다양한 프로세스에 따라 달라질 수 있다. 예를 들어, 최적의 결과를 제공하는 CO2 또는 수증기의 절대 농도는 코팅 공정과 동일한 코팅에 대한 베이킹 공정 간에 상이할 수 있다. 최적의 결과는 또한 원하는 통합 장치의 형성을 위한 요구 사항과 관련하여 주관적이다. 추가적으로, 하나 이상의 처리 단계 동안 초임계 및 열수 조건이 본 발명에 의해 구상되고 예상된다.
웨이퍼 표면에 노출되는 가스는 특정 공정 지점에서 선택할 수 있다. EUV 노광 및 전자선 노광의 경우 일반적으로 조사 챔버에 진공이 사용된다. 진공은 1 x 10-5 Torr 미만의 압력으로 간주될 수 있으며 특정 가스 구성성분의 경우 더 낮은 부분 압력이 요구될 수 있다. 254 nm와 같은 UV 처리의 경우, 흡수성이지 않는 가스 분위기를 사용할 수 있으며, 조사하는 동안 공기 또는 질소 분위기가 있는 예가 제시된다. 웨이퍼 위의 기체 분위기는, 현상 전에 이루어지는, 사전-조사 베이킹, 재조사 지연, 조사 후 베이킹 및 조사 후 지연과 같은 다른 공정 단계 동안 조정할 수 있다.
다양한 공정 지점에서 웨이퍼 위의 분위기의 화학적 조성에 관계없이 압력은 그에 따라 조정될 수 있다. 공정 시설의 대기압은 기준선 역할을 할 수 있다. 대부분의 시설이 해수면보다 높기 때문에, 실제 평균 기압은 표준 기압보다 낮고 날씨가 추가적인 일시적 변화를 유발한다. 또한, 환기 시스템은 외부 압력에 대해 약간의 부압을 유지하도록 설정하여 시설 안팎으로 가스의 상대적 흐름을 제어할 수 있다. 공정 챔버 내에서, 약간의 과압이 유지되어 챔버의 가스가 뒤집힐 수 있다. 당업자는 이러한 압력 문제를 인식할 것이며, 실제적인 관점에서 약 600 Torr에서 약 800 Torr까지의 압력이 대기압으로 간주될 수 있으며, 일부 구현예에서는 800 Torr에서 1200 Torr까지의 압력이 웨이퍼와 접촉하는 분위기의 양압 흐름을 유지하는 것과 관련하여 관심 대상이다. 다른 압력 범위는 처리에 유용할 수 있다. 잠재적 관심 대상의 다른 범위는 약 200 Torr 이상의 압력을 포함하며, 웨이퍼 처리의 경우 진공 또는 저압은 약 1 Torr 이하의 임의의 압력으로 간주될 수 있다. 당업자는 상기 명시적인 범위 내의 추가 압력 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
공기는 일반적으로 온도와 상대 습도를 조정하고 반응성 기체와 같은 추가 가스를 첨가하여 제공될 수 있다. 따라서 공기는 반응성 기체의 운반 가스로 사용될 수 있다. 유사하게, 건조 공기는 반응성 기체 함량을 제어하기 위한 운반 가스로 사용될 수 있으며, 건조 공기는 약 0.1 몰% 이하의 물을 갖는 것으로 간주될 수 있다. 분위기의 외부 압력은 고도와 날씨에 따라 달라질 수 있다. 제조 시설은 상대 습도와 추가 가스의 부분 압력을 조정할 수 있는 환기 장비를 사용하여 원하는 범위 내의 압력을 유지할 수 있다. 물을 제외하고, 기체의 조성이 공기에 대해 10% 이하 만큼 변하는 경우 기체 분위기는 변경된 공기로 간주될 수 있다. 특히, 베이킹 단계에서 사용할 수 있는 고온에서 수증기는 가스의 상당 부분을 구성할 수 있다. 수증기는 일반적으로 원하는 상대 습도를 갖도록 조정될 수 있다. 이산화탄소 및 기타 반응성 기체에 대한 바람직한 범위는 아래에 제시된다.
유기주석 포토레지스트와 같은 금속 산화물 수산화물 포토레지스트는 리소그래피 포토패터닝에 사용하기 위한 포토레지스트로서 우수한 특성을 갖는 것으로 나타났다. 금속 산화물 수산화물 포토레지스트의 예는 Stowers 등의 "Patterned Inorganic Layers, Radiation Based Patterning Compositions And Corresponding Methods"라는 명칭의 미국 특허 제9,176,377B2호, 및 Stowers 등의 "Solution Processible Hardmasks for High Resolution Lithography"라는 명칭의 미국 특허 제9,281,207B2호에 기재된 하프늄 및 지르코늄 산화물 수산화물을 포함하며, 상기 두 문헌은 본 명세서에 참조로 포함된다. 유기주석 산화물 포토레지스트는, 특히, 고해상도 및 고감도를 달성하는 것으로 나타났다. 바람직한 유기주석 산화물 수산화물 포토레지스트는 Meyers 등의 "Organometallic Solution Based High Resolution Patterning Compositions"라는 명칭의 미국 특허 제9,310,684B2호, Meyers 등의 "Organometallic Solution Based High Resolution Patterning Compositions and Corresponding Methods"라는 명칭의 공개된 미국 특허 출원 제2016/0116839A1호, 및 "Organotin Oxide Hydroxide Patterning Compositions, Precursors, and Patterning"라는 명칭의 미국 특허 제10,228,618B2호에 기재된 바와 같은 유기주석 물질을 포함하며, 상기 문헌은 모두 본 명세서에 참조로 포함된다. 특히, 유기금속 방사선 민감성 레지스트는 대략 식 RzSnO(2-z/2-x/2)(OH)x로 나타내는 알킬주석 산화물 수산화물과 같은 알킬 주석 조성을 기반으로 개발되었으며, 여기서 0 < x < 3, 0 < z ≤ 2, x + z ≤ 4이고, R은 주석 원자와 탄소 결합을 형성하는 하이드로카르빌 또는 유기 기이다. 이러한 조성의 특히 효과적인 형태는 상기 식에서 z =1인 모노알킬주석 산화물 수산화물이다.
특히, R은 O, N, Si, Ge, Sn, Te 및/또는 할로겐을 함유하는 기와 같은 하나 이상의 헤테로원자 작용기로 임의로 치환된 하나 이상의 탄소 원자를 갖는 1 내지 31개의 탄소 원자를 갖는 모이어티, 또는 알킬, 또는 페닐 또는 시아노 기로 추가로 작용화된 사이클로알킬일 수 있다. 일부 구현예에서, R은 ≤10개의 탄소 원자를 포함할 수 있고, 예를 들어 메틸, 에틸, 프로필, 아이소프로필, 부틸, t-부틸, 아이소부틸, 또는 t-아밀일 수 있다. R 기는 선형, 분지형, (즉, 금속-결합된 탄소 원자에서 2차 또는 3차), 또는 고리형 하이드로카르빌 기일 수 있다. 각각의 R 기는 개별적으로 그리고 대체적으로 1 내지 31개의 탄소 원자를 갖고, 2차 결합된 탄소 원자를 갖는 기에 대해 3 내지 31개의 탄소 원자를 갖고, 3차 결합된 탄소 원자를 갖는 기에 대해 4 내지 31개의 탄소 원자를 갖는다. 특히, 분지형 알킬 리간드는 화합물이 R1R2R3CSn(NR')3으로 나타내어질 수 있는 일부 패터닝 조성에 바람직할 수 있으며, 여기서 R1 및 R2는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 기이고, R3는 수소 또는 1 내지 10개의 탄소 원자를 갖는 알킬 기이다. 하기에 언급된 바와 같이, 알킬 리간드 R의 이러한 표현은 일반적으로 R1R2R3CSn(X)3을 갖는 다른 구현예에 유사하게 적용할 수 있으며, 여기서 X는 트리알콕사이드 또는 트리아미드 모이어티에 해당한다. 일부 구현예에서 R1 및 R2는 고리형 알킬 모이어티를 형성할 수 있고, R3는 또한 고리형 모이어티에서 다른 기를 연결할 수 있다. 적합한 분지형 알킬 리간드는 예를 들어, 아이소프로필(R1 및 R2는 메틸이고 R3는 수소임), tert-부틸(R1, R2 및 R3는 메틸임), tert-아밀(R1 및 R2는 메틸이고 R3는 -CH2CH3임), sec-부틸(R1은 메틸이고, R2는 -CH2CH3이고, R3는 수소임), 네오펜틸(R1 및 R2는 수소이고, R3는 -C(CH3)3임), 사이클로헥실, 사이클로펜틸, 사이클로부틸, 및 사이클로프로필일 수 있다. 적합한 고리형 기의 예는, 예를 들어, 1-아다만틸(-C(CH2)3(CH)3(CH2)3 또는 3차 탄소에서 금속 결합된 트라이사이클로(3.3.1.13,7) 데칸) 및 2-아다만틸(-CH(CH)2(CH2)4(CH)2(CH2) 또는 2차 탄소에서 금속 결합된 트라이사이클로(3.3.1.13,7) 데칸)을 포함한다. 다른 구현예에서, 하이드로카르빌 기는 아릴 또는 알케닐 기, 예를 들어 벤질 또는 알릴, 또는 알키닐 기를 포함할 수 있다. 다른 구현예에서 하이드로카르빌 리간드 R은 C와 H로만 구성되고 1 내지 31개의 탄소 원자를 함유하는 임의의 기를 포함할 수 있다. 요약하면, 주석에 결합된 적합한 알킬 기의 일부 예로는 예를 들어 선형 또는 분지형 알킬(i-Pr ((CH3)2CH-), t-Bu((CH3)3C-), Me(CH3-), n-Bu(CH3CH2CH2CH2-)), 사이클로-알킬(사이클로-프로필, 사이클로-부틸, 사이클로-펜틸), 올레핀(알케닐, 아릴, 알릴), 또는 알키닐 기, 또는 이의 조합을 들 수 있다. 추가의 구현예에서 적합한 R 기로는 시아노, 티오, 실릴, 에테르, 케토, 에스테르, 또는 할로겐화 기 또는 이의 조합을 포함하는 헤테로-원자 작용기로 치환된 하이드로카르빌 기를 포함할 수 있다.
알킬 주석 조성물이 특히 유망한 결과를 입증하지만, 다른 유기금속 레지스트 조성물이 탐구되었다. 예를 들어 "Patterned Inorganic Layers, Radiation Based Patterning Compositions and Corresponding Methods"라는 명칭의 Stowers 등의 미국 특허 제9,176,377호, "Metal Peroxo Compounds with Organic Co-ligands for Electron Beam, Deep UV, and Extreme UV Photoresist Applications"라는 명칭의 Bass 등의 공개된 미국 특허 출원 제2013/0224652호, 및 "Method of Making Electronic Materials"라는 명칭의 Maloney 등의 공개된 미국 특허 출원 제2002/0076495호를 참조하며, 상기 문헌은 모두 본 명세서에 참조로 포함된다. 다양한 금속을 기반으로 한 다른 유기금속 패터닝 조성물이 "Molecular Organometallic Resists for EUV"라는 명칭의 Freedman 등의 공개된 미국 특허 제9,372,402B2호에 기재되어 있으며, 이는 본 명세서에 참조로 포함된다.
코팅은 RSnX3(여기서 X는 할로겐화물, 아미드 또는 알콕사이드 기와 같은 가수분해성 기임)를 포함하는 전구체를 이용해 형성될 수 있지만, 알킬 주석 산화물 수산화물 조성물이 직접 증착될 수 있다. 전구체는 용액 코팅 공정 또는 증기 코팅 공정을 사용하여 증착될 수 있다. 이들 전구체가 가수분해 가능한 리간드를 갖는다면, 증착은 제자리에서 가수분해가 일어나는 (유기) 알킬 주석 산화물 수산화물 코팅으로부터 직접 수증기의 존재 하에 수행될 수 있다.
구체적으로, 감광성 금속 수산화물 산화물 코팅의 형성은 스핀 코팅과 같은 당업자에게 공지된 다양한 수단을 통해 달성될 수 있다. 일부 구현예에서 감광성 유기주석 코팅은 "Organotin Oxide Hydroxide Patterning Compositions, Precursors, and Patterning"라는 명칭의 Meyers 등의 미국 특허 제10,228,618B2호, 및 Marks 등의 미국 특허 제9,778,561B2호에 각각 기재된 바와 같은, 원자 층 증착(ALD) 또는 화학 기상 증착(CVD) 기법과 같은 증착 기술을 통해 형성될 수 있으며, 상기 문헌은 모두 본 명세서에 참조로 포함된다.
스핀 코팅이 금속 산화물 수산화물 포토레지스트를 증착하는 수단으로 사용되는 경우, 코팅 챔버 내 반응성 기체의 존재 및 농도를 제어하는 것이 바람직하다. 상기 참고 문헌에서는, 주변 공기로부터의 수증기가 코팅을 형성하는 데 필요할 수 있다고 개시되어 있다. 본 발명은 또한 코팅 공정의 재현성을 증가시키고 코팅의 균일성을 최적화하기 위해 코팅 챔버 내의 습도를 특정 수준으로 제어하는 방법을 개시한다. 또한, 코팅 재현성 및/또는 코팅 균일성을 향상시키기 위해 다른 반응성 기체 또는 용매 증기의 존재 및 농도를 제어할 수 있다.
코팅을 형성한 후, 코팅된 기판은 일반적으로 웨이퍼 트랙 또는 다른 기구들 내의 다수의 이송 단계를 거친다. 이러한 이송 단계 동안 일반적으로 공정 변동성을 줄이기 위해 반응성 기체의 존재 및 농도를 제어하는 것이 바람직하다.
일반적으로, 금속 산화물 수산화물 포토레지스트는 노광 직후 또는 노광 후 베이킹(PEB)와 같은 후속 처리 단계 동안, 예컨대 상기 반응 1a, 1b 및 1c 기재된 것과 같이 EUV, UV 또는 전자선에 노출시 분해되어 물질 내에 축합 및 중합 반응을 촉진할 수 있는 반응성 금속 부위(즉, 댕글링 본드)를 생성하는 방사선 민감성 리간드에 의해 안정화된다. 전형적으로 네거티브 톤 포토레지스트의 경우, 금속 산화물은 축합 및 중합될수록 현상제에 덜 용해되어, 포토레지스트로 작동하는 데 필요한 대비를 생성하게 된다.
포토레지스트 코팅은 일반적으로 극자외선(EUV), 자외선(UV) 또는 전자선(EB) 방사선과 같은 적절한 공급원으로부터의 방사선을 사용하여 패터닝될 수 있습니다. 반도체 장치 제조의 경우, EUV 방사선은 UV 방사선에 비해 해상도가 높고 EB 방사선에 비해 처리량이 높기 때문에 일반적으로 바람직하다. 방사선은 일반적으로 마스크를 통해 기판 재료로 지향될 수 있거나 방사선 빔은 레지스트 코팅 내에 잠상을 형성하기 위해 기판을 가로질러 제어가능하게 스캐닝될 수 있다.
방사선 노광 및 잠상을 갖는 방사선 패턴화된 재료의 형성 후에, 일반적으로 노광 후 베이킹(PEB)을 수행하는 것이 바람직하다. 일부 구현예에서, PEB는 약 45℃ 내지 약 250℃, 또다른 구현예에서 약 50℃ 내지 약 190℃, 추가 구현예에서 약 60℃ 내지 약 175℃의 온도에서 수행될 수 있다. 노광 후 가열은 일반적으로 적어도 약 0.1분 동안, 추가 구현예에서 약 0.5분 내지 약 30분, 또다른 구현예에서 약 0.75분 내지 약 10분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내에서 PEB 온도 및 시간의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
금속 산화물 수산화물 재료의 특성으로 인해, 방사선 패터닝 후에 반응성 기체에 대한 노출이 방사선 패터닝 전에 반응성 기체가 도입되는 경우보다 재료에 훨씬 더 많은 영향을 미칠 수 있다는 것이 발견되었다. 이론에 의해 제한되기를 원하지는 않지만, 방사선 패턴화된 재료는 댕글링 본드 및 만족되지 않은 배위 구체, 및 방사선 패턴화된 재료 내의 반응성 중간체(예: 금속 수소화물)의 존재로 인해, 반응성 기체와 빠르게 반응할 수 있다고 믿어진다. 이 효과는 실시예 2에 나타난 바와 같이 방사선 패턴화된 코팅의 현상 후에 보이는 궁극적인 피처 크기에 대한 두 가지 다른 처리 지연의 결과들을 비교하여 설명할 수 있다. 더욱이, 방사선 패턴화된 재료에 대한 반응성 기체에 대한 노출은 재료 내의 다른 리간드의 방사선분해 유도 열분해를 촉진할 수 있으며, 이에 따라 현상제 대비를 더욱 향상시킬 수 있다.
따라서 일반적으로 방사선 패턴화된 금속 산화물 수산화물 포토레지스트가 방사선에 의한 패터닝 및 잠상의 형성 동안 또는 이후에 반응성 기체에 노출되는 것이 바람직하다. 예를 들어, 방사선에 노광되고 잠상이 형성되면 후속 PEB(노광 후 베이킹) 공정 중에 반응성 기체가 존재할 수 있다. PEB의 상승된 온도는 반응성 기체와 포토레지스트의 노출된 영역 사이의 반응 속도를 증가시킨다.
방사선 패턴화된 재료를 반응성 기체에 노출시킨 후, 재료는 적절한 현상제에서 현상될 수 있다. 이러한 유기주석 산화물 포토레지스트를 위한 유용한 현상제 조성물은 "Organometallic Photoresist Developer Compositions and Processing Methods"라는 명칭의 Jiang 등의 공개된 미국 특허 출원 제2020/0326627호에 기재되어 있으며, 이는 본 명세서에 참조로 포함된다. 금속 산화물 수산화물 및 유기 리간드 둘 다를 포함하는 이들의 조성으로 인해, 포지티브 톤 및 네거티브 톤 패터닝 모두가 유기주석 산화물 수산화물 시스템에서 달성될 수 있는 것으로 나타났다. 예를 들어, 유기 용매가 현상제로 사용되는 경우 노광되지 않은 재료가 용해되고 노광된 재료가 남게 되는 네거티브 톤 패터닝이 실현된다. 대조적으로, 예를 들어 테트라 알킬 암모늄 하이드록사이드를 포함하는 수성 산 또는 염기 용액이 현상제로서 사용되는 경우, 노광된 재료가 용해되고 노광되지 않은 재료가 남아 있는 포지티브 톤 패터닝이 실현될 수 있다. 본 발명이 실시될 때, 재료가 반응성 기체와 반응하지 않은 채로 남아 있을 때와 관련하여 선택된 현상제에서의 용해도를 변경하는 것이 가능하다.
네거티브 톤 이미징의 경우, 현상제는 전구체 용액을 형성하는 데 사용되는 용매와 같은 유기 용매를 포함할 수 있다. 일반적으로, 적절한 현상제 용매 조성의 선택은 코팅 물질에 대한 용해도 매개변수(조사 및 비조사 모두)뿐만 아니라 현상제 휘발성, 가연성, 독성, 점도 및 다른 공정 물질과의 잠재적인 화학적 상호작용에 의해 영향을 받을 수 있다. 특히, 적합한 현상제 용매는 예를 들어 방향족 화합물(예: 벤젠, 자일렌, 톨루엔), 에스테르(예: 프로필렌 글리콜 모노메틸 에스테르 아세테이트, 에틸 아세테이트, 에틸 락테이트, n-부틸 아세테이트, 부티로락톤), 알코올(예: 4-메틸-2-펜탄올, 1-부탄올, 이소프로판올, 1-프로판올, 메탄올), 케톤(예: 메틸 에틸 케톤, 아세톤, 시클로헥사논, 2-헵타논, 2-옥탄온), 에테르(예: 테트라하이드로푸란, 디옥산, 아니솔) 등을 포함한다. 현상은 약 5초 내지 약 30분, 추가 구현예에서는 약 8초 내지 약 분, 또다른 구현예에서는 약 10초 내지 약 10분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
포지티브 톤 이미징의 경우, 현상제는 일반적으로 수성 산 또는 염기를 포함할 수 있다. 일부 구현예에서, 수성 염기를 사용하여 보다 선명한 이미지를 얻을 수 있다. 현상제로 인한 오염을 줄이기 위해, 금속 원자가 없는 현상제를 사용하는 것이 바람직할 수 있다. 따라서, 테트라에틸암모늄 하이드록사이드, 테트라프로필암모늄 하이드록사이드, 테트라부틸암모늄 하이드록사이드 또는 이들의 조합과 같은 4차 암모늄 하이드록사이드 조성물이 현상제로서 바람직하다. 일반적으로, 특히 관심 있는 4차 암모늄 하이드록사이드는 화학식 R4NOH로 나타낼 수 있으며, 여기서 R은 메틸기, 에틸기, 프로필기, 부틸기, 또는 이들의 조합이다. 본 명세서에 기재된 코팅 물질은 일반적으로 현재 폴리머 레지스트에 일반적으로 사용되는 동일한 현상제, 특히 테트라메틸 암모늄 하이드록사이드(TMAH)로 현상될 수 있다. 상업적 TMAH는 2.38 중량%로 입수가능하며, 이 농도는 본 명세서에 기재된 처리에 사용될 수 있다. 또한, 혼합된 4차 테트라 알킬-암모늄 하이드록사이드가 사용될 수 있다. 일반적으로, 현상제는 약 0.5 내지 약 30 중량%, 추가 구현예에서 약 1 내지 약 25 중량%, 다른 구현예에서 약 1.25 내지 약 20 중량%의 테트라-알킬암모늄 하이드록사이드 또는 유사한 4차 암모늄 하이드록사이드를 포함할 수 있다. 당업자는 상기 명시적인 범위 내에서 현상제 농도의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
현상은 소위 건식 공정을 사용하여 수행될 수도 있다. 건식 현상은 예를 들어 재료를 적절한 플라즈마 또는 흐르는 가스에 노출시켜 포토레지스트의 조사된 영역 또는 조사되지 않은 영역을 선택적으로 제거하는 것을 포함할 수 있다. 유기금속 레지스트의 건식 현상은 "Dry development of resists"라는 명칭의 Volosskiy 등의 PCT 공개 제2020/132281 A1호에 기술된 바 있다. 이러한 건식 현상 공정에서, BCl3와 같은 루이스 산을 포함하는 가스를 흐르게 하면서 조사된 기판을 플라즈마 또는 열 공정에 노출시킴으로써 현상이 달성될 수 있다. 이러한 과정에서 반응 가스의 적절한 선택을 통해 네거티브 톤과 포지티브 톤 거동을 모두 달성할 수 있다.
패턴화된 코팅 재료를 형성하기 위한 포토레지스트의 현상에 이어, 패턴화된 피처를 추가로 고형화시키고 안정화시키기 위해 후속 어닐링이 수행될 수 있다. 다른 처리 단계와 마찬가지로, 이 어닐링은 특정 농도의 특정 반응성 기체가 있는 환경에서 수행될 수 있다. 이전 단계에서는 존재하지 않았던 반응성 기체가 이 어닐링에서 존재하는 것이 바람직할 수 있다. 방사선 패터닝이 이미 수행되었기 때문에, 재료의 감광성을 유지할 필요가 없으며 에칭과 같은 추가 처리를 용이하게 하기 위해 재료를 새로운 조성으로 변환시킬 수 있다. 예를 들어, 일산화탄소, 수소 가스, 메탄 등과 같은 환원성 반응성 기체 및 이들의 혼합물이 이 어닐링 동안 존재하여 재료의 적어도 일부를 새로운 조성으로 변환시킬 수 있다. 이 어닐링 단계 동안 존재하는 반응성 기체는 패턴화된 재료의 적어도 일부를 새로운 조성으로 변환시킴으로써 후속 에칭 단계 또는 다른 처리를 가능하게 할 수 있다. 이러한 방식으로, 패턴화된 재료를 반응성 기체와 반응시켜 형성된 조성물과 상호작용하도록 후속 에칭 또는 기타 공정 단계를 조정함으로써 스커밍(scumming), 마이크로브리징(microbridging) 또는 기타 결함을 줄이거나 완화할 수 있는 후처리 기술을 가능하게 할 수 있다.
이러한 어닐링을 위한 온도는 보조 층 또는 재료가 충분한 에칭 대비와 같은 각각의 특성을 유지할 수 있고 선택된 반응성 기체 또는 가스들의 반응성이 충분하다면 특별히 제한되지 않는다. 일부 구현예에서, 어닐링은 100℃ 내지 500℃, 다른 구현예에서 200℃ 내지 500℃, 추가 구현예에서 300℃ 내지 400℃일 수 있다.
현상 평가를 돕기 위해 웨이퍼를 패턴화하여 EUV 선량의 함수로 패턴 형성을 평가할 수 있다. 1차적으로 이미징은 조명 영역과 비조명 영역의 단계 함수로 간주된다. 패턴화된 구조는 자동화된 이미징 장비를 사용하여 평가할 수 있으며 일반적으로 주사형 전자 현미경 이미저가 사용된다. 예를 들어, 특정 상업적 CD-SEM 기기는 중요한 선 치수(선 폭)를 측정할 수 있으며 마이크로브리징과 같은 결함도 또한 평가할 수 있다. 일부 구현예에서는, 본 명세서에 기재된 개선된 처리가 균등한 현상, 코팅 형성 및 조사를 사용하여 임계 치수의 증가를 초래할 수 있다. 일부 구현예에서, 임계 치수의 증가는 약 0.25 nm 이상, 추가 구현예에서 약 0.50 nm 이상, 추가 구현예에서 약 0.75 nm 이상일 수 있다. 당업자는 상기 명시적인 범위 내에서 임계 치수 증가의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 다른 방식으로 보면, 임계 치수의 개념은 특정 피처 크기를 얻는 데 사용되는 방사선 선량인 크기 선량(dose-to-size) 값으로 표현할 수 있다. 따라서 임계 치수의 증가는 크기 선량 값의 감소에 해당한다.
패턴화된 코팅 재료를 형성한 후, 코팅 재료는 선택된 장치의 형성을 용이하게 하기 위해 추가로 처리될 수 있다. 또한, 추가 재료 증착, 에칭 및/또는 패터닝이 일반적으로 구조를 완성하기 위해 수행될 수 있다. 코팅 재료는 궁극적으로 제거될 수도 있고 제거되지 않을 수도 있다. 패턴화된 코팅 재료의 품질은 어떠한 경우에도 더 작은 풋프린트(footprint)를 갖는 장치 등과 같은 개선된 장치의 형성을 위해 이월될 수 있다. 층이 제거되지 않으면, 패턴화된 코팅(레지스트) 재료가 구조에 통합된다. 패턴화된 코팅(레지스트) 재료가 구조에 통합되는 구현예의 경우, 코팅(레지스트) 재료의 특성은 원하는 패터닝 특성 뿐만 아니라 구조 내의 재료의 특성을 제공하도록 선택될 수 있다.
실시예
아래의 각 실시예에서 처리 흐름은 일반적으로 각 실시예에 대해 유사하며; 그러나, 각 실시예는 시기나 분위기 존재가 상이하다. 각 실시예의 유기주석 레지스트 필름은 4-메틸-2-펜탄올 중 MeSn(OtAm)3 및 tBuSn(OtAm)3의 20/80 몰 혼합물의 유기주석 용액을 실리콘 웨이퍼 상에 스핀 코팅하여 제조하였다.
실시예 1: 처리에 대한 반응성 기체 농도 영향
이 실시예는 반응성 기체, 이 경우에는 이산화탄소의 농도가 유기주석 포토레지스트의 패터닝 성능에 미칠 수 있는 영향을 보여준다.
일반적인 코팅 및 처리 단계
10 nm의 SOG(spin-on-glass) 층을 갖는 실리콘 웨이퍼를 기판으로 사용하였다. 웨이퍼를 약 20 nm의 유기주석 포토레지스트로 코팅하였다. 그 다음 웨이퍼를 100℃에서 60초 동안 베이킹하고 ASML NXE3400 노광 도구에서 47.1 mJ/cm2의 EUV 방사선에 노광시킨 다음 170℃에서 60초 동안 베이킹하고 2-헵타논에서 현상하고 150℃에서 60초 동안 최종 베이킹 처리하였다.
반응성 기체를 이용한 처리
3개의 웨이퍼를 각각 354 ppm의 낮은 수준에서 506 ppm의 높은 수준까지 다양한 수준의 CO2를 갖는 분위기 하에 개별적으로 처리하였다. 분위기 구성성분은 진공 하에 수행된 노출 동안을 제외하고는 각 개별 웨이퍼에 대한 처리 전반에 걸쳐 일정했다. 임계 치수의 자동 평가를 위해 이미지 분석을 사용하는 Hitachi CG5000 CD-SEM에서 36 nm 피치에서 18 nm 피처 크기의 라인 및 공간 패턴을 분석했다.
도 3은 처리 중 다양한 CO2 농도에서 피처 크기, 즉 임계 치수(CD)의 차이를 보여준다. 약 350 ppm에서 400 ppm까지의 낮은 CO2 농도는 더 높은 수준의 CO2 존재하에서 처리된 웨이퍼보다 작은 CD를 초래하고 중간 농도의 CO2 존재하에서 처리된 웨이퍼는 더 높은 CO2 농도의 존재하에서 처리된 웨이퍼보다 작은 CD를 초래하였다. 이 실시예는 두 가지 이유를 설명한다: 1) 충분한 농도의 반응성 기체의 존재는 주어진 도즈에 대해 더 큰 피처 크기를 유도할 수 있음(즉, 충분한 농도의 반응성 기체의 존재는 원하는 피처 크기에 대해 더 낮은 처리 도즈를 초래할 수 있음), 및 2) 웨이퍼 대 웨이퍼 반복성이 충분하도록 처리 분위기 내의 반응성 기체의 농도를 제어하는 것이 바람직함. 샘플을 47 mJ의 일정한 도즈와 일정한 공정 조건으로 처리하였다. 일정한 도즈로 더 큰 CD가 얻어진다는 것은 특별히 원하는 결과를 달성하기 위해 더 낮은 도즈를 사용됨을 시사한다.
상기 결과는 처리 중 CO2 농도와 주어진 피처 크기를 이미지화하는 데 필요한 도즈 사이의 반비례 관계를 보여준다. CD의 변화는 평균 CO2 농도의 약 150 ppm 증가에 대해 약 0.9 nm였다. 이 결과는 처리 환경에서 CO2와 같은 반응성 기체 농도의 제어된 증가가 표준 처리에 비해 개선된 패터닝 성능으로 이어질 수 있음을 시사한다.
실시예 2: 처리 지연의 영향
이 실시예는 유기주석 포토레지스트의 패터닝 성능에 대한 제어된 처리 지연의 영향을 보여준다.
두 세트의 웨이퍼를 실시예 1의 일반적인 코팅 및 처리 단계에 기재된 바와 같이 코팅하고 처리했으며, 각 세트는 상이한 처리 지점들에서 지연을 겪었다. 기판이 코팅된 한세트의 웨이퍼는 가변적 코팅 후 지연(PCD)으로 처리되고, 그 다음 각 웨이퍼를 지연으로서 지시되는 서로 다른 시간 동안 대기 분위기에서 유지했다. 코팅 후 지연(PCD) 샘플은 "X" 데이터 라벨을 이용해 도 4에 제시된다. 두 번째 세트의 웨이퍼를 EUV 방사선을 사용한 방사선 패터닝을 통해 정상으로서 처리하고, 그 다음 각 웨이퍼를 각각 노광 후 베이킹을 거치기 이전에 서로 다른 시간(지연) 동안 주변 대기 분위기에서 유지되는 가변적 노광 후 지연(PED)으로 처리하였다. 노광 후 지연(PED) 샘플은 원형 데이터 라벨을 이용해 도 4에 제시되어 있다. 두 세트의 웨이퍼를 궁극적으로 현상하고, 하드 베이킹하고, 실시예 1에 기재된 것과 유사한 수단을 통해 분석하였다.
도 4에서 PED 지연을 거친 웨이퍼는 PCD를 거친 웨이퍼보다 훨씬 짧은 시간에 더 큰 CD 시프트를 경험했음을 알 수 있다. 상기 사양에 설명된 바와 같이, 노광 후 지연에 대해 더 빠른 CD 응답은 코팅된 웨이퍼의 더 높은 반응 속도의 증거이며, 이는 예를 들어 질소, 산소, 이산화탄소, 수증기를 포함할 수 있는 주변 분위기의 반응성 기체와 방사선 패턴화된 재료 사이의 상호작용을 포함할 수 있다. 이 결과는 적절한 처리 환경이 있는 경우 제어된 지연이 표준 처리에 비해 향상된 패터닝 성능으로 이어질 수 있음을 시사하며, 이러한 영향은 코팅 후 지연보다 노광 후 지연에서 더 두드러진다.
실시예 3: 노광 중 주변 분위기 영향
이 실시예는 질소 분위기 대 공기 분위기에서의 방사선 노광이 유기주석 포토레지스트의 패터닝 성능에 미칠 수 있는 영향을 보여준다.
대비 곡선을 위한 박막을 천연 산화물 표면을 갖는 실리콘 웨이퍼(직경 150 mm)에 증착시켰다. 웨이퍼 스택은 먼저 1300 rpm에서의 스핀 코팅에 의해 대략 60 nm 바닥 반사 방지 코팅(BARC)(DUV46M, Brewer Science)을 증착한 다음, 1000 rpm에서의 유기주석 용액의 스핀 코팅에 의해 대략 20 nm의 유기주석 포토레지스트로 코팅하여 제조하였다. 그 다음 웨이퍼를 100℃에서 2분 동안 베이킹했다. 254 nm 방사선에 대한 노광은 3분 동안 도 5에 제시된 바와 같이 인-하우스 UV 노광 시스템 내에 구성된 4.7 mW/cm2의 레이저 출력에서 작동되는 UVP UV Transilluminator를 사용하여 수행되었다. 도 5는 UVP UV Transilluminator인 UV 공급원(202)을 갖는 인-하우스 UV 노광 시스템(200)을 도시하며, 그 위에 0.03 mm 폴리에틸렌 필름인 흡수 재료(204)가 있고, 그 위에 용융 실리카 확산판(208)이 있고, 그 위에는 하프톤 마스크(212)가 있다. 하프톤 마스크(212)는 10x10 어레이의 가변적 투과 패드를 포함하였으며 이는 대응하는 도즈 어레이를 레지스트에 전달시킬 수 있다. 하프톤 마스크(212)는 0.28 mm의 작은 에어 갭에 의해 레지스트(216)로부터 분리된다. 이 실시예에서, 기판(220)은 실리콘 웨이퍼였다. UV 노광 시스템(200)은 질소 가스 유입구가 장착된 Cleatech 격리 글로브 박스 내부에 설치되었지만, 또한 공기에 의한 처리도 가능하다. 질소 분위기를 준비하기 위해, 내부 상대 습도가 5% 미만이 될 때까지 충분한 N2를 흘려 글로브 박스를 퍼징했다. 그 다음 웨이퍼를 글로브박스에 로딩하고 상대 습도가 5% 미만으로 안정화될 때까지 박스를 다시 퍼징했다. 글로브박스에 웨이퍼를 넣고 두 번째 퍼징을 약 1시간 동안 진행하였다. 공기 중에서 노광을 위해 준비된 웨이퍼를 노광 전에 대략 1시간 동안 공기 분위기에서 유사하게 지연시켰다.
노광 후, 웨이퍼를 170℃에서 2분 동안 베이킹하고 2-헵타논/아세트산 용액에서 현상한 다음 2-헵타논/아세트산으로 헹구고, 그 다음 170℃에서 2분 동안 최종 베이킹했다.
3개의 웨이퍼(A2, A3, A4)의 한 세트를 주변 공기 중에서 방사선에 노광시켰다. 5개의 웨이퍼(A5 내지 A9)의 한 세트를 상기에서 설명한 질소 분위기에서 방사선에 노광시켰다. 두 세트의 웨이퍼에 대한 대비 곡선 데이터가 도 6에 도시되어 있다. 질소 분위기에서 방사선에 노광된 웨이퍼는 공기 분위기에서 방사선에 노광된 웨이퍼보다 낮은 선량에서 대비를 나타냄을 알 수 있다. 결과는 주변 공기 하에서 노광된 레지스트에 비해 주변 질소 하에서 노광된 레지스트에 대하여 레지스트 감도의 증가를 나타낸다.
실시예 4: 에이징에 대한 주변 분위기 영향
이 실시예는 질소 분위기 대 공기 분위기에서의 방사선 노광이 에이징 후 유기주석 포토레지스트의 패터닝 성능에 미칠 수 있는 영향을 보여준다.
대비 곡선을 위한 박막을 저-도핑 실리콘 웨이퍼(직경 150 mm)에 증착시켰다. 대략 22 nm 유기주석 포토레지스트 필름을 웨이퍼 위에 증착시켰다. 그 다음 웨이퍼를 실시예 3에 기재된 바와 같이 100℃에서 2분 동안 도포 후 베이킹(PAB)을 거치고 3분 동안 254 nm 방사선에 노광시켰다. 조사된 웨이퍼는 추가 처리를 거치지 않았으며, 예를 들어 노광 후 베이킹, 현상 또는 소프트베이킹이 없었다.
표 1에 나타낸 바와 같이, 2개의 웨이퍼(F2 및 F3)를 공기 중에서 코팅한 다음, 실시예 3에 기재된 질소 충전 글로브 박스로 가져오고, 도포 후 베이킹((PAB) 이후 선택된 지연 기간 동안 노광 전에 유지하고, 이후 5% RH 미만의 질소 분위기에서 있으면서 254 nm 방사선에 노광시켰다. 2개의 웨이퍼(F4 및 F5)를 PAB 이후 선택된 지연 기간 동안 주변 공기 중에 유지하고, 이후 주변 공기 중에서 254 nm 방사선에 노광시켰다. 방사선 노광과 FTIR 분석 사이의 첫 번째 지연 기간(t=1) 후에 각 샘플을 FTIR로 추가 분석했다. 샘플 F2 및 F4를 방사선 노광과 FTIR 분석 사이의 두 번째 및 세 번째 지연 기간(각각 t=2 및 t=3) 후에 FTIR 분석으로 추가 분석했다. 방사선 노광과 FTIR 사이의 모든 지연은 주변 공기 중에서 수행되었다.
웨이퍼 노광 분위기 PAB와 노광 사이의 지연 노광과 FTIR 사이의 지연(t=1) 노광과 FTIR 사이의 지연(t=2) 노광과 FTIR 사이의 지연(t=3)
F2 N2 75분 6분 80분 24시간
F3 N2 117분 10분 N/A N/A
F4 공기 173분 8분 81분 24시간
F5 공기 109분 9분 N/A N/A
도 7은 t=1 지연 후 패드 수에 따른 각 샘플에 대한 FTIR 스펙트럼에서 측정된 CH 흡수 면적을 보여준다. 패드 수에 따라 선량이 증가한다. 결과는 주변 공기 중에서 방사선에 노광된 웨이퍼가 질소 분위기에서 방사선에 노광된 웨이퍼와 동일한 CH 면적 감소를 나타내기 위해서는 더 높은 방사선량을 필요로 한다는 것을 보여준다. 즉, 질소 분위기에서의 노광은 공기 분위기에서의 노광보다 알킬 리간드 신호의 감소가 더 컸다. PAB와 노광 사이의 가변적 지연 기간은 측정된 CH 면적에 큰 영향을 미치지 않았다. 결과는 실시예 3의 대비 곡선 결과와 일치하며, 이는 겔 선량(dose-to-gel)에 대한 질소 분위기의 영향을 보여주었다. 결과는 주변 공기 중에서 방사선에 노광된 레지스트에 비해 질소 분위기에서 방사선에 노광된 레지스트에 대하여 레지스트 감도의 증가를 시사한다. 증가된 감도는 질소에서 노광된 레지스트의 더 빠른 탈알킬화와 관련이 있는 것으로 보인다.
도 8은 패드 수와 방사선 노광과 FTIR 분석(t=1, t=2 또는 t=3) 사이의 지연(에이징) 기간에 따른 샘플 F2 및 F4에 대한 FTIR 스펙트럼에서 측정된 CH 피크 면적을 보여준다. 모든 샘플은 공기 중에서 노광 후 지연을 거쳤다. 결과는 두 샘플의 CH 면적이 지연 t=2 및 t=3 후에 더 감소했음을 보여준다. 주어진 패드 수에 대해, 주변 공기에서 노광된 F4 샘플은 공기 중에서의 에이징으로 인해 CH 면적의 크기 변화가 질소 하에서 노광된 F2 샘플과 비슷하게 나타났다. 이는 공기 중에서 각 샘플을 에이징시키면 알킬 리간드가 감소한다는 것을 보여준다. 결과는 포토레지스트 코팅의 에이징에 대한 방사선 분위기의 영향을 보여주며 질소 분위기에서의 방사선 노광으로 인해 보다 민감한 레지스트가 발생할 수 있음을 나타낸다.
실시예 5: 방사선 노광 후 주변 분위기 영향
이 실시예는 진공 분위기 대비 공기 분위기 중에서 제어된 지연이 EUV 노광 후 유기주석 포토레지스트의 패터닝 성능에 미칠 수 있는 영향을 보여준다.
대비 곡선을 위한 박막을 천연 산화물 표면을 갖는 실리콘 웨이퍼 세트에 증착시켰다. 대략 22 nm의 유기주석 포토레지스트 코팅 필름을 웨이퍼 위에 증착시켰다. 그 다음 웨이퍼를 100℃에서 60초 동안 도포 후 베이킹(PAB)하고, 그 다음 Energetiq Electrodeless Z-Pinch™ EUV 광원 EQ-10R을 사용하여 EUV 방사선에 노광시켰다. 한 웨이퍼는 공기 중에서 24시간의 노광 후 지연(PED)을 거쳤고, 다른 웨이퍼는 진공에서 24시간의 PED를 거쳤고, 다른 웨이퍼는 PED 없이 처리되었다. 이어서 샘플을 170℃에서 60초 동안 베이킹하고 2-헵타논에서 현상하고 250℃에서 60초 동안 최종 베이킹하였다.
한 웨이퍼는 EUV 노광 후 및 후속 베이킹 전에 주변 공기 분위기에서 24시간 동안 웨이퍼를 유지함으로써 노광 후 지연을 거쳤다. 이 샘플은 도 9의 가장 왼쪽 곡선으로 도시된다(공기 중 24hr PED). 또 다른 웨이퍼는 진공에서 24시간 동안 노광 후 지연을 거쳤으며, 이 샘플은 도 9의 중간 곡선으로 도시된다(진공 중 24hr PED). 마지막으로, 한 웨이퍼는 노광 후 지연을 거치지 않았다. 이 샘플은 도 9의 가장 오른쪽 곡선으로 도시된다(PED 없음).
도 9의 대비 곡선 데이터는 노광 후 지연이 일반적으로 겔 선량을 감소시킨다는 것을 보여준다. 결과는 또한 PED가 이루어지는 분위기가 겔 선량에 추가로 영향을 미친다는 것을 보여준다. 공기 중에서 노광 후 지연을 거친 웨이퍼는 더 높은 방사선 민감성을 보였다.
또한 상기 웨이퍼에 대해 FTIR 분석을 수행하였고, OH 흡수에 해당하는 3220 cm-1 주위 영역을 각각에 대해 측정하여 결과를 도 10에 제시하였다. OH 흡수는 노광 전의 증착된 그대로의 필름에 대한 OH 흡수에 대하여 정규화된다(dose=0). 데이터는 공기 중에서 PED를 거친 웨이퍼에 대해 훨씬 더 많은 OH가 존재한다는 것을 분명히 보여준다. 데이터는 1일 동안 공기 또는 진공에서 유지된 샘플에 대해 노광 전 더 높은 정규화된 OH 흡수를 보여주는데, 이는 지연 동안 흡수된 물이 존재함을 시사한다. 추가로 데이터는 공기 중에서 지연 후, 노광된 재료가 진공에서 유지된 샘플 또는 지연 없는 샘플과 비교하여 증가된 OH 흡수를 갖는다는 것을 보여준다. 데이터는, 공기 중에서 지연 후, 조사된 재료가 주변으로부터 상대적으로 더 많은 H2O를 흡수하여 조사된 영역의 불용성을 유도하는 격자간 물 및/또는 수산화물 리간드를 형성하며, 이에 의해 도 9의 대비 곡선에 도시된 바와 같이, 재료를 패턴화하는 데 필요한 선량을 낮출 수 있음을 시사한다.
결과는 원하는 CD로 라인을 패터닝하는 것이 공기 중에서의 지연 없이 주어진 선량에서 또는 대안적으로 공기 중에서의 지연에 의해 더 낮은 선량에서 달성될 수 있음을 나타낸다. 다시 말해서, 노광 전 공기 중에서의 지연은 원하는 CD에 대한 크기 선량을 감소시킬 수 있다.
실시예 6: 방사선 노광 후 제어된 지연의 영향
이 실시예는 노광 후 공기 분위기 중에서 제어된 지연이 유기주석 포토레지스트의 패터닝 성능에 미칠 수 있는 영향을 보여준다.
대비 곡선을 위한 박막을 천연 산화물 표면을 가진 3개의 실리콘 웨이퍼(직경 300 mm) 세트에 증착시켰다. 대략 22 nm의 유기주석 포토레지스트 코팅 필름을 웨이퍼 위에 증착시켰다. 그 다음 웨이퍼를 100℃에서 60초 동안 도포 후 베이킹(PAB)하고, 그 다음 대비 곡선을 ASML NXE3400 노광 도구 상에 노광시켰다. 3개의 웨이퍼 각각은 표 2에 제시된 노광 후 프로토콜의 지연 및 베이킹을 거쳤다. 노광 후 지연을 공기 중에서 수행하였다. 이후에 샘플을 2-헵타논에서 현상하였다.
웨이퍼 PED PED 분위기 PEB 온도
a 3일 공기 PEB 없음
b PED 없음 N/A 160℃
c PED 없음 N/A PEB 없음
도 11은 웨이퍼 a 내지 c에 대한 대비 곡선을 보여준다. 웨이퍼 c의 겔 선량과 웨이퍼의 겔 선량을 비교하면 PED가 있는 샘플의 겔 선량이 더 낮았으며, 이는 대기 중 H2O 및/또는 CO2와 상당한 반응이 일어나 조사된 영역이 더 불용성이 되게 함을 시사한다. 웨이퍼 b의 겔 선량과 웨이퍼 a의 겔 선량을 비교하면, 160℃에서 PEB가 있는 시료보다 3일 PED를 사용한 시료의 겔 선량이 더 낮음을 알 수 있다. 결과는 노광 후 지연이 레지스트 속도를 높이는 데 사용될 수 있으며, 일부 경우에는 노광 후 베이킹을 대체하기 위해 노광 후 지연이 사용될 수 있음을 시사한다.
상기 구현예는 예시적인 것이며 제한적인 것이 아니다. 추가 구현예도 청구범위에 속한다. 또한, 본 발명은 특정 구현예를 참고로 설명되었지만, 당업자는 본 발명의 사상과 범위를 벗어나지 않으면서 형태 및 세부 사항에 변화가 이루어질 수 있음을 인식할 것이다. 상기 참조로써 인용된 문헌은 본원 내용과 명시적으로 상반되는 어떠한 주제도 포함하지 않도록 제한된다. 특정 구조, 조성물 및/또는 공정이 본 명세서에서의 구성성분, 요소, 성분 또는 다른 부분에 의해 기재되는 정도로, 본원의 발명은, 달리 특별히 언급하지 않는 한, 특정 구현예, 특정 구성성분, 요소, 성분, 다른 부분 또는 이들의 조합을 포함하는 구현예, 뿐만 아니라 본 논의에 제시된 바와 같이 주제의 기본적인 성질을 변경하지 않는 추가적인 특징을 포함할 수 있는 이러한 특정 구현예, 특정 구성성분, 요소, 성분, 다른 부분 또는 이들의 조합으로 본질적으로 이루어진 구현예를 포괄하는 것으로 이해된다. 본 명세서에서 용어 "약"의 사용은 달리 명시적으로 나타내지 않는 한 특정 매개변수에 대한 측정 오차를 지칭한다.

Claims (36)

  1. 약 1 nm 내지 약 500 nm의 두께를 갖는 웨이퍼 상의 방사선 패턴화가능한 유기 주석계 코팅의 처리를 개선하는 방법으로서,
    조사 후, 코팅을 갖는 웨이퍼를 약 200 Torr 이상의 압력의 분위기에서 패턴 현상 전에 에이징하기 위해 보관하는 단계를 포함하며, 여기서 에이징은 약 20분 이상 동안인, 방법.
  2. 제1항에 있어서, 상기 방사선 패턴화가능한 유기 주석계 코팅은 하기 화학식으로 나타내는 조성을 포함하는 방법:
    RSnO(1.5-(x/2))(OH)x
    여기서 0 < x ≤ 3,
    R은 1 내지 31개의 탄소 원자를 가지며, 탄소 원자가 Sn에 결합되고 하나 이상의 탄소 원자가 하나 이상의 헤테로원자 작용기로 임의로 치환된 유기 리간드이다.
  3. 제1항 또는 제2항에 있어서, 상기 분위기는 질소를 포함하고 상기 압력은 약 600 Torr 이상인, 방법.
  4. 제1항 또는 제2항에 있어서, 상기 분위기는 공기, 이산화탄소, 또는 이들의 조합을 포함하고, 여기서 공기는 약 40% 내지 90%의 상대 습도를 갖는 것인, 방법.
  5. 제4항에 있어서, 상기 상대 습도는 약 10% 이하만큼 변하는, 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 보관하는 단계는 약 600 Torr 이상의 압력에서 약 40분 이상 동안 웨이퍼를 에이징하도록 수행되는, 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 웨이퍼는 에이징 동안 약 30℃ 내지 약 150℃의 온도에서 가열되는, 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 웨이퍼는 에이징 이전에 0.1분 내지 10분 동안 약 95℃ 내지 약 250℃의 온도에서 가열되는, 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 웨이퍼는 에이징 이후에 0.1분 내지 10분 동안 약 95℃ 내지 약 250℃의 온도에서 가열되는, 방법.
  10. 제9항에 있어서, 상기 웨이퍼는 에이징 동안 약 45℃ 내지 약 150℃의 온도에서 가열되는, 방법.
  11. 약 1 nm 내지 약 500 nm의 평균 두께를 갖는 웨이퍼 상의 방사선 패턴화가능한 유기 주석계 코팅을 형성하는 방법으로서,
    현상 전에 코팅을 갖는 웨이퍼를 약 500 ppm 내지 약 10 몰%의 CO2 농도를 포함하는 분위기와 접촉시켜 물리적 패턴을 형성하는 단계를 포함하며, 상기 코팅은 화학식 RSnOxOH3-x로 나타내는 조성을 포함하며, 여기서 R은 1 내지 31개의 탄소 원자를 가지며, 탄소 원자가 Sn에 결합되고 하나 이상의 탄소 원자가 하나 이상의 헤테로원자 작용기로 임의로 치환된 유기 리간드인, 방법.
  12. 제11항에 있어서, 상기 코팅을 갖는 웨이퍼를 접촉시키기 전에 상기 물리적 패턴에 상응하는 패턴을 갖는 방사선으로 코팅을 조사하는 단계를 추가로 포함하는, 방법.
  13. 제12항에 있어서, 조사 후 및 현상 전에 약 45℃ 내지 250℃의 온도에서 웨이퍼를 가열하는 단계를 추가로 포함하는 방법.
  14. 제13항에 있어서, 상기 가열은 에이징 후에 수행되고 공기, 이산화탄소, 질소, 다른 반응성 기체 또는 이들의 조합을 포함하는 분위기로 수행되는, 방법.
  15. 제13항에 있어서, 상기 가열은 에이징 전에 수행되고 공기, 이산화탄소, 질소, 다른 반응성 기체 또는 이들의 조합을 포함하는 분위기로 수행되는, 방법.
  16. 제11항 내지 제15항 중 어느 한 항에 있어서, 상기 분위기는 상기 접촉 단계 동안 약 45℃ 내지 약 150℃의 온도에 있는 것인, 방법.
  17. 제11항 내지 제16항 중 어느 한 항에 있어서, 상기 코팅을 갖는 웨이퍼를 접촉시키는 단계는 20분 이상의 지속시간을 갖는, 방법.
  18. 제11항 내지 제17항 중 어느 한 항에 있어서, 상기 코팅을 갖는 웨이퍼를 접촉시키는 단계는 약 600 ppm 내지 약 1 몰%의 CO2 농도를 갖는 분위기 하에 수행되는, 방법.
  19. 제11항 내지 제18항 중 어느 한 항에 있어서, 상기 방사선 패턴화가능한 유기 주석계 코팅은 하기 화학식으로 나타내는 조성을 포함하는, 방법:
    RSnO(1.5-(x/2))(OH)x
    여기서 0 < x ≤ 3,
    R은 1 내지 31개의 탄소 원자를 가지며, 탄소 원자가 Sn에 결합되고 하나 이상의 탄소 원자가 하나 이상의 헤테로원자 작용기로 임의로 치환된 유기 리간드이다.
  20. 제11항 내지 제19항 중 어느 한 항에 있어서, 상기 코팅을 갖는 웨이퍼를 접촉시키는 단계는 약 600 Torr 이상의 압력에서 약 40분 이상의 지속시간을 갖는, 방법.
  21. Sn-C 결합을 갖는 유기 주석 산화물 수산화물을 포함하는 방사선 패턴화가능한 유기 주석계 코팅의 처리를 개선하는 방법으로서, 상기 코팅은 약 1 nm 내지 약 500 nm의 두께를 갖고, 상기 방법은,
    조사 후, 유기 주석 산화물 수산화물 코팅을 갖는 웨이퍼를 조사된 영역에서의 주석-결합 리간드를 변경시키는 반응성 기체를 포함하는 분위기와 접촉시키는 단계를 포함하고, 여기서 반응성 기체는 SO2, H2S, CH3SH, CO, COS, HOOH, NH3, H2, O3, 산화질소, PH3, SiH4, CH4, 산화에틸렌 또는 이들의 조합을 포함하는, 방법.
  22. 제21항에 있어서, 상기 방사선 패턴화가능한 유기 주석 산화물 수산화물 코팅은 하기 화학식으로 나타내는 조성을 포함하는, 방법:
    RSnO(1.5-(x/2))(OH)x
    여기서 0 < x ≤ 3,
    R은 1 내지 31개의 탄소 원자를 가지며, 탄소 원자가 Sn에 결합되고 하나 이상의 탄소 원자가 하나 이상의 헤테로원자 작용기로 임의로 치환된 유기 리간드이다.
  23. 제21항 또는 제22항에 있어서, 상기 접촉 단계는 조사 후 및 현상 전에 약 45℃ 내지 약 250℃의 온도에서 수행되는, 방법.
  24. 제21항 내지 제23항 중 어느 한 항에 있어서, 상기 접촉 단계는 약 20분 이상 동안 약 45℃ 내지 약 150℃의 온도에서 수행되는, 방법.
  25. 제21항 내지 제24항 중 어느 한 항에 있어서, 상기 접촉 단계는 적어도 약 0.25 nm의 현상된 구조의 임계 치수 증가를 초래하는, 방법.
  26. 제21항 내지 제25항 중 어느 한 항에 있어서, 상기 분위기는 약 500 몰ppm 내지 약 5 몰% 농도의 반응성 기체를 포함하고, 상기 분위기의 압력은 약 600 Torr 이상인, 방법.
  27. 제26항에 있어서, 나머지 분위기는 상대 습도가 40% 내지 60%인 공기인, 방법.
  28. 제26항에 있어서, 나머지 분위기는 질소인, 방법.
  29. Sn-C 결합을 갖는 유기 주석 산화물 수산화물을 포함하는 방사선 패턴화가능한 유기 주석계 코팅의 처리를 개선하는 방법으로서, 상기 코팅은 웨이퍼 상에서 약 1 nm 내지 약 500 nm의 두께를 갖고, 상기 방법은,
    유기 주석 산화물 수산화물 코팅을 반응성 기체를 포함하는 분위기와 접촉시키는 단계를 포함하고, 상기 반응성 기체는 SO2, H2S, CH3SH, CO, COS, H2, O3, 산화질소, PH3, SiH4, HOOH, NH3, CH4, 또는 산화에틸렌을 포함하고, 상기 반응성 기체는 방사선 노광에 의해 형성된 잠상이 코팅의 조사된 부분과 조사되지 않은 부분 사이의 현상 속도에서 더욱 큰 대비를 생성하도록 코팅의 조사된 부분과 조사되지 않은 부분에서의 주석-결합 리간드를 차등적으로 변경시키는 것인, 방법.
  30. 제29항에 있어서, 상기 방사선 패턴화가능한 유기 주석 산화물 수산화물 코팅은 하기 화학식으로 나타내는 조성을 포함하는, 방법:
    RSnO(1.5-(x/2))(OH)x
    여기서 0 < x ≤ 3,
    R은 1 내지 31개의 탄소 원자를 가지며, 탄소 원자가 Sn에 결합되고 하나 이상의 탄소 원자가 하나 이상의 헤테로원자 작용기로 임의로 치환된 유기 리간드이다.
  31. 제29항에 있어서, 상기 접촉 단계는 조사 후 및 현상 전에 약 45℃ 내지 약 250℃의 온도에서 수행되는, 방법.
  32. 제29항 또는 제30항에 있어서, 상기 접촉 단계는 약 20분 이상 동안 약 45℃ 내지 약 150℃의 온도에서 수행되는, 방법.
  33. 제29항 내지 제32항 중 어느 한 항에 있어서, 상기 접촉 단계는 적어도 약 0.25 nm의 현상된 구조의 임계 치수 증가를 초래하는, 방법.
  34. 제29항 내지 제33항 중 어느 한 항에 있어서, 상기 분위기는 약 500 몰ppm 내지 약 5 몰% 농도의 반응성 기체를 포함하고, 상기 분위기의 압력은 약 600 Torr 이상인, 방법.
  35. 제34항에 있어서, 나머지 분위기는 상대 습도가 40% 내지 60%인 공기인, 방법.
  36. 제34항에 있어서, 나머지 분위기는 질소인, 방법.
KR1020227032090A 2020-03-02 2021-03-01 무기 레지스트 패터닝을 위한 공정 환경 KR20220147617A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062984023P 2020-03-02 2020-03-02
US62/984,023 2020-03-02
PCT/US2021/020269 WO2021178302A1 (en) 2020-03-02 2021-03-01 Process environment for inorganic resist patterning

Publications (1)

Publication Number Publication Date
KR20220147617A true KR20220147617A (ko) 2022-11-03

Family

ID=77463564

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227032090A KR20220147617A (ko) 2020-03-02 2021-03-01 무기 레지스트 패터닝을 위한 공정 환경

Country Status (6)

Country Link
US (1) US11947262B2 (ko)
EP (1) EP4115242A4 (ko)
JP (1) JP2023515693A (ko)
KR (1) KR20220147617A (ko)
TW (1) TW202201126A (ko)
WO (1) WO2021178302A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20210302839A1 (en) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
WO2022103764A1 (en) * 2020-11-13 2022-05-19 Lam Research Corporation Process tool for dry removal of photoresist
TW202340858A (zh) * 2021-12-13 2023-10-16 美商蘭姆研究公司 混合有機錫氧化物光阻的顯影
TW202340879A (zh) * 2021-12-16 2023-10-16 美商蘭姆研究公司 高吸收性含金屬光阻的顯影策略

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0443796A3 (en) * 1990-02-19 1992-03-04 Hitachi Chemical Co., Ltd. Development process
DE69934326T2 (de) * 1998-08-27 2007-06-21 Best Label Co., Inc., Cerritos Verfahren zur entfernung organischen materials von trägern
JP2004512672A (ja) 2000-06-06 2004-04-22 イーケーシー テクノロジー,インコーポレイティド 電子材料製造法
US6664023B2 (en) * 2001-03-13 2003-12-16 International Business Machines Corporation Controlled aging of photoresists for faster photospeed
US7077917B2 (en) * 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
US20050250054A1 (en) * 2004-05-10 2005-11-10 Ching-Yu Chang Development of photolithographic masks for semiconductors
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
JP6209307B2 (ja) 2011-09-30 2017-10-04 富士フイルム株式会社 パターン形成方法、及びこれを用いた電子デバイスの製造方法
JP5793389B2 (ja) 2011-09-30 2015-10-14 富士フイルム株式会社 パターン形成方法、及びこれを用いた電子デバイスの製造方法
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
JP6239833B2 (ja) 2013-02-26 2017-11-29 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 微細レジストパターン形成用組成物およびそれを用いたパターン形成方法
KR102164849B1 (ko) 2013-03-22 2020-10-13 제이에스알 가부시끼가이샤 감방사선성 수지 조성물, 레지스트 패턴 형성 방법, 중합체 및 화합물의 제조 방법
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US20150234272A1 (en) 2014-02-14 2015-08-20 Intel Corporation Metal oxide nanoparticles and photoresist compositions
WO2015155513A1 (en) 2014-04-09 2015-10-15 Randox Laboratories Ltd Diagnosis of cancer by detecting dimeric il-18
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
KR102129745B1 (ko) 2016-02-12 2020-07-03 후지필름 가부시키가이샤 패턴 형성 방법 및 전자 디바이스의 제조 방법
JP6262800B2 (ja) 2016-04-27 2018-01-17 京セラ株式会社 携帯電子機器、携帯電子機器制御方法及び携帯電子機器制御プログラム
TWI804224B (zh) 2016-08-12 2023-06-01 美商英培雅股份有限公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
US10866511B2 (en) 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
TWI778248B (zh) * 2018-04-05 2022-09-21 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
WO2020132281A1 (en) 2018-12-20 2020-06-25 Lam Research Corporation Dry development of resists
KR20210134072A (ko) 2019-04-12 2021-11-08 인프리아 코포레이션 유기금속 포토레지스트 현상제 조성물 및 처리 방법
JP2022538555A (ja) * 2019-06-28 2022-09-05 ラム リサーチ コーポレーション 金属含有レジストのリソグラフィ性能を向上させるためのベーキング方法
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
TW202110863A (zh) * 2019-07-22 2021-03-16 美商英培雅股份有限公司 有機金屬型金屬硫族化物簇及微影之應用
KR20220076498A (ko) * 2019-10-08 2022-06-08 램 리써치 코포레이션 Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development)

Also Published As

Publication number Publication date
US11947262B2 (en) 2024-04-02
US20210271170A1 (en) 2021-09-02
JP2023515693A (ja) 2023-04-13
WO2021178302A1 (en) 2021-09-10
EP4115242A4 (en) 2024-03-13
EP4115242A1 (en) 2023-01-11
TW202201126A (zh) 2022-01-01

Similar Documents

Publication Publication Date Title
KR20220147617A (ko) 무기 레지스트 패터닝을 위한 공정 환경
KR102664561B1 (ko) 패터닝된 유기금속 포토레지스트 및 패터닝 방법
JP2021073367A (ja) 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
US20220324886A1 (en) Tin dodecamers and radiation patternable coatings with strong euv absorption
KR940004423B1 (ko) 포토래지스트층에서 네거티브 패턴을 형성하는 방법
TW202134255A (zh) 有機錫簇,有機錫簇之溶液,及於高解析度圖案化之應用
CN114026497A (zh) 增强含金属抗蚀剂的光刻性能的烘烤策略
KR20220038372A (ko) 유기금속성 금속 칼코게나이드 클러스터 및 리소그래피에 대한 적용
CA2135413C (en) Energy sensitive materials and methods for their use
JP2811124B2 (ja) パターン形成方法およびフォトマスクの製造方法
EP0281182A1 (en) Method of manufacturing a semiconductor arrangement
US6013418A (en) Method for developing images in energy sensitive materials
WO2007039374A2 (en) Immersion optical lithography system having protective optical coating
US20240118614A1 (en) Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
EP0600747A2 (en) Method for forming a fine pattern
TWI842101B (zh) 增強顯影對比度之方法及基板處理設備
US20230374338A1 (en) Radiation sensitive organotin compositions having oxygen heteroatoms in hydrocarbyl ligand
JP2705646B2 (ja) 微細パターン形成方法
US20230100995A1 (en) High resolution latent image processing, contrast enhancement and thermal development
US20230408916A1 (en) Gas-based development of organometallic resist in an oxidizing halogen-donating environment
DE102021101893A1 (de) Verhindern eines ausgasens einer fotolackschicht
TW202323261A (zh) 有機錫前驅物溶液及其應用
JP2001007115A (ja) 微細パターンの形成方法、半導体装置および半導体装置の製造方法