JP2004512672A - 電子材料製造法 - Google Patents

電子材料製造法 Download PDF

Info

Publication number
JP2004512672A
JP2004512672A JP2002501858A JP2002501858A JP2004512672A JP 2004512672 A JP2004512672 A JP 2004512672A JP 2002501858 A JP2002501858 A JP 2002501858A JP 2002501858 A JP2002501858 A JP 2002501858A JP 2004512672 A JP2004512672 A JP 2004512672A
Authority
JP
Japan
Prior art keywords
layer
precursor
forming
substrate
unconverted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002501858A
Other languages
English (en)
Inventor
マロニー,デイビッド,ジェイ.
リー,ワイ,エム.
ロマン,ポール,ジェイ.ジュニア,
フリー,マイケル,エー.
ヒル,ロス,エイチ.
Original Assignee
イーケーシー テクノロジー,インコーポレイティド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by イーケーシー テクノロジー,インコーポレイティド filed Critical イーケーシー テクノロジー,インコーポレイティド
Publication of JP2004512672A publication Critical patent/JP2004512672A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本発明は、ハード・マスクの製造に関する。実施形態は、直接パターン形成工程中の上面イメージ化層への前駆体の転換に関する。本発明の他の実施形態は、エッチングしたパターンを基板上に形成する方法である。本発明のさらに他の実施形態は、打ち込み領域を基板内に形成する方法である。好ましい前駆体は、アセチルアセトナト、カルボキシラート、アルコキシ、アジド、カルボニル、ニトラト、アミン、ハライド、ニトロ、及びこれらの組合せからなる群から選んだ少なくともひとつの配位子、並びに、Li, Al, Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg、及びこれらの組合せからなる群から選んだ少なくともひとつの金属を含む金属錯体から形成する。

Description

【0001】
発明の分野
本発明の分野は、ハード・マスクの形成を含む工程における電子材料の製造に関する。実施形態は、直接パターン形成工程中の上面イメージ層への前駆体の転換を含む。
【0002】
発明の背景
とくに半導体産業およびパッケージ業界は、その製品における薄い金属および金属酸化物フィルムを形成するために従来型の工程を用いている。このような工程の例としては、蒸着、スパッター堆積またはスパッタリング、化学蒸着法(「CVD」)および熱酸化が挙げられる。蒸着は、堆積させる必要がある基板の近くで、堆積させる材料を加熱する工程である。真空条件下で通常は実施すると、堆積させるための材料が揮発し、ついで基板上で凝結することによって、基板上に望ましい材料の包括的(blanket)もしくはパターン化されていないフィルムを生じる。この方法には、望ましいフィルム材料を高い温度に加熱する必要性や高真空条件の必要性といった欠点がいくつかある。蒸着にあたってスクリーンまたはシャドウを採用しない限り、この工程からはパターン化されていない、包括的なフィルムが生じる。
【0003】
スパッタリングは、蒸着に類似した技術であり、堆積材料を気相に転送する工程は、十分な運動エネルギーを有する入射原子をその材料に衝突させ、その材料の粒子が気相中に押し出され、基板上で凝結することによって輔助される。スパッタリングには蒸着と同様な欠点があり、それに加えて、蒸着材料の粒子を押し出すために十分な運動エネルギーを持つ入射粒子を生成することができる設備と消耗品とがさらに必要である。
【0004】
CVDは、蒸着とスパッタリングとに似ているが、基板上にフィルムを形成させるためには、堆積工程の途中で基板上に堆積する粒子が化学反応を受けることがさらに必要となる。化学反応に必要な条件については、CVDと蒸着ならびにスパッタリングとは異なっており、CVD法には高性能の設備、フィルム堆積の最中の厳しい温度・圧力条件がさらに必要である。
【0005】
熱酸化法もまた、厳しい温度条件と酸素雰囲気とが採用される。この手法では、前もって基板上に堆積させてある未酸化層を酸化することによって、基板上に酸化されたフィルムの包括的な層を生成させる。
【0006】
ゾル・ゲル法及び他のスピン・オン法のような既存のいくつかのフィルム堆積法は、常温常圧下で実施しても良い。これらの方法では、後に望ましいフィルム組成に転換され得る、前駆体の粒子を含む溶液を基板に塗布する。この溶液の塗布は、溶液を基板の中央に滴下しつつ、軸の周りで基板を回転させるようなスピン・コートあるいはスピン・キャスティングによって達成しても良い。このような塗布のあとで、その前駆体フィルムを望ましい材料のフィルムに転換するための高温にその塗布済み基板をさらす。このように、非晶質フィルムのパターンを形成するための直接イメージングに対してこれらの方法を適用することは不可能である。その代わりに、それらの方法によって、望ましい材料の包括的な、パターン化されていないフィルムが生じる。気相法に比べてこれらの方法は、厳しい設備条件は不要であるが、望ましい材料への堆積膜の転換を生じさせるための厳しい温度の適用がなお必要である。
【0007】
包括的フィルムをパターン形成する方法のひとつでは、ブランケット・フィルムを感光性皮膜で(従来はスピンコーティングもしくは他の溶液系塗布法によって、または感光性乾燥膜の適用によって)塗布する。この感光層は、マスクを通して特定の波長の光で選択的に露光される。露光によって感光層の露光域の溶解度が変化するため、現像剤を使って露光か未露光かのいずれかの区域を選択的に取り除くことができる。残りの材料は、望ましい材料のフィルムをパターニングするエッチング媒体へのパターン転写剤あるいはマスクとして、用いられる。このエッチング工程に続いて、残っている(前は感光性であった)材料を取り除き、さらに、必要に応じて、エッチング工程で生成したすべての副産物を洗浄する。
基板上にパターン化フィルムを形成するための別の方法では、感光性の材料を上記のようにパターン形成しても良い。パターン形成のあとで、パターン形成した(以前は感光性であった)材料の上部に、望ましい材料の同形(コンフォーマル)包括層を堆積させ、ついで、パターン形成した材料を有する基板及び望ましい材料の包括的フィルムに、以前は感光性であった材料を攻撃する処理を施してもよい。この処理によって、以前は感光性であった残存材料およびそれとともに上部の望ましい材料の包括的フィルムの部分がともに取り除かれる。このやり方で、望ましい材料のパターン形成フィルムが生じる。この「リフトオフ(liftoff)」工程にはエッチング工程は不必要である。とは言うものの、中間的なパターン転写媒体(感光剤)がなお必要であるし、また、これはこの方法の欠点であることもまた知られている。「リフトオフ」法には、望ましい材料のパターンによって決まる解像度(最小サイズ)に関する厳しい限界があることが知られている。この欠点によってこの方法の有用性に厳しい制限が生じる。
【0008】
後にパターン形成する必要がある包括的フィルムの堆積には、いくつかの余分な、高価で困難な工程が必要とされることは明白である。
【0009】
パターン形成フィルムを生成するためのさらに他の方法では、望ましい材料の包括的フィルムを、たとえば上述のひとつのようなエッチング工程によって前もってパターン形成してある基板上に、たとえば上述の方法のひとつによって、堆積させても良い。包括的フィルムは、その厚みが、基板における既存のパターンを埋めて、かつパターンを完全に覆うものであるように堆積させる。次に、残っている望ましい材料と前もってパターン形成された基板とが同じ高さになるまで包括的フィルムの一部が等方的に除かれる。このようにして、前もってパターン形成された基板に埋め込まれてパターンとして望ましい材料が存在することになる。望ましい材料の等方的除去はエッチング工程によって達成しても良い。普通は半導体装置の形成の場合には、化学的機械的平坦化(「CMP」)として知られる工程によってこの除去がなされることが考えられる。これは、パターン形成された基板に埋め込まれた望ましい場所中に望ましい材料を残しながら、望ましい材料のかなりの量を化学的処理と機械的処理との組合せによって除去するための、薬剤に関する粒子のスラリーの使用を含む。パターン形成されたフィルムを生成するこの方法には、高価で複雑な平坦化設備、及び、平坦化パッド、スラリー、化学薬品といった追加の消耗品材料を使うことが必要である。加えて、小さいスラリー粒子を使うため、平坦化された表面からこれらの粒子を引き続き取り除くことが必要であり、余分な処理工程が必要となる。
【0010】
これらの方法のいくつかは他の方法よりもさらに設備集約型であり、かつ液相法または気相法のいずれかの使用では相違するものの、そのような従来型の、金属および金属酸化フィルム生成用の工程は、最適ではない。それは、たとえば、望ましい結果を達成するにはそれらの各々に高価な設備が必要であり、時間がかかり、高温を用いる必要があり、また包括的で、パターン化されていないフィルムが生じるため、パターン形成が必要である場合には、パターン形成工程がさらに必要となるからである。これらの方法の多くは、多くの場合、多岐にわたる適用には適していないことがある多結晶性フィルムを形成するという、さらなる欠点がある。これらにとって替わる望ましい代替法は、基板に塗布され、中間工程を必要とせずに選択的にイメージングされ、パターン形成されて非晶質フィルムを形成することができる前駆体材料を使うことである。
【0011】
半導体製造における薄フィルムの用途のひとつは、典型的には基板にすでに塗布された有機層の上に上部表面イメージング層(以下「TST」と称する)を形成する目的のためである。この場合には、堆積させる薄フィルムは後に従来の方法を用いてパターン化されるため、有機層が光活性である必要は無い。TSI用のこれらの薄フィルムの用途から工程上の有利な点がいくつが生まれる。この有利な点には、フォトレジスト・マスクを使うことによっては生じることがないプラズマ・エッチング耐性と、非常に薄いフィルムによって生じるリソグラフィー工程の解像度の増大とがある。TSI用の典型的な薄フィルムには、金属及びシリコンの窒化物及び酸化物が挙げられ、またシリル化として知られる工程に関して数多くの研究が行なわれてきた。この工程には、前もって堆積させた有機層の上へのシリコンを含む化学種の薄フィルムの蒸着が含まれる。シリコン種のこの薄フィルムは次にイメージングされて酸化シリコンの薄フィルムを生成する。この薄フィルムは下層の有機層の酸素プラズマ・パターニング中にTSI層として作用する。半導体およびパッケージ業界がシリル化工程を受け入れることは、たくさんの工程とコストの減縮の結果、重要ではなくなってきた。
【0012】
半導体製造における薄フィルムの別の用途には、たとえばイオン打ち込み工程で使用されるハード・マスクの形成のためというものがある。イオン打ち込みは、たとえば半導体製造において基板内に、ドープ領域を生成する際に用いられる、良く知られた技術である。イオン打ち込みには、打ち込まれるイオンを所定の領域にのみ向けさせるハード・マスクとしても知られる、パターン化したブロック層が必要となることが多い。たとえば、清水らに与えられた米国特許第5436176号には、「実施形態1」で、シリコン酸化物フィルムが覆ったシリコン基板の無マスク打ち込みが開示されている。この打ち込みはホウ素原子による3回打ち込みであることが開示されている。また別に、同じ特許には、「実施形態3」で、次記の工程段階を含む三回繰り返し法において多重ハード・マスクを用いる打ち込みが開示されている:シリコン酸化物フィルムに覆われたシリコン基板上にマスクを形成させること、リンを使う打ち込み、二番目のマスクを形成させること、ホウ素を使う打ち込み、及び最後にアニーリング。
【0013】
すでに考察したように、これらの工程のいずれによってもハード・マスクの形成にはかなり多くの工程段階が必要である。エッチングやイオン打ち込みの前にこれらの段階をいくつか減らすことは、用いる工程を簡素化することや、その効率を増すことや、その経費を減らすことといった理由で有益である。
【0014】
この問題を解決するための手法のひとつには、マスクとしてのフォトレジストを使用することがある。ところが、とくに有機層のパターン化のための、特定のプラズマ・エッチング化学処理に対するフォトレジストのエッチング耐性が低いことが良く知られている。この有機層は、中間保護層として用いられることがあるし、また低誘電率(「低k」)誘電体およびイオンに対する低阻止能としての利用が増しつつある。そのため、マスクしている層を侵食しつくす前にパターン化される層の完全なエッチングができるため、又は、それらが適用された基板の領域への打ち込みを防ぐため、望ましくない厚いフォトレジストが求められる。別の不利な点として、イオンを打ち込まれたフォトレジストをウエハから取り除くことが極めて困難であることが挙げられる。この問題を解決する別のやり方としては、たとえばはじめにハード・マスクを塗布し、つぎにフォトレジスト層をハード・マスク上に塗布し、つづいてエッチング又はイオン打ち込みを行う前にパターン化することが試みられた。従来技術の方法が開示した数多くの段階のいくつかをプラズマ・エッチングまたはイオン打ち込みの前に組み合わせること、または、それらのひとつ以上をはずすことで、これらの工程を簡素にすることができる。よって、プラズマ・パターンニングまたはイオン打ち込み工程において、段階をいくつか削除するための方法が非常に望まれる。
【0015】
金属錯体前駆体を堆積させるための本方法は、金属および金属酸化物ハード・マスク・フィルムを形成させるための、より費用がかからない方法として開発された。光化学金属有機物を堆積させる本方法の実施形態のひとつは、金属錯体前駆体のための金属有機物の使用、及び金属有機物の金属または金属酸化物フィルムへの変換のための手段、たとえば入射輻射または熱エネルギーを含む。とくに、本方法では、前駆体金属有機物を、たとえば適当な有機溶媒に溶解させて前駆体溶液を形成させ、任意の既知の手段によっても表面の上に堆積することによって表面に塗布する。つぎにその前駆体は、部分変換手段及び/又は変換手段、たとえば、光、イオン・ビーム・ボンバードメント、電子・ビーム・ボンバードメント、又は熱(thermal)もしくは高熱(heat)処理もしくはアニーリングのようなエネルギー源にさらすこと、によって少なくとも部分的に金属または金属酸化物層に変換される。このように、本法には半導体産業およびパッケージ業界での有用性がある。
【0016】
ヒルらに与えられた米国特許第5534312号には、基板の表面上に金属錯体の非晶質フィルムを堆積させること、選択した雰囲気中にフィルムを置くこと、フィルムの選択領域を、任意でマスクを通して、電磁波(好ましくは紫外光)に曝露することによって、選択領域内の金属錯体に光化学反応を受けさせる段階を含む、基板上にパターン化した金属含有材料を形成するための無フォトレジスト法が開示されている。ところが、この文献は、下にある層をプラズマ・エッチング環境から保護するためのハード・マスクとして、パターン化した金属含有材料を使うことを想定していない。
【0017】
トムソンらに与えられた米国特許第6071676号には、輻射ビームあるいは粒子ビームが接触する塗布化合物の分解が集積回路製造工程によって生じることが開示されている。すなわち、化合物の分解によって生じる堆積物の大きさは照射ビームの焦点幅に比例する。その工程によって達成できるナノスケールの大きさが開示されている。ここで、化合物が分解して金属または他の導電性物質の堆積物を生成するので、その方法は基板上で直接集積回路を製造することに用い得る。その堆積物は、好ましくは金属または金属合金であることが教示され、その金属は、金、錫、またはクロムであって良く、又はその堆積物は導電性非金属もしくはゲルマニウムのようなセミメタルであっても良い。さらに他の態様では、輻射ビームあるいは粒子ビームの影響下で分解して導電性、好ましくは金属性の、堆積物を生じる化合物を基板に塗布すること、その化合物の選択した表面域に輻射ビームあるいは粒子ビームを適用すること、及び分解した化合物および影響を受けなかった化合物を基板から取り除くことを含む集積回路を製造するための方法が提供される。
【0018】
本発明の方法は、パターン化したハード・マスクを提供することができ、それは、従来のTSIおよびイオン打ち込み法において用いられる酸化物層およびフォトレジスト層の両者と置き換えるものであり、たとえば実施すべき製造工程の数を減らすことによってこれらの方法を簡素化するものである。この発明の別の利点は、製造される材料にはプラズマ・エッチング化学処理に対するエッチング耐性があることである。これによって、リソグラフ工程の最終的な解像度を増して、より小型でより細かい構造(feature)を形成できるような、ハード・マスクとして極めて薄いフィルムを用いることができるといった別の利点をも生じる。本発明の他の利点は、生じる材料のよりよいイオン打ち込みブロック力および阻止力にある。加えて、本発明の工程は、白金、イリジウム、酸化イリジウム、ルテニウム、および酸化ルテニウム、といった、従来の方法ではエッチングすることが困難または不可能であると知られる、パターン化した層のための新しい材料の使用を容易にする点で有利である。
【0019】
発明の要約
本発明の1実施形態は、次の工程を含む、基板上でハード・マスクを形成する方法である:
少なくとも1種の前駆体材料を選ぶこと;
基板上に該前駆体を含む層を形成させること;
該前駆体層の少なくとも一部を変換すること;
該前駆体層を現像することで該前駆体層のパターンを生成すること;及び
該パターンを該基板に転写し、それによって、該パターンを生成させるに際し、フォトレジストを使わないこと。
【0020】
該前駆体層の未変換部分は、現像剤によって現像し去ることができる。あるいは、該前駆体層の変換部分を現像剤によって現像し去ることができる。現像剤は、少なくとも一種のアルコールと少なくとも一種のケトンを含み、液体現像剤中に存在するすべてのアルコール類の総量は、存在するすべてのアルコール類の容積と存在するすべてのケトン類の容積とを加えた合計値の50%よりも大きい、液体現像剤であってもよい。好ましくは、該現像剤の少なくとも一種のアルコールがイソプロピルアルコールであり、該少なくとも一種のケトンがメチル・イソブチル・ケトンであり、かつイソプロピルアルコール:メチル・イソブチル・ケトンの比率が体積で約1:1超から体積で約40:1未満である。
【0021】
本発明の他の実施形態は、次の工程を含む、基板上にハード・マスクを形成する方法である:
少なくとも1種の前駆体材料を選ぶこと;
任意に、基板上に保護層を形成させること;
該保護層上に未変換前駆体を含む層を形成させること;
該未変換前駆体層の少なくとも一部を部分的に変換すること;
該未変換前駆体層の少なくとも一部を実質的に取り除いてパターンを生成させること;及び
該部分的変換前駆体層の少なくとも一部を変換することによってハード・マスクを形成すること。
【0022】
部分変換は、光、電子ビーム照射、イオン・ビーム照射、及びその混用から選ばれるエネルギー源を、該エネルギー源に対して実質的に透過性である少なくとも一部を含む、パターン化されたマスクを透過させることによって、達成することができる。未変換前駆体層の少なくとも一部は、現像剤を用いて実質的に取り除くことができる。変換は、光、電子ビーム照射、イオン・ビーム照射、熱アニーリング、及びその混用から選ばれるエネルギー源によって達成することができる。
【0023】
本発明の他の実施形態は、次の工程を含む、基板にエッチングされたパターンを形成する方法である:
少なくとも1種の前駆体材料を選ぶこと;
基板上に未変換前駆体を含む層を形成させること;
該未変換前駆体層の少なくとも一部を部分的に変換すること;
該未変換前駆体層の少なくとも一部を実質的に取り除くこと;
該部分的変換前駆体層の少なくとも一部を変換することによってハード・マスクを形成すること;および
該ハード・マスクによって実質的に被覆されていない該基板の少なくとも一部をエッチングすることによって該基板内に少なくとも一部のパターン化した領域を形成すること。
【0024】
本発明のさらに他の実施形態は、次の工程を含む、パターン化した薄い上部表面を形成する方法である:
金属錯体を含む少なくとも1種の前駆体材料を選ぶこと;
基板上にパターン転写層を形成すること;
パターン転写層上に該未変換前駆体を含む層を形成すること;
光に対して実質的に透過性である少なくとも一部を含む、パターン化したマスクを透過させた光で該未変換前駆体層の一部を露光させ、それによって該露光領域を部分的に変換させること;
該未変換前駆体層の少なくとも一部を実質的に取り除き、それによってパターン転写層の少なくとも一部を不被覆とすること;
該部分的変換前駆体層の少なくとも一部を変換することによってハード・マスクを形成すること;
該少なくとも部分的に変換した前駆体及び該パターン転写層の不被覆部分をエッチングし、それによって該不被覆パターン転写層から少なくとも一部のエッチングされた領域を形成すること;及び
該残存している、少なくとも部分的に変換された前駆体層及びパターン転写層を実質的に取り除き、それによって該基板の少なくとも一部を不被覆とすること。
【0025】
該パターン転写層は、フォトレジスト、ポリイミド、ポリ(メチル・メタクリレート)、ノボラック、およびエポキシから選ばれてもよい。
【0026】
本発明の他の実施形態は、次の工程を含む、パターン化した薄い上部表面をリフトオフ層上に形成する方法である:
金属錯体を含む少なくとも1種の前駆体材料を選ぶこと;
基板上にリリース層を形成すること;
該リリース層上にリフトオフ層を形成すること;
該リフトオフ層上に該未変換前駆体を含む層を形成すること;
光に対して実質的に透過性である少なくとも一部を含む、パターン化したマスクを透過させた光で該未変換前駆体層の一部を露光させ、それによって該露光部分を部分的に変換させること;
該未変換前駆体層の少なくとも一部を実質的に取り除き、それによって該部分的変換前駆体層によって覆われたリフトオフ層の残存部分を有しつつ、リフトオフ層の少なくとも一部を不被覆とすること;
該部分的変換前駆体層の少なくとも一部を変換することによってハード・マスクを形成すること;
少なくとも部分的に変換された前駆体及び該リフトオフ層の不被覆部分に異方性除去手段を受けさせ、それによって、該リフトオフ層の不被覆部分及び該リフトオフ層の不被覆部分の下層となっている少なくとも一部の該リリース層と、を実質的に取り除くとともに該基板の少なくとも一部を曝露させること;
該少なくとも部分的に変換された前駆体、該リフトオフ層の被覆部分及び該リフトオフ層の被覆部分の下層となっている該リリース層の少なくとも一部に等方性除去手段を受けさせること;
被曝露基板の該少なくとも一部上及び該少なくとも部分的に変換された前駆体上に金属のフィルムを堆積させること;
該少なくとも部分的に変換された前駆体上の該金属を実質的に取り除くこと;および
該残存する少なくとも部分的変換前駆体、該リフトオフ層及び該リリース層を実質的に取り除き、それによって基板上で該金属にパターンを形成させること。
【0027】
本発明のさらに他の実施形態は、次の工程を含む、リフトオフ層を覆う、パターン化した薄い上部表面をリフトオフ層の上部に形成する方法である:
金属錯体を含む少なくとも1種の前駆体材料を選ぶこと;
基板上に未変換前駆体を含む層を形成させること;
該未変換前駆体層の少なくとも一部を部分的に変換すること;
該未変換前駆体層の少なくとも一部を実質的に取り除き、それによって内側に向かって細くなる側壁プロフィールを部分的変換前駆体部分内に形成するとともに該基板の少なくとも一部を曝露すること;
部分的変換前駆体層の少なくとも一部を変換することによってハード・マスクを形成すること;
該少なくとも一部の被曝露基板上及び該少なくとも部分的に変換された前駆体上に金属のフィルムを堆積させること;
該少なくとも部分的に変換された前駆体上の該金属を実質的に取り除くこと;および
該残存している少なくとも部分的に変換された前駆体を実質的に取り除き、それによって基板上に該金属のパターンを形成させること。
【0028】
本発明の他の実施形態は、次の工程を含む、打ち込み領域を基板内に形成する方法である:
少なくとも1種の前駆体材料を選ぶこと;
任意に、該基板上に保護層を形成させること;
該保護層上に、少なくともひとつの未変換前駆体材料を含む層を形成すること;該未変換前駆体層の少なくとも一部を部分的に変換させることによって前駆体層の部分的な変換部分を形成すること;
現像剤を用いて該未変換前駆体層の少なくとも一部を実質的に取り除くこと;
該部分的変換前駆体層の少なくとも一部を変換することによってハード・マスクを形成すること;及び
ハード・マスクによって実質的に被覆されていない該基板の少なくとも一部にイオンを打ち込んで該基板中に少なくともひとつの打ち込み領域を生成すること。
【0029】
部分的変換は、光、電子ビーム照射、イオン・ビーム照射、及びその混用から選ばれるエネルギー源を、該エネルギー源に対して実質的に透過性である少なくとも一部を含むパターン化されたマスクを透過させることによって、達成することができる。変換は、光、電子ビーム照射、イオン・ビーム照射、熱アニーリング、及びその混用から選ばれるエネルギー源によって達成することができる。イオンは、未被覆基板をイオン・ビームにさらすことによって打ち込むことができる。
【0030】
本発明の他の実施形態は、次の工程を含む、デュアルダマシン構造を誘電体層内に形成する方法である:
少なくとも1種の前駆体材料を選ぶこと;
基板の上に特徴的厚さを有する誘電体層を形成すること;
該誘電体層上に第一の未変換前駆体を含む層を形成すること;
未変換の第一前駆体層の少なくとも一部上で第一の部分的変換手段を用いることによって該第一前駆体層の部分的な変換部分を形成すること;
第一除去手段を用いることによって該第一未変換前駆体層の少なくとも一部を実質的に取り除き、該誘電体層の少なくとも一部を曝露し、該少なくとも部分的に変換された第一前駆体層によって被覆されていない第一のパターンを生成すること;
該部分的変換第一前駆体層の少なくとも一部に第一変換手段を用いることによってハード・マスクを形成すること;
該誘電体層の曝露部分の上および該少なくとも部分的に変換された第一前駆体層の上にスピン平坦化層を形成すること;
スピン平坦化層の上に第二の未変換前駆体を含む層を形成すること;
第二の未変換前駆体層の少なくとも一部に、第二の部分的変換手段を用いることによって該第二前駆体層の部分的な変換部分を形成すること;
第二除去手段を用いることによって該第二未変換前駆体層の少なくとも一部を実質的に取り除き、該スピン平坦化層の少なくとも一部を曝露し、該少なくとも部分的に変換された第二前駆体層によって被覆されていない第二のパターンを生成すること;
該部分的変換された第二前駆体層の少なくとも一部上で第二変換手段を用いることによってハード・マスクを形成すること;
厚さで該誘電体層の深さ未満を第一エッチング手段によって取り除くように、第二のハード・マスクによって実質的に不被覆となっている該誘電体層の少なくとも一部及びその上層スピン平坦化層に第一エッチング手段を用いることによって該誘電体層中に少なくとも一つの第二パターン化領域を形成すること;
該残存している少なくとも部分的に変換された第二前駆体とスピン平坦化層とを第三除去手段を用いることによって実質的に取り除き、それによって該少なくとも部分的に変換された第一前駆体層を曝露させること;
第一のパターン化された領域においては、第二エッチング手段によって深さで該誘電体層の厚さ未満が除去されるとともに、第二のパターン化された領域においては、第二エッチング手段によって深さで実質的に該誘電体層の全厚さが除去されるようにして、第一のハード・マスクが実質的に被覆していない該誘電体層の少なくとも一部に第二エッチング手段を用いることによって該誘電体層中に少なくとも一つの第一のパターン化された領域を形成し、それによって該基板の少なくとも一部を不被覆とすること;および
任意で、該残存している少なくとも部分的に変換された第一前駆体層を第四除去手段を用いることによって実質的に取り除くこと。
【0031】
好ましくは、該誘電体層は、低誘電率誘電材料である。
【0032】
好ましくは、第一および第二エッチング手段の少なくとも一つは、酸素を含む異方性プラズマである。
【0033】
これらの実施形態の各々で、好ましい前駆体材料は、アセチルアセトナト(acac)、カルボキシラート、アルコキシ、アジド、カルボニル、ニトラト、アミン、ハライド、ニトロ、及び、これらの組合せからなる群から選んだ少なくともひとつの配位子、並びに、Li, Al, Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn, Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg、及び、これらの組合せからなる群から選んだ少なくともひとつの金属を含む金属錯体である。
【0034】
発明の詳細な説明
本方法は、他のフィルム堆積および形成法では不可能である利点を与える。結果として、使用者は、得られるフィルムの特性を制御、操作して、所望の適用に合致させることができる。それゆえ、本方法は幅広い用途にとって有用である。
【0035】
本発明は、望ましい材料のパターン化したフィルムを作るための方法を提供する。非晶質フィルムは、多晶質及び結晶性のフィルムとは異なっており、更には、非晶質フィルムは、より高配列性のフィルム(more ordered film)とは異なっており、さらには異なるフィルム生成方法で生成した異なる非晶質フィルムが、互いに異なっていることを知ることが重要である。さらにまた、異なった方法で生成された異なった非晶質フィルムの異なった性質は制御可能であり、またたとえば半導体装置及び/又はその製造における層として、特別な用途に役立つ特定の化学的、物理的、ならびに機械的性質を生じる。このような非晶質フィルムを少なくとも部分的に変換する段階を含む本方法によって形成したハード・マスクは、好ましくパターン化されるので、該パターンの基板への転写に有用である。ハード・マスクとしては、打ち込みマスク、エッチングマスク、パターン転写層またはマスク(例えば剥離マスク)が挙げられるが、これらに限定されることはない。
【0036】
本発明法の実施形態の利点のひとつは、パターン生成の際に、従来のパターン化材料(すなわち、フォトレジスト)を使わずともパターン化した電子材料が得られることである。本方法のもうひとつの利点は、形成され得る前駆体材料の非晶質フィルムを、中間パターン化材料を使わずに、任意に、基板上で直接パターン化しても良いことである。プロセスを理解することで、他のフィルム堆積及び生成方法を用いないで、本堆積方法によって、多様で、重要かつ独特な性質が達成できる。図1は、特別な用途のために最適化した性質を有する望ましい材料のフィルムを得るために従う例示的な段階を示す工程流れ図による本方法の概観である。該フィルムの最終的な適用に基づいて、これらの段階の多くは全く任意である。本発明もまた、これらの段階に限定されず、該フィルムの最終的な適用に基づいて他の段階を含んでも良い。当業者は、特別な適用のための望む結果を達成するにはどの段階を含めるべきかあるいは除くべきかを知っているであろう。
【0037】
各段階では、得られるフィルムに影響を与えるように操作してもよい変量が存在する。たとえば、図1のステップ1では、変量には、前駆体材料の組成、前駆体材料に用いる溶媒、前駆体材料に溶媒が用いられるかどうか、前駆体材料とともに用いられることがある添加剤、及び/又は前駆体材料とともに含まれることがある速度増進剤が含まれる。
【0038】
図1のステップ2では、変量としては、基板の洗浄、バリア層の堆積、接着促進剤の堆積、及び/又は反応層の使用が含まれる。
【0039】
図1のステップ3では、変量には、前駆体フィルムを塗布する方法、前駆体が塗布される雰囲気、及び/又は、堆積の温度が含まれる。
【0040】
図1のステップ4では、変量には、熱処理、電子ビームによる処理、イオン・ビームによる処理、マイクロウエーブを用いる処理、及び/又は、特別な雰囲気の使用が含まれる。
【0041】
図1のステップ5では、変量には、フィルムが包括的に曝露されるか、またはパターン化されるか、又はそれらの混用か、及び/又は、特別な雰囲気の使用が含まれる。
【0042】
図1のステップ6では、変量には、熱アニーリング、マイクロウエーブを使う処理、電子ビームによる処理、イオン・ビームによる処理、めっき、及び/又は、特別な雰囲気の使用が含まれる。
【0043】
図1のステップ7では、変量には、湿式又は乾式のどちらかの手法によるパターニングが含まれる。
【0044】
図1のステップ8では、変量には、アニーリング、及び/又は、特別な雰囲気の使用が含まれる。この段階ではまた、形成された任意の非晶質フィルムは、たとえば昇温させた温度の適用あるいは光誘起核生成のような他の種々の核生成処理によって多結晶性あるいは結晶性のフィルムに変換することができ、またいくつかの適用ではこれが望ましい工程段階となることがある。
【0045】
これらの変量は例として意図したものであり、生じるフィルムの性質に影響を与えるように操作し得る変量の網羅的リストとして考えてはならない。本発明のさらに具体的な側面及び実施態様については次に詳しく説明する。
【0046】
パターン化したフィルムが望ましい場合には、フォトレジストといった中間パターン化材料を使わずに、本明細書で説明される方法は、光化学的に進行させることができ、また周囲条件下で実施でき、又は他の条件下(例えば、大気あるいは他の組成雰囲気)及び/又は種々の周囲圧力下(例えば、常圧、常圧よりも高いあるいは低い圧力)で実施でき、さらには独特な材料や層や構造を生じる他の種々の工程段階に関連して用いることができる。
【0047】
工程が光分解的に行なわれる場合には、本工程は実質的に周囲温度で進行するが、他方、他の従来技術の方法では、しばしば100℃を超えるような昇温させた温度を用いてパターンの転写を生じさせることが必要となる。この制限によって工程上の厳しい制約が製造の立場から生じ、該方法の適用に関係する装置の集合体で用いられる材料の選択が制限される。
【0048】
本発明の工程は、通常は実質的に周囲圧力の下で満足に進行する。対照的に、従来技術の堆積方法の多くは、前記の限界があることに加えて、稼動と保守とが困難である高価かつ複雑な装備が必要となる高真空下で実施せねばならない。
【0049】
本発明の工程によれば、前駆体材料から基板上に容易に薄層を形成することができる。前駆体は、基板を一様に被覆し、本工程の場合には、感光性のための光学的品質が高いフィルムを生じ得ることができるように、特に設計した分子を含む。前駆体分子の同一性(identity)は重要な変量であり、式M[前記式は、少なくとも一種の金属(「M」)(即ち、aは少なくとも1である整数であり)、および少なくとも一種の適当な配位子(「L」)または配位子類(即ち、bは少なくとも1である整数である)を含む]の多種類の金属錯体が本発明において想定される。
複数の金属を用いる場合には、金属原子のすべてが同一であっても良く、すべてが異なった原子であってもよく、及び/又は、たとえばBa、Na、またはFe(II)、Fe(III)のような異なった価数を持っていても良く、またはいくつかは同一であって、他は異なる原子であっても良く、及び/又は、他はBaFe(II)Fe(III)のように異なった価数を持っていても良い。いかなる場合であっても、金属Mは、アルカリまたはアルカリ土類(たとえば、BaあるいはLi)、遷移金属(たとえば、CrあるいはNi)、主群の金属(たとえば、AlあるいはSn)、又はアクチニド(たとえば、UまたはTh)であってもよい。好ましくは、各金属はLi, Al, Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn, Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、及びMgから独立に選ばれる。
【0050】
複数の配位子を用いる場合には、その配位子のすべてが同一であってよく、すべてが異なっていてもよく、又はいくらかが同一であり、他が異なっていても良い。いかなる場合にも、実質的に未変換の前駆体錯体が形成され得るように配位子Lが選ばれ、またそれは次の性質を有する。
1)それが基板上に非晶質フィルムとして堆積し得る、
2)非晶質フィルムは安定であり、あるいは、少なくとも準安定であり、
3)エネルギー(たとえば、必要なエネルギーを持つ光子)を吸収することで、化学反応を通じてフィルムを異なった金属含有材料に変質できる、
4)エネルギーによって誘導された化学反応によるいかなる副産物も取り除くことができる、すなわち、フィルムから除かれるために十分揮発性である。
【0051】
これらの結果のはじめの二つを達成するためには、該錯体は低い極性と低い分子間力を有する必要がある。有機基は、通常分子間力が低いため、外周辺に有機基を有する配位子が、はじめの二つの要求を満足させやすい。吸収されるエネルギーが光である場合、ステップ(3)の化学反応は光誘起反応として知られている。
【0052】
実質的に未変換の前駆体の堆積フィルムは非晶質であるか、少なくとも実質的に非晶質である。そのため、結晶化に耐える金属錯体を作るために、配位子[類]Lは、該錯体が非対称となるようなものであることが好ましい。それ自身が二つ以上の立体異性体の形態を持っている配位子を用いることで該錯体を非対称にすることができる。たとえば、もしLがラセミ性の2−エチルヘキサノエートであるならば、該錯体はいくつかの異なった立体異性体の形態を持っているので、生じる金属錯体は非対称となる。選んだフィルム堆積工程によって堆積するフィルムの安定性を最適化したり、フィルムの厚さを調整したりするために、該配位子の有機部分の大きさと形とが選択され得る。
結晶化に関係する非晶質フィルムの安定性は、各金属原子に付いたいくつかの異なった配位子を有する錯体のフィルムを作ることによっても促進され得る。このような金属錯体は、いくつかの異性体形態を有する。たとえば、ニッケル(II)塩とKNCSとの混合物とCHHNCHCHNHCHとの反応によって異性体の混合物が生じる。異なる異性体の化学的性質はそれほど異なることがないことが知られている。しかしながら、フィルム中のいくつかの異性体の存在が、フィルム中の該錯体の結晶化に障害を与える。
錯体はまた、工程の条件下で急速にあるいは自然に分解しないという意味において、安定かあるいは少なくとも準安定でなければならない。所定の金属の錯体の安定性は、たとえば該錯体中の金属の酸化状態に依存していることがある。たとえば、Ni(0) 錯体は空気中で不安定であることが知られているが、一方で、Ni(II) 錯体は空気安定性である。したがって、空気雰囲気中での工程段階を含むNi系フィルムを堆積させる工程には、Ni(0) 錯体よりもNi(II) 錯体の方を含ませる必要がある。
【0053】
部分的変換および変換は、部分的に変換しまたは変換した領域を所望の変換材料に変化させる、フィルム内の化学反応によって引き起こされる。理想的には、少なくともひとつの配位子が反応性であり、部分変換手段及び/又は変換手段の影響によって、該錯体が励起状態になる際に切れる結合によって該錯体に付いている必要がある。好ましくは、該反応性の基は、部分変換手段及び/又は変換手段として、光、より好ましくは紫外光によって開始される光化学反応中に錯体から切り離される。工程中のこのような光化学的段階を効率的にするためには、該反応性の基が切り離される際に生じる中間産物が不安定であり、望ましい新しい材料および揮発性の副産物へと自然に変換されることが非常に望ましい。
【0054】
適当な光化学反応が起こり得る機構がいくつか存在する。本発明に関して、独立であるいは組み合わせて実施できることがある、適当な反応機構の例のいくつかは、次の通りである:
(a)光子の吸収によって、該錯体が、金属錯体中の金属−配位子結合が不安定である、配位子から金属への電荷移動励起状態となり、該結合が壊れて該錯体の残存部分が自然に分解する、
(b)光子の吸収によって、該錯体が、該錯体中の金属−配位子結合が不安定である、金属から配位子への電荷移動励起状態となり、該結合が壊れて該錯体の残存部分が自然に分解する、
(c)光子の吸収によって、該錯体が、該錯体中の金属−配位子結合が不安定である、d−d励起状態になり、該結合が壊れて、該錯体の残存部分が自然に分解する、
(d)光子の吸収によって、該錯体が、該錯体中の金属−配位子結合が不安定である、分子内電荷移動励起状態となり、該結合が壊れて、該錯体の残存部分が自然に分解する、
(e)光子の吸収によって、該錯体中の少なくともひとつの該配位子が、局在化した配位子励起状態となり、該励起した該配位子と錯体との間の結合が不安定となり、結合が壊れ、該錯体の残存部分が自然に分解する、
(f)該錯体中の少なくともひとつの配位子が不安定となり、分解するように、光子の吸収によって、該錯体を、分子内電荷移動励起状態とする、該錯体の残存部分が不安定となって自然に分解する、
(g)光子の吸収によって、該錯体の少なくともひとつの配位子が、、該励起配位子が不安定であり分解する、局在化した配位子励起状態となり、該錯体の残存部分が不安定となり、自然に分解する、および
(h)光子の吸収によって該錯体が、該錯体の少なくともひとつの配位子が不安定でとなって分解する、金属から配位子への電荷移動励起状態となり、該錯体の残存部分が不安定となって自然に分解する。
しかしながら、広い見方では、本発明がこれらの反応機構に限定されると解釈されない。
【0055】
例示的な金属錯体ならびにそれらの金属および配位子成分は、本明細書にそのままそっくり参照して引用した米国特許第5534312に記載してある。好ましい金属錯体前駆体には上記の基準に合致する配位子が含まれる。次の化合物からなる群から配位子を選ぶことがより好ましい:
アセチルアセトナト(別名は「acac」または2,4ーペンタンジオン)、及びそのアニオン、置換アセチルアセトナト、すなわち
【化1】
Figure 2004512672
およびそれらのアニオン、アセトニルアセトン(別名は2,5−ヘキサンジオン)、およびそのアニオン、置換アセトニルアセトン、すなわち
【化2】
Figure 2004512672
およびそのアニオン、ジアルキルジチオカルバマート、すなわち
【化3】
Figure 2004512672
およびそのアニオン、カルボン酸すなわち
【化4】
Figure 2004512672
たとえばヘキサン酸(ここで、R=CH(CH)、カルボキシレート、すなわち
【化5】
Figure 2004512672
たとえばヘキサノエート(ここで、R=CH(CH)、ピリジン及び/又は置換ピリジン、すなわち
【化6】
Figure 2004512672
アジド、すなわち、N 、アミン(たとえばRNH)、ジアミン(たとえばHNRNH),アルシン、すなわち
【化7】
Figure 2004512672
ジアルシン、すなわち
【化8】
Figure 2004512672
フォスフィン、すなわち
【化9】
Figure 2004512672
ジフォスフィン、すなわち
【化10】
Figure 2004512672
アレーン、すなわち
【化11】
Figure 2004512672
水酸基すなわちOH,アルコキシ配位子(たとえばRO)、配位子(たとえば(CNCHCHO−)、アルキル配位子(たとえばR)、アリール配位子、およびその組合せ、ただしR,R’,R’’,R’’’,およびR’’’’の各々は有機基から独立に選ばれ、また好ましくはアルキル、アルケニル、アラルキル、およびアラルケニル基から独立に選ばれる。
【0056】
本明細書で用いる場合には、アルキルという用語は、直鎖および分岐炭化水素鎖を指すものとする。本明細書で用いる場合には、直鎖および分岐鎖の炭化水素鎖という言葉は、アルカン、アルケン及びアルキンを含む任意の置換又は未置換の非環式炭素含有化合物を意味する。アルキル基の例としては、例えばメチル、エチル、n−プロピル、イソプロピル、n−ブチル、イソブチル、tert−ブチル、あるいはイソヘキシルといった低級アルキル、たとえばn−ヘプチル、オクチル、イソオクチル、ノニル、デシル等といった高級アルキル、たとえばエチレン、プロピレン、プロピリン、ブチレン、ブタジエン、ペンテン、n−ヘキセン、あるいはイソヘキセンといった低級アルキレン、およびたとえばn−ヘプテン、n−オクテン、イソオクテン、ノネン、デセン等といった高級アルキレンが挙げられる。通常の当業者は、多くの直鎖すなわち線状および分岐アルキル基に精通しており、それは本発明の範囲内である。さらに、このようなアルキル基類にはまた、1以上の水素原子が官能基または鎖内官能基によって置き換えられる種々の置換体が含まれていても良い。
【0057】
本明細書で用いる場合には、アルケニルという用語は、少なくともひとつの炭素ー炭素結合が炭素ー炭素二重結合となっている、直鎖又は分岐炭化水素鎖を指すものとする。本明細書で用いる場合には、アラルキルという用語は、末端で少なくともひとつのアリール基、たとえばベンジル基、によって置換されているアルキル基を指すものとする。本明細書で用いる場合には、アラルケニルという用語は、末端で少なくともひとつのアリール基によって置換されているアルケニル基を指すものとする。本明細書で用いる場合には、アリールという用語は、しばしば少なくとも6つのπ(パイ)電子を含む共役二重結合系を有する炭化水素環を指すものとする。アリール基の例としては、フェニル、ナフチル、アニシル、トルイル、キシレニルなどが挙げられるが、これらに限定されることはない。
【0058】
本発明の文脈で用いる「官能基」という用語は、関連する技術における当業者が理解するように、鎖内、ペンダント及び/又は末端の官能性を有する部分を広義に指すものとする。列挙できる鎖内官能基の例としては、エーテル、エステル、アミド、ウレタン、およびそれらのチオ誘導体、すなわちそれには酸素の少なくとも1原子が硫黄原子に置き換わっているもの、がある。列挙できるペンダント及び/又は末端の官能性の例としては、たとえばフッ素と塩素のようなハロゲン、水酸基、アミノ基、カルボキシル基、チオ及びアミドといった水素含有基、イソシアナート、シアノ、エポキシ、ならびに、アリル、アクリロイル及びメタクリロイルのようなエチレン性不飽和基、ならびに、マレイン酸塩およびマレイミドがある。
光化学反応の生成物の自然熱分解傾向を含む、望ましい光化学的特性を増強するために、次に示す1以上の基を含む、及び/又はそれらから選んだ配位子を単独であるいは上記の配位子と組み合わせて用いても良い:OXOすなわち

オキサラートすなわち
【化12】
Figure 2004512672
ハライド、水素、水素化物すなわちH、二水素化物すなわちH、水酸基、シアノすなわちCN、カルボニル、ニトロすなわちNO、ニトリトすなわちNO 、硝酸塩すなわちNO、ニトラトNO 、ニトロシルすなわちNO、エチレン、アセチレンすなわち
【化13】
Figure 2004512672
チオシアナートすなわちSCN、イソチオシアナートすなわちNCSー、アクオすなわちHO、アジド、カルボナートすなわちCO −2、アミン、及びチオカルボニル。ただし、各RとR’は独立して有機基から選ばれ、好ましくは独立してアルキル、アルケニル、アラルキル、およびアラルケニル基から選ばれる。さらに好ましくは、各配位子は独立してacac、カルボキシラート、アルコキシ、オキサラート、アジド、カルボニル、ニトロ、ニトラト、アミン、ハロゲン、およびそれらのアニオンから選ばれる。
【0059】
好ましくは、金属錯体前駆体は、acac、カルボキシラート、アルコキシ、アジド、カルボニル、ニトラト、アミン、ハライド、ニトロ、及び、これらの組合せからなる群から選ばれる少なくともひとつの配位子、並びに、Li, Al, Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn, Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg、及び、これらの組合せからなる群から選ばれる少なくともひとつの金属を含むものから選ばれる。
【0060】
前駆体は、基板に直接塗布しても良い。あるいは、そしてまた好ましくは、前駆体は、溶媒または溶媒類に溶解させて前駆体溶液を形成させる。これによって、通常当業者に良く知られている種々の手段、たとえば基板への該溶液のスピン塗布またはスプレー塗布、による基板への塗布が容易となる。該溶媒は、個別で又は組み合わせて、以下に挙げるものを含むいくつかの選択基準に基づいて選んでも良い;前駆体を溶解させる溶媒の性能、前駆体に対する溶媒の不活性さ、溶媒の粘度、溶媒中への酸素または他の周囲または他の気体の溶解度、溶媒のUV,可視、及び/又は赤外吸収スペクトル、電子及び/又はイオン・ビームに関する溶媒の吸収断面積、溶媒の揮発性、後に形成されるフィルムを通して拡散する溶媒の性能、異なった溶媒異性体の存在に関する溶媒の純度、金属イオンの存在に関する溶媒の純度、溶媒の熱安定性、後に形成されるフィルムの欠陥部位または核生成部位に影響を与える溶媒の性能、ならびに溶媒に関する環境上の考慮。例示的な溶媒にはヘキサンなどのアルカン、メチルイソブチルケトン(MIBK)およびメチルエチルケトン(MEK)などのケトン、並びにプロピレングリコール・モノメチル・エーテル・アセテート(PGMEA)が含まれる。
【0061】
溶媒中の前駆体の濃度については、広範囲に変えてもよく、また通常の当業者は、前駆体フィルムの厚さ及び/又は光あるいは粒子ビームの照射に対する感受性を含む前駆体フィルムの性質が、所望の塗布にとって適したものとなるように、せいぜい最少のルーチン実験で選ぶことができる
【0062】
しかし、前駆体の選択は、望ましいフィルムの性質に、当業者が容易には予測できない重大な影響を与えることがある。たとえば、ふたつの前駆体MLおよびML’(各々が金属Mと、異なる二つの配位子セットLまたはL’のひとつとからなる)が、たとえば、前駆体がハード・マスクに変換される際に相互に異なる配位子の部分が取り除かれるため、同一である望ましい材料のフィルムを生成することが期待され得る。実際、これら二つの類似の反応物による同一と思われるフィルム生成物は、性質において、はなはだしく異なることがある。この工程で影響を受けることがある性質の例としては、誘電率と、フィルムの任意の二次的または三次的構造の存在・不存在とがあげられる。この相違点が生じ得る理由は、非晶質材料の生成速度、及び、望ましい材料の光生成フィルムからエネルギーを取り除くための光イジェクト配位子(photo−ejected ligand)の性能に関係している。露光工程の際の配位子断片の存在もまた、フィルム形成工程に影響を与え、該フィルムの拡散性質、核生成、および結晶成長のような現象に影響を与え得る。
【0063】
さらに、フィルム形成と光化学的曝露における前駆体の選択が、たとえば、望ましいフィルムが形成される雰囲気の気体成分に対する望ましい材料のフィルムの反応性に実質的に影響し得る。これは、例えば、望ましい生成物によっては、高いあるいは低い速度のどちらかが有利である場合に、堆積したフィルムの酸化の速度に影響し得る。加えて、フィルムの回復能力、すなわち、ひび割れおよびフィルムの収縮または焼きしまりを最小にする能力に対する前駆体の影響は、当業者によってさもなければ同一の結果が得られることが理解される前駆体の選択によって実質的に影響を受けることが認識される。
【0064】
化学添加剤が前駆体とともに、または前駆体溶液の中に任意で存在する。これらは、次の理由のいずれかまたはいくつかのために存在することがある:後に堆積する前駆体またはフィルムの感光性を制御すること、一様で欠陥の無いフィルムを基板上に堆積させる能力を補助すること、該溶液の粘度を改変すること、フィルム形成速度を増進させること、堆積したフィルムに対する後の露光の際にフィルムのひび割れの防止を補助すること、該溶液の他のバルク部分の性質を変更すること、及び、望ましい材料のフィルムの該性質を重要な方法で変更すること。該添加剤は、適当な溶媒を選ぶ時に用いた基準に加えてこれらの基準で選ばれる。好ましくは、前駆体または前駆体溶液は、実質的に粒子汚染からまぬかれていて、そのフィルム形成性を増進する。
【0065】
前駆体が塗布される基板の性質は、前駆体フィルムの堆積方法および用いる場合に堆積用の溶媒に影響することがあるが、本工程にとっては重要ではない。基板は、CaFのような単純な塩、シリコンを含む半導体表面、シリコン・ゲルマニウム及びIII−VやII−VI半導体を含む化合物半導体、プリントされた及び/又は積層された回路基板、金属、セラミックス、およびガラスを含んでいても良いがそれに限定されない。シリコン・ウエハ、セラミック基板およびプリント回路板は広範に用いられている。本工程にそれを用いるに先立って、誘電体層、フォトレジスト、ポリイミド、金属酸化物、熱酸化物、導電性材料、絶縁材料、強誘電性材料、あるいは電子装置の構築で用いる他の材料の一層または多層によってい、基板が被覆されていてもよい。酸素プラズマによってパターン化を行う、および前駆体材料をTSI剤として用いる場合には、下層の性質はたいていは有機的であり、例えば、ノボラック樹脂、ポリ(メチル・メタクリレート)(「PMMA」)、ポリ(メチルグルタルイミド)(「PMGI」)、ポリイミド、およびポリ(p−ヒドロキシスチレン)(「PHOST」)が含まれるが、これらに限定されない。
【0066】
後続の段階の際に、ハード・マスク中の金属原子は、一旦形成されると、下層の基板中に「バンプされる」ことがある点において、これは、前駆体形成条件及び/又は厚さを注意深く選ぶことで克服できる。あるいは、ハード・マスク形成工程が完了した後も、基板を保護し続けるために、基板と前駆体層との間に任意の保護層を用いることができる。任意には、前駆体または前駆体溶液を塗布する前に、基板に少なくとも1層の保護層を被覆しても良い。当業者に良く知られた種々の手段で、保護層を基板に塗布しても良い。工程がイオン打ち込み段階を含む場合には、保護層が特に望ましい。
【0067】
前駆体フィルムの堆積に先立つ基板の作成は、望ましいハード・マスクの最終的性質に重大な影響を生じ得る。そのため、用いる特定のハード・マスクによっては、所定の表面作成は望ましいかまたは反対に避ける必要がある。基板の作成には、基板表面から望ましくない種を除くための簡単な洗浄工程、事前のパターン生成段階、バリア材料の堆積、接着促進材料の堆積、あるいはカップリング剤のような堆積材料のフィルムにおける化学的変化を誘起するために設計された反応性材料の堆積が含まれ得る。
【0068】
前駆体または前駆体溶液を塗布する方法は、基板および意図する用途に従って選んでも良い。当業者に良く知られていて役に立つ塗布方法のいくつかの例には、スピン、スプレー、ディップ、およびローラー塗布法、スタンピング、メニスカス、ならびに種々のインク付け方法、たとえばインク・ジェット型の手法がある。堆積したフィルムの厚さと一様性とを制御するため、エッジ効果およびフィルム中の空隙やピンホールの形成を最少にするため、ならびに前駆体または前駆体溶液の必要堆積だけが塗布工程中に消費されることを確実にするため、塗布工程の変量を選んでも良い。前駆体フィルムの最適化した塗布によって、望ましい非常に滑らかなフィルムを生じることがある。
【0069】
堆積したフィルムは、堆積したフィルム中に存在するいかなる残存溶媒も飛散することがあるようなベーキングまたは真空段階を任意で受けさせても良い。ベーキング段階を用いるならば、当然この段階の温度を前駆体分子が熱分解的に分解する温度未満に保つことが重要である。本発明の方法は、熱分解的に望ましい材料の包括的な一様塗布へ、あるいは、熱処理無しでは可能であっただろうパターン化のためよりも少ない部分変換手段及び/又はの変換手段の適用量が必要なフィルムへ、フィルムを変換するような、前駆体キャスト・フィルムの包括的な熱または高熱的処理またはアニーリングを考慮したものである。堆積したフィルムには、本工程の本段階で、包括的な光化学的あるいは電子ビーム曝露およびマイクロウエーブ処理を含むがそれに制限されない他の処理を任意で受けさせても良い。
【0070】
本工程の本段階でのベーク段階が、前駆体フィルムから溶媒を飛ばすことに寄与し、さらに熱分解過程を開始することがあることが知られる。これらの機構の両方は、該工程の全体的な効率で補助的に働き、その結果、たとえば、後続の部分的変換及び/又は変換段階における低い適用量の要求ですむ。さらに、このようなベーク段階で、堆積したフィルム又は望ましい材料のフィルムのいずれとも異なっている新しい材料が生成することがあることが知られる。これの影響は、誘電率、核生成、種分化、および結晶化の挙動を含む、望ましい材料の後の性質を、当業者が簡単には予測できない方法で相当に変更することがある。たとえば、ひとつの材料が前ベーク段階で活性化される一方、他の成分[類]が光化学か高エネルギー温度工程段階かのいずれかの段階で活性化するように選ばれるような二成分系が特定の適用では好まれることがある。前駆体の混合物からのこの堆積によって、ベークと後続の部分的変換及び/又は変換段階から生成した材料の異なった化学的性質を利用するようなシステムの効率的な設計ができる。
【0071】
堆積したフィルムは次に部分的変換手段及び/又は変換手段、すなわちエネルギー源に送られるので、前駆体は少なくとも部分的に変換される。フィルム全体あるいは堆積した前駆体フィルムの選択領域を、エネルギー源に曝しても良い。エネルギー源は、たとえば特定波長の光源、特定波長または波長類の干渉性の光源、広帯域の光源、電子ビーム(e−ビーム)源、あるいはイオン・ビーム源であってもよい。約150ないし約600nmの範囲の波長の光を用いることが適当である。好ましくは、光の波長は約157ないし約436nmである。
【0072】
発明のある実施形態では、エネルギー源は、表面上のイメージを定義するために用いる光学マスクを経て当てられる光源である。該マスクは、実質的に透明ならびに実質的に不透明あるいは光吸収性の領域からなる。該マスクは工学的増進特徴たとえば位相シフト技術を含んでも良い。しかしながら、該エネルギー源は、マスクを経て当てられなくてもよい。たとえば、材料をパターン化することが必要でないならば、熱エネルギーまたは広い光ビームによって与えられるような、フラッド(flood)または包括的なエネルギー曝露を用いても良い。
【0073】
堆積したフィルムが少なくとも部分的に変換される場合、全および部分的な雰囲気及び圧力は、重要な工程変量となることがある。通常、空気であることが雰囲気にとっては簡便であるとともに経済的であるが、少なくとも部分的な変換の際には、存在する大気の組成を変化させることが好ましいこともある。この理由の一つは、短波長の光を用いる場合には、このような光は空気によって減衰することがあるので、曝露用の光の透過を増すことにある。そのため、光の強度を変化させること、たとえば増加させること、によって、フィルム内で熱反応を開始させ、製品フィルムを生成することが可能となる。
【0074】
製品フィルムの組成または性質を変更するために雰囲気の組成を変化させることが望ましいこともある。たとえば空気または酸素の雰囲気中での銅錯体の露光によって銅の酸化物が生じる。該雰囲気から酸素を事実上除去することによって、主として還元された銅種を含むフィルムが生成することがある。たとえば、変換される前駆体が誘電体フィルムであるべき場合には、部分的変換あるいは変換段階が酸素の存在下で、又は、変換される前駆体が金属性フィルムであるべき場合には、水素のような還元性の気体の存在下で、好ましく行なわれる。さらに、雰囲気の湿度を変化させることによってフィルム中の水の量を変えても良い。
【0075】
部分的変換段階、あるいは「基板の前処理」としても知られる一連の異なる変換段階の使用は、工程の流れから見ると、たとえば基板上の前駆体を、たとえばステッパーといった高価な設備で露光するために必要な時間を最少にすることからは有利であることがある。
【0076】
堆積した前駆体の少なくとも部分的な変換のあとで、未変換前駆体層の少なくとも一部を除去する前に該技術でよく知られた種々の技術のいずれかによって前駆体フィルムを任意で処理しても良い。これらの方法には、熱、レーザー又はプラズマ・アニーリング段階のようなアニーリング処理、酸化または還元のような特定の雰囲気への曝露、イオン打ち込み、マイクロウエーブ処理、および電子ビーム処理が含まれるが、これに限られることはない。少なくとも部分的な変換領域が、未変換領域に対して無電界めっき核生成部位として働く場合には、この段階で任意のめっき段階を用いても良い。
【0077】
堆積したフィルムの未露光領域またはその部分を、続いて、除去(現像)手段を適用して取り除いても良い。たとえば、現像手段は、パドル現像または浸漬湿式現像工程中で液体または溶液として適用できる現像剤組成を含んでも良い。あるいは、半導体産業が従来用いている乾式パターン化段階に類似する乾式現像工程を現像手段として用いても良い。好ましい除去手段としてはスプレー現像、パドル現像、および浸漬湿式現像が挙げられる。
現像剤は、フィルムの露光および未露光領域間に溶解度差が存在するような条件下で処方し、及び/又は用いるべきである。この溶解度差は、フィルムの特定の選択域が現像剤によって実質的に除かれ、一方、基板上に残すことが望ましい領域は実質的に無処理で残されるようにして、フィルムの優先的に選ばれた領域を除くために用いられる。
【0078】
現像剤の処方の最適化にはかなりの実験が必要である。たとえば、入射エネルギーにさらされた領域を基板上に残すことが望まれる工程では、入射輻射への曝露後に該フィルムを現像するためにキャスティング溶媒(casting solvent)を使うことは攻撃的過ぎる。(a)キャスティング溶媒が混和性であり、(b)該フィルムの未露光領域がやや(完全である必要はない)溶け難く、また(c)該フィルムの露光済み領域が実質的に不溶であるような、別の液体でのキャスティング溶媒の希釈溶液は、改良された現像工程を提供する。
【0079】
たとえば、発明の好ましい実施形態のひとつでは、非晶質フィルムをケトン溶液から成型する。現像剤としてのみのケトンの使用、あるいはアルコールとケトンのケトン含量が多い混合液、すなわち50体積パーセントを超えるケトンを含んだ混合液の使用によって、アルコールが主成分である場合よりも効果が低い現像工程を生じる。たとえば、10:1(体積/体積)IPA:MIBK溶液は、MIBKのみまたは1:1(体積/体積)IPA:MIBK(ただし「IPA」はイソプロピルアルコールを示す)よりも、BaSrTi(「BST」)に対してさらに効果的な現像剤である。翻って、該10:1混合液は20:1IPA:MIBKよりも効果が低い。ところが、該10:1および20:1溶液の両方ともが40:1(体積/体積)IPA:MIBKの溶液よりも効果的である。さらに、これら溶液の相対効果は、被覆とパターニングとの際の、たとえば入射輻射の型とエネルギーおよび基板の温度を含む、パターン化したフィルムの形成で用られる他の工程に強く依存する。そのため、本発明の適当な現像剤処方の決定には実験が必要であり、また当業者には明らかではない。液体及び/又は溶液系の現像剤は、上述のようなフォトレジスト系工程において用いた現像方法に類似するやり方で物理的に適用してもよい。
【0080】
少なくとも部分的に変換された前駆体は、現像後に、任意に変換手段を受けるに先立って、当技術で良く知られた種々の方法のいずれかによっても処理して良い。これらの方法には、熱、レーザー、およびプラズマ・アニーリングのようなアニーリング処理が含まれるが、これに限られることはない。このようなアニーリングの温度と時間は重要な変量である。アニーリング段階は、それ以前の表面処理、たとえば酸素プラズマ、レーザー、または急速熱アニーリング(「RTA」)工程によって影響されることもある。アニーリングした少なくとも部分的に変換された前駆体はその非晶質性を保つが、一方、その物理的あるいは電気的性質の少なくともひとつが望ましく変更されるといったような適当な条件を選ぶことが可能である。あるいは、フィルムが用いられる用途に依存して、たとえば高温といった、フィルムを結晶化状態に変換するためのアニーリング条件が望ましいかもしれない。たとえば、非晶質または少なくとも実質的に非晶質で少なくとも部分的に変換された前駆体から高配向性結晶化フィルムの生成を誘起するために、この段階での適当な温度処理を用いることがある。このやり方では、非晶質フィルムの性質が精密に調整されるか、あるいは、その物理的性質が、一極端としては完全に非晶質な相から、半結晶化中間相へ、また他の極端である単一配向結晶相へと広範囲に変化されさえもする。このような温度処理は、前駆体をさらに変換するために通常作用する。
【0081】
前駆体をなお十分に変換せねばならぬ場合には、前駆体が実質的に十分変換されるように、前駆体フィルムを、続いて、任意にしかし典型的に、変換手段に受けさせる。フィルム全体あるいは前駆体フィルムの選択領域をエネルギー源にさらしても良い。変換手段は、以前に用いたどのような部分的変換手段とも同じかまたは異なってもよいエネルギー源であり得る。たとえば、変換手段は、特定波長の光源、特定波長の干渉性の光源、広帯域の光源、電子ビーム源、及び/又はイオン・ビーム源であってもよい。本発明のある実施形態では、エネルギー源あるいは少なくともエネルギー源の一部は、上述したように、表面上のイメージを定義するために用いる光学マスクを通して向けられた光源である。しかし、該エネルギー源は、マスクを通して当てなくてもよい。たとえば、前駆体が既にパターン化されていても良いことなどにより、変換段階での材料のパターン化が必要でないことがあり、そのため、フラッドまたは包括的なエネルギー曝露を変換手段として用いても良い。好ましい変換手段には、光、電子ビーム、イオン・ビームおよび熱処理がある。部分的変換において、上で説明したように、また、ここでも適用可能であるように、堆積フィルムが変換される雰囲気条件、たとえば雰囲気組成、圧力、全及び部分的の両方、および湿度は、重要な工程変量である。変換中に、これらの変量は、先行する部分的変換段階のいずれかで用いたそれらの設定値と同じであってもよいし、異なっていてもよい。
【0082】
部分的に変換された前駆体層の少なくとも一部を実質的に十分変換させることによって好ましい薄フィルム(たとえばハード・マスク)を形成しても良いことは当然に理解される。本明細書で使った「実質的に十分変換された前駆体」、「十分変換された前駆体」、「変換された前駆体」、「実質的に十分変換され、部分的に変換された前駆体」、「十分変換され、部分的に変換された前駆体」、及び「変換された部分的に変換された前駆体」という表現は、すべてそのような薄フィルムを表す。
【0083】
前駆体フィルムを望ましい材料のフィルムへと部分的に変換し、及び/又は実質的に十分変換する工程の際に、該フィルムの少々の収縮が起こることがある、すなわち、望ましい材料のフィルムの厚さが未変換前駆体フィルムの厚さよりもしばしば少ないことが認識される。この厚さの変化は、望ましい材料のフィルムに有用な性質を付与する本発明の重要な特徴である。たとえば、極めて薄いフィルムの生成は、キャパシタンスを最大にすることに関して有利であるが、一方、同時に、このような薄いフィルムの生成は製造の立場からは困難なものである。本発明の方法によって、相対的に厚いキャスト・フィルムの適用が可能になるので製造上の容易さが実現できるだけでなく、望ましい少なくとも部分的に変換された前駆体材料の相対的に薄いフィルムも得られ、それによって、望ましい材料のフィルムに対して改良された性質が与えられる。堆積したフィルムの収縮性は、下記の工程変量を適切に操作することによって制御され、目標変量へと調整できる:前駆体の選択、溶媒の選択と量、前駆体添加剤の同一性、堆積工程で決定された前駆体フィルムの厚さ、フィルムのパターン化の前、最中及び後での熱処理の利用、ならびに露光させたフィルムの現像。本発明の工程によって、マイクロメートルを経てオングストローム・レベルに至るすべての厚さにわたって望ましいフィルムの厚さを精密に制御することができるようになる。
【0084】
変換後、引き続く任意の工程段階は、後変換処理、上記で考察した新規な現像方法を含むがそれに限定されない現像、及び後現像処理段階を含んでも良い。選んだ特定の段階は該製品の最終的な用途に依存する。たとえば、使用方法は、その全部を参照して本明細書に引用される、米国特許第5534312、5821017及び6071676号の各々に記載されている。
【0085】
本工程のある実施形態では、変換の後に打ち込み段階が来る。その段階では、ハード・マスクによって実質的に被覆されていない基板の少なくとも一部の上に打ち込み手段を用いることによって基板中に少なくともひとつの打ち込まれた領域が生成される。打ち込み手段としてイオン・ビームを使うことは、該技術では良く知られている。しかし、本工程はイオン・ビームの使用に限定されず、効果的な打ち込み方法のいずれを用いてよい。打ち込みに適したイオンには砒素、ホウ素、およびリンがあるが、これらに限定されることはない。イオン打ち込みは、高エネルギー(すなわち約300KeV超過)と低線量(すなわち1020atm/cm未満)とを組み合わせた条件下、あるいは低エネルギー(すなわち約300KeV未満)と高線量(すなわち1020atm/cm超過)とを組み合わせた条件下で行なっても良い。任意で、打ち込み後にハード・マスク層を取り除いても良い。任意で、打ち込まれた基板を、打ち込まれた基板領域をドープした領域へと変換するために、たとえば、アニーリングでさらに処理しても良い。これらの任意の段階の両方を実施する場合には、実施する順番を本発明の目的である特定の適用に合致させるための調整を行なっても良い。
【0086】
本発明の他の実施形態としては、エッチング・レジスト層として働く本発明の方法で形成される少なくとも部分的に変換した前駆体を想定する。エッチング・段階または段階類では、プラズマ、反応性イオン、あるいは湿式エッチング溶液といったエッチング手段が、該ハード・マスクによって提供されたパターンを経て基板の選択領域に接触して、それら望ましい領域でのみ基板を除去する。最近は、二酸化シリコンならびに窒化シリコンといった材料による従来適用されてきたハード・マスクは、エッチングを採用する電子機器製造工程における保護マスクとして用いられる。
【0087】
本発明の好ましい実施形態としては、エッチング・レジスト層として働く本発明の方法で形成される少なくとも部分的に変換した前駆体あるいはハード・マスクを想定する。エッチング段階または段階類では、プラズマ、反応性イオン、あるいは湿式エッチング溶液といったエッチング手段が、たとえば該ハード・マスクによって提供されたパターンを経て基板の選択領域に接触して、それら望ましい領域のみで基板を除去する。最近は、二酸化シリコンならびに窒化シリコンといった材料による従来適用されてきたハード・マスクは、エッチングを採用する電子機器製造工程における保護マスクとして用いられる。
【0088】
図2は、本発明の工程の好ましい実施形態のステップの基本的な順序すなわちステップ2A,2B,2Cおよび2Dを説明している。その順序は処理に先立ったステップ2Aで示すような基板10上で実施される。基板10は、たとえば有機層で被覆してあるシリコン・ウエハであっても良い。ステップ2Bでは,未変換前駆体11が基板10に塗布される。ステップ2Cでは、光化学的金属有機堆積工程においては光、または熱または高熱処理といった変換手段を、未変換前駆体11の少なくともひとつの選んだ部分に適用して、変換された前駆体層12を形成させる。ステップ2Dでは、現像組成物といった除去手段を用いて、少なくとも一部及び好ましくは実質的にすべての未変換前駆体11を取り除き、変換された前駆体12をそのままで残し、それによって基板10用のハード・マスクを生成する。このようなマスクによって、基板の望ましい領域を通過するべき特定のパターン化手段を可能にし、一方、パターン化手段から特定の他の基板領域をマスクしたりブロックしたりする。
【0089】
別に、図2のステップ2Cでは、光あるいは熱または高熱処理といった部分的変換手段を、未変換前駆体11の少なくともひとつの選んだ部分に適用して、部分的に変換された前駆体層12を形成させる。ステップ2Dでは、現像組成物といった除去手段を用いて、少なくとも一部及び好ましくは実質的にすべての未変換前駆体11を取り除き、部分的に変換された前駆体12をそのままで残す。次に、光又は熱または高熱処理のような図示されない変換手段を部分的に変換された前駆体の少なくとも一部の上で用いてその部分を実質的に変換することができ、それによってハード・マスクを生成する。部分的変換手段は、変換手段と同じかまたはそれとは異なっていることが可能である。図2は、本発明の工程によってパターン化したハード・マスクを形成するステップの経済性を示す。
【0090】
対照的に、図3は、パターン化ハード・マスクを形成するための非常に長たらしい従来の方法を説明している。ステップ3Aでは、基板200が図2で示したように供給される。ステップ3Bでは、ハード・マスク層210が基板上に形成される。たとえば、ハード・マスク層210はシリコン酸化物であってもよい。ステップ3Cでは、フォトレジスト層220がハード・マスク層210の上に塗布される。ステップ3Dでは、フォトレジスト層220がマスク235を経て光線230に曝露される。マスク235は、光線に対して実質的に不透明な領域250を有し、そのゆえに光線の一部をブロックし、そしてフォトレジスト層の露光された部分222にパターンを形成する、透明なガラス基板240を含む。ステップ3Eでは、露光されたフォトレジスト領域222が現像し去られ、それによってハード・マスク層210が露光される。ステップ3Fでは、ハード・マスク層210の非防護部分を適当なエッチング組成物でエッチングし去ることによって、ハード・マスク層210中に開口部255が形成される。ステップ3Gでは、フォトレジスト層220の残存部分が除かれる。ステップ3Hでは、基板200をエッチングするが、ハード・マスク層210はエッチングしないように選ばれるプラズマ・エッチング化学処理260が基板200をパターン化する。これは、ステップ3Iで説明したように定義されるパターン化した特徴280を生じる。このようにして、従来の工程では、パターン化したハード・マスクを形成し、また、たとえばそのパターン化したハード・マスクを経てイオンを打ち込むためには、本発明の場合よりも多くのステップが必要であることが図3から明らかである。
【0091】
図4は、パターン化したハード・マスクを形成するのに金属錯体前駆体を用いるハード・マスクの製造に適用される、ハード・マスクのエッチングに関連するすべてのステップ、すなわち上記のステップ3Cからステップ3Gまで、を無くした本発明の好ましい実施形態を説明する。ステップ4Aでは、図2で説明したように、基板300が供給される。ステップ4Bでは、たとえば金属錯体を含む層のような前駆体310の層が基板300の上に形成される。ステップ4Cでは、マスク320を経て向けられた部分的変換手段、ここでは光線315、に前駆体310をさらす。部分的変換手段に対して実質的に不透明である領域340を有する透明なガラス基板330がマスク320に含まれる。部分的変換手段315に曝された前駆体310の部分は少なくとも部分的に変換されるかあるいは反応させられて部分的に変換された前駆体350の領域を形成する。ステップ4Dでは、該組み立て体は、除去手段(図示せず)たとえば液体現像剤にさらされた。実質的に未変換の前駆体310は現像剤または除去手段によって取り除かれ、基板310を曝露しているが、一方、部分的に変換されていて除去手段に耐性を有する部分的変換前駆体350は残存している。ステップ4Eでは、変換手段(図示せず)は、部分的変換前駆体350に適用されて実質的に十分変換された前駆体360、すなわちパターン化したハード・マスクを形成する。この変換は、たとえば包括的露光ステップまたは熱または高熱アニーリング・ステップによって達成されることがある。ステップ4Cおよびステップ4Eの各々では、変換前駆体360が誘電性フィルムであるならば酸素の存在下で、また、変換前駆体360が金属性フィルムであるならば還元性気体たとえば水素の存在下で、変換が好ましく行なわれる。ステップ4Fでは、エッチング手段、ここでは基板300をエッチングするがハード・マスク層360をエッチングしないように選ばれるプラズマ・エッチング化学処理370で、基板300をパターン化する。これは、ステップ4Gで説明したように定義されるパターン化した特徴390を生じる。
【0092】
図5は、たとえば上部シリル化イメージングとして一般に知られる工程によってフォトレジスト中にTSIを形成するための従来技術の方法を説明する。ステップ5Aでは、基板400が提供される。ステップ5Bでは、基板400は、上部シリル化に適したフォトレジスト層410で塗布される。ステップ5Cでは、マスク435を経てフォトレジスト層410を光線430にさらす。マスク435は、曝露手段に対して実質的に不透明である領域450を有する透明なガラス基板440を含み、それゆえに光線を部分的にブロックして、フォトレジスト層の露光された部分432上にパターンを形成する。露光されたフォトレジスト層領域432は、この露光の結果として、異なる化学及び/又は物理的な性質が与えられる。ステップ5Dでは、基板400、フォトレジスト層410、および露光されたフォトレジスト層領域432を気体TSI試薬460にさらし、露光されたフォトレジスト層領域432にこの試薬が選択的に吸着し、修正されたフォトレジスト表面470を形成する。該技術にとって良く知られているTSI試薬460の例にはシリコン含有気体がある。
【0093】
ステップ5Eでは、表面にプラズマ処理480を受けさせて、化学的により不活性に修正したフォトレジスト表面470を提供し、それによってレジスト・ハード・マスク表面490を形成する。ステップ5Fでは、プラズマ処理495によって、直接レジスト・ハード・マスク表面490の下の残存フォトレジスト410を除去する。ステップ5Gでは、フォトレジスト層410、修正されたフォトレジスト表面470、及びレジスト・ハード・マスク表面490から形成した複合スタックを用いて、基板400をパターン化するためにプラズマ処理497が採用され、基板400に転写されたパターンを定義する。ステップ5Hで、生じるパターンをエッチングされた領域499によって図示した。ステップ5Iでは、フォトレジスト層410、修正されたフォトレジスト表面470、およびレジスト・ハード・マスク表面490から形成した複合スタックを除去するために除去手段(図示せず)を採用する。図5で図示した方法は、得ることができる解像度を改良する時および焦点深度基準を緩和させる時に助けとなる、パターン化のためのフィルム表面層を形成する利点を与えるが、TSI試薬460が必要となり、多重プラズマ処理ステップ類が必要となり、さらには、他の従来技術には存在しない、追加費用とパターン転写の複雑さとが生じることにかかわる不利益をこうむる。
【0094】
対照的に、図6は、パターン化した薄い上部表面を形成するための金属錯体前駆体を用いるTSIに適用される本方法の好ましい実施形態を説明する。ステップ6Aでは、パターン転写層505を塗布した基板500を提供する。パターン転写層505は、フォトレジスト、ポリイミド、PMMA,ノボラク、エポキシ、および当業者に知られているその他の有機または関連被覆を含む有機フィルム形成樹脂を任意に含んでも良い。ステップ6Bでは、基板500の上部におよびパターン転写層505の直接上に前駆体510の層が形成されている。この場合には、前駆体510が金属錯体を含む。ステップ6Cでは、前駆体510が、マスク520を経て当てられる部分的変換手段、ここでは図示される光線515を受ける。マスク520には、部分的変換手段に対して実質的に不透明な領域540を有する、透明基板530、ここにガラスとして例示してある、が含まれる。部分的変換手段515を受けた前駆体510の部分は、少なくとも部分的に変換されるかあるいは反応させられて部分的に変換された前駆体550の領域を形成する。ステップ6Dでは、該組み立て体は、除去手段(図示せず)たとえば液体現像剤にさらした。実質的に未変換の前駆体510は除去手段たとえば現像剤によって取り除かれ、パターン転写層505を曝露させるが、一方、部分的に変換され、除去手段に耐性を有する部分的変換前駆体550は残存している。任意のステップ6Eでは、変換手段(図示せず)は、部分的変換前駆体550に適用されることで、実質的に十分変換された前駆体560を形成する。この変換は、たとえば包括的露光ステップまたは熱的あるいは高熱的アニーリング・ステップによって達成してもよい。
【0095】
ステップ6Fでは、該表面は、たとえば例示したプラズマ・エッチング化学処理のようなエッチング手段570を受ける。たとえば、プラズマ・エッチング手段が基本的に酸素からなっていても良い。パターン転写層505の露光された領域がエッチング手段によって取り除かれる一方、部分的に変換されたかあるいは実質的に十分変換された前駆体層560を、それがエッチング手段570に対して実質的に不活性となるように選んで処理し、エッチングした領域580が生成する。ステップ6Gでは、引き続いての基板500のパターン化(図示せず)は、エッチングした領域509を生成するために少なくとも部分的に変換された前駆体560の下のパターン転写層505内で生成したパターンを利用する、エッチング手段によって達成される。ステップ6Hでは、残存しているパターン転写層505と少なくとも部分的に変換された前駆体560のすべてが除去手段(図示せず)によって除去され、エッチングした領域590を有する望ましいパターン化基板500が曝露される。図6の方法は、より少ないステップとより少ないプラズマ・ステップで足り、またTSI試薬が不要なので、図5に示したものよりも優れている。同時に、図6の方法は、図5の方法によって得られるすべての利点を持っている。
【0096】
図7は、基板上のパターン化金属層を堆積させる従来技術の方法を図示している。本方法は、望ましい金属が、たとえば金や白金といったエッチングしにくい場合に従来用いられてきた。ステップ7Aでは、基板600が提供される。ステップ7Bでは、基板600上にリリース層605を塗布し、リリース層605の上にリフトオフ層610が塗布される。ステップ7Cでは、任意のハード・マスク層620をリフトオフ層610の上に堆積させる。ステップ7Dでは、任意のハード・マスク層620、リフトオフ層610、およびリリース層605から成る複合スタックの上にフォトレジスト層630を塗布する。ステップ7Eでは、フォトレジスト層630を、マスク635を通した光線645に露光させる。マスク635には曝露手段に対して実質的に不透明な領域650を有し、それゆえに部分的に光線をブロックし、フォトレジスト層の露光部分632上にパターンを形成する、透明なガラス基板640が含まれる。ステップ7Fでは、フォトレジスト層630内の露光部分632を除去するために、湿式現像のような除去手段が適用される。
【0097】
ステップ7Gでは、プラズマ・エッチング化学処理660は、もしあれば任意のハード・マスク層620を経てエッチングするために用いられる。プラズマ・エッチング化学処理660は、フォトレジスト層630の厚さの実質的な部分を侵食する効果をも有していて良い。ステップ7Hでは、プラズマ・エッチング化学処理670は、リフトオフ層610およびリリース層605を経てエッチングするために用いられる。このステップの際に、ステップ7Hで図示するように、好ましく湾曲する側壁を作り出すことが可能である。それは、プラズマ・エッチング化学処理670を、はじめは、プラズマ中の電荷を持った種が主に垂直方向に移動するような異方性モードで用いて、ついで、エッチングの役目があるプラズマ中の電荷を持った種がすべての方向に等しく移動するような等方性モードに移行させることによって得られる。この操作の結果は、ステップ7Hで図示する。ステップ7Iでは、該表面の構造を望ましい金属680(たとえば金、白金、またはその他の望ましい金属)で被覆するために堆積手段を用いる。ステップ7Jでは、除去手段(図示せず)を用いて、すべての残存しているリリース層605とリフトオフ層610、ハード・マスク層620(存在すれば)、およびフォトレジスト層630を、たとえば溶媒処理によって剥離させる(lift off)。これは、基板600上の望ましい金属680の望ましいパターンのみを残す。このパターン化された金属の堆積方法は、数多くのステップを含み、フォトレジストの厚い層を用いることが必要であるので実施が困難である。このような厚い層には、フォトレジストの望ましい量を超える量が消費されることが必要であり、そのため、費用がかかる。さらに、フォトレジストの除去には、極端なその厚さによって、そうでない場合に比べてより多くの困難さが生じる。
【0098】
対照的に図8は、パターン化した薄い上部表面をリフトオフ層の上に形成するために金属錯体前駆体を用いるリフトオフ工程に適用した本方法の好ましい実施形態を説明する。ステップ8Aでは、基板700が提供される。ステップ8Bでは、基板700がリリース層705で塗布され、リリース層705はリフトオフ層710で塗布さる。ステップ8Cでは、前駆体層720がリフトオフ層710上に塗布される。この場合には、前駆体層720は金属錯体を含む。ステップ8Dでは、前駆体層720が、マスク735を経て向けられた部分的変換手段、ここでは光線745が例示される、を受ける。マスク735には、部分的変換手段に対して実質的に不透明な領域750を有する、透明なガラス基板740が含まれる。部分的変換手段745を受ける前駆体720の部分は、少なくとも部分的に変換されるかあるいは反応させられて部分的に変換された前駆体732の領域を形成する。ステップ8Eでは、該組み立て体は、除去手段(図示せず)たとえば液体現像剤にさらされる。実質的に未変換の前駆体720は現像剤または除去手段によって取り除かれ、リフトオフ層710が曝露され、一方、部分的に変換されていて除去手段に耐性を有する部分的変換前駆体732は残存する。任意のステップ(図示せず)では、変換手段(図示せず)が、部分的変換前駆体732に適用されて、実質的に十分変換された前駆体を形成する。
【0099】
ステップ8Fでは、前駆体732の下ではないリフトオフ層710および下層のリリース層705のこれら領域を取り除くために、異方性のやり方で除去手段760たとえばプラズマ・エッチング化学処理を用いる。ステップ8Gでは、リフトオフ層710とリリース層705とを等方的にエッチングするために除去手段760を受け入れることによって、たとえば図示したような剥離湾曲プロフィールが形成される。ステップ8Hでは、堆積手段によって望ましい金属770のフィルムが該組み立て体の上に堆積する。ステップ8Iでは、前駆体732、リフトオフ層710およびリリース層705とともに、たとえば溶媒または乾式現像工程のような現像手段によって、望ましい金属770の不要部分が取り除かれ、基板700の上面の上には、望ましい金属770の望ましいパターンが残る。必要な工程のステップ数が少なく、またフォトレジストの使用が必要でないため、図7で概要を示した従来技術の方法よりも、この方法が好ましい。
【0100】
図9は、パターン化した薄い上部表面フィルムをリフトオフ層の上に形成するために金属錯体前駆体を用いるリフトオフ工程に適用した本方法のさらに他の好ましい実施形態を説明する。ステップ9Aでは、基板800が提供される。ステップ9Bでは、前駆体層810を基板800上に塗布する。この場合には、前駆体層810は金属錯体を含む。ステップ9Cでは、マスク835を経て向けられる部分変換手段、ここでは光線845が例示される、を前駆体層810に受けさせる。マスク835には部分変換手段に対して実質的に不透明な領域850を有する、透明なガラス基板840が含まれる。部分変換手段845を受けた前駆体層810の部分が少なくとも部分的に変換されるかあるいは反応させられて、露光された領域832の領域を形成する。ステップ9Dでは、該組み立て体は、除去手段(図示せず)たとえば液体現像剤にさらされる。実質的に未変換の前駆体810は除去手段によって取り除かれ、基板800を曝露させているが、一方、部分的に変換されていて除去手段に耐性を有する露光された領域832は残存する。本発明の他の好ましい実施形態で説明したように、任意で、露光された領域832は除去手段ステップ9Dの後にさらに変換を受けてもよい。ステップ9Dで図示されたような、露光された領域832に存在する、内側に向かって細くなる側壁プロフィールは、たとえば上述のステップ8D、8F、および8Gで説明したようなステップ9Cとステップ9Dでそれぞれ代表される露光および除去手段の適当な制御によって得られる。ステップ9Eでは、堆積手段によって該組み立て体の上に望ましい金属870のフィルムが堆積する。ステップ9Fでは、前駆体832とともに、たとえば溶媒または乾式現像工程のような現像手段によって望ましい金属870の不要部分が取り除かれ、基板800の上面上に望ましい金属870の望ましいパターンが残る。
【0101】
本工程の他の好ましい実施形態用では、半導体内部連絡での銅集積用のデュアルダマシン構造を構築するためにTSI層の集積が用いられる。図10のステップAからHは、「Via−first」法として知られるダマシン構造を構築するための従来技術の方法のひとつを図示する。ステップ10Aでは、基板900を提供し、これは、図示したように第一誘電体層905、バリア層915、第二誘電体層910、およびハード・マスク920によって連続的に塗布される。誘電体層905と910とは、通常、必ずしもそうではないが、同じ材料であり、一方、バリア層915とハード・マスク層920とは同じ材料であってもよいし、なくてもよいが、しばしば窒化シリコン及び/又はシリコン酸化物かのいずれかである。ステップ10Bでは、組み立て体が、ハード・マスク920上で、下部耐反射被覆(「BARC」)925およびフォトレジスト層930によって被覆される。図示したように、フォトレジスト層は、パターン化され、従来の方法で現像される。ステップ10Cでは、BARC層925、ハード・マスク920、誘電体層910、バリア層915、および誘電体層905の図示した部分を取り除くために、プラズマ・エッチング化学処理を用いる。ステップ10Dでは、ステップ10Cのプラズマ工程のあとで、残存しているフォトレジスト層930およびBARC925が該組み立て体から除かれる。ステップ10Eでは、図示したように、第二BARC層935と第二フォトレジスト層940をハード・マスク920上の該組み立て体に塗布し、従来の方法でフォトレジスト層940をパターン化して現像する。ステップ10Fでは、BARC層935および誘電体層910の図示した部分を取り除くために、第二プラズマ・エッチング化学処理を用いる。ステップ10Gでは、ステップ10Fのプラズマ工程のあとで、残存しているフォトレジスト層940およびBARC935を取り除く。
【0102】
対照的に、図11は、多くの少数ステップ工程を用いる、デュアルダマシン構造の組み立て体の本発明の工程による達成方法を図示する。ステップ11Aでは、誘電体層1005で塗布した基板1000を提供する。ステップ11Bでは、たとえば金属錯体を含む前駆体層を誘電体層上に塗布し、少なくとも部分的変換でパターン化し、次に本発明の他の実施形態で前に考察したような技術で現像して、図示したようなパターン化した層1010を得る。パターン現像ステップは、上述のように、たとえば溶媒または乾式現像工程によって実施される。次に、パターン化した層1010上にスピン平坦化層1015を塗布する。スピン平坦化層1015は、組み立て体上でスピン塗布させることができるどのような有機系被覆であってもよい。ステップ11Cでは、たとえばパターン化した層1010の生成で用いた技術と同一の技術を用いて、図示するように、第二パターン化層1020が堆積し、パターン化し、現像される。ステップ11Dでは、スピン平坦化層1015と誘電体層1005の厚さの一部の図示した領域を除去するために、プラズマ・エッチング化学処理といったエッチング手段(図示せず)を用いる。図示したように、誘電体層1005の厚さの一部だけを取り除くように、エッチング手段を制御することが重要である。たとえば、誘電体層の全厚さにわたって、エッチングするための必要であろう時間よりも少ない時間に限ったプラズマ・エッチング処理を用いることができる。
【0103】
ステップ11Eでは、スピン平坦化層1015が可溶であり、かつ組み立て体の他の部品に対して有害な効果を実質的に持たない溶媒による該組み立て体の処理といった除去手段によって、パターン化した層1020およびスピン平坦化層1015を取り除く。ステップ11Fでは、誘電体層1005の図示した部分を除去するには制御したエッチング手段(図示せず)たとえば上記のプラズマ・エッチング化学処理が用いられる。この制御したエッチングによって、パターン化した層1020によって形成されたパターン内の誘電体層1005の残存厚さが同時に除かれるが、パターン化した層1020によって形成されたパターン内の誘電体層1005の厚さの一部を取り除くだけである。このやり方でデュアルダマシン型を組み付けることができる。
【0104】
ステップ11Fのあとでパターン化した層1010は任意で取り除くことができるが(図示せず)、代わりに、銅の堆積と平坦化との後でCMP停止として用いられるようにパターン化した層1010を残存させることが、本発明のもうひとつの実施形態である。
【0105】
図11で図示した工程が図10で図示した従来技術の方法よりも優れていることは、前者が多くの少数ステップ工程を含み、多重のフォトレジストおよびBARCステップを必要とせず、さらには従来の工程で採用される障壁およびハード・マスクの必要性がないことから、明らかである。
【0106】
図12は、パターン化したイオン打ち込みマスクを形成するための従来技術の方法によって手助けされるイオン打ち込み複合法を図示する。ステップ12Aでは、図2で図示したような基板1200が提供される。ステップ12Bでは、基板1200上で任意の保護層1205が形成され、続いて、打ち込みマスク層1210が形成される。ひとつの例としては、打ち込みマスク層1210はシリコン酸化物である。ステップ12Cでは、フォトレジスト層1220は、基板1200の打ち込みマスク層1210上に塗布される。ステップ12Dでは、フォトレジスト層1220は、マスク1235を経て光線1230を受ける。マスク1235には、曝露手段1250に対して実質的に不透明な領域を有し、それゆえに部分的に光線をブロックし、フォトレジスト層の露光部分1222上にパターンを形成する、透明なガラス製基板1240が含まれる。ステップ12Eは、露光されたフォトレジスト領域1222を現像し去り、打ち込みマスク層1210が曝露される。ステップ12Fでは、打ち込みマスク層1210の非防護部分を適当なエッチング組成物でエッチングし去ることによって、打ち込みマスク層1210中に開口部1255を形成する。ステップ12Gでは、フォトレジスト層1220の残存部分を除く。ステップ12Hでは、打ち込みマスクの開口部1255の直下に打ち込まれた領域1270を形成するために、基板1200がイオン・ビーム1260を受ける。任意のステップ12Iでは、打ち込みマスク層1210が取り除かれ、該基板がアニーリングされ、それによって、打ち込まれた領域1270をドープされた領域に変換する。このように、図12から、パターン化した打ち込みマスクを形成し、及び例えばそのパターン化したマスクを経てイオンを打ち込むためには、本発明の工程を実施するよりも多数のステップが従来の工程には必要であることがあきらかである。
【0107】
対照的に、図13は、打ち込みマスクエッチングに関連するステップのすべて、すなわち上述のステップ12Cからステップ12Gを無くす、パターン化した打ち込みマスクを形成するために金属錯体前駆体を用いる、イオン打ち込みハード・マスクの製造に適用される、本工程の他の好ましい実施形態を説明する。ステップ13Aでは、基板1300が提供される。ステップ13Bでは、基板1300上で任意の保護層1312が形成され、また保護層1312の上に前駆体1310の層が形成される。この場合には、前駆体1310は金属錯体である。ステップ13Cでは、前駆体1310が、マスク1320を経て向けられた部分変換手段、ここでは光線1315を受ける。
【0108】
マスク1320には部分変換手段に対して実質的に不透明な領域1340を有する、透明なガラス基板1330が含まれる。部分変換手段1315を受けた前駆体層1310の部分が少なくとも部分的に変換されるかあるいは反応させられて部分変換前駆体1350の領域を形成する。ステップ13Dでは、該組み立て体は、除去手段(図示せず)たとえば液体現像剤にさらされる。未変換の前駆体1310は現像剤または除去手段によって取り除かれ、保護層1312が曝露されるが、一方で、部分的に変換されていて除去手段に耐性を有する部分変換前駆体1350は残存する。ステップ13Eでは、変換手段(図示せず)が部分変換前駆体1350に適用されて、実質的に十分変換された前駆体1360を形成する。この変換は、たとえば包括的な露光ステップまたは熱アニーリング・ステップによって達成してもよい。ステップ13Cと13Eの各々において、変換前駆体1360が誘電性フィルムであるならば酸素の存在下で、また、変換前駆体1360が金属性フィルムであるならば還元性気体たとえば水素の存在下で、変換が好ましく行なわれる。ステップ13Fでは、打ち込まれた領域1380を基板内に形成するために、基板1300が打ち込み手段たとえばイオン・ビーム1370を受ける。任意のステップ13Gでは、打ち込みマスクを取り除き、基板内において打ち込まれた領域1370をドープされた領域1390に変換するために、熱アニーリング工程が行なわれる。
【0109】
本発明の工程の広範な範囲は、広範囲に可能な適用を受け入れる。発明の好ましい実施形態は、プリント基板(PWB)内で集積型容量性構造を形成するために用いられる非晶質金属酸化物を含み、PWB基板は、適当な前駆体溶液を用いる本工程によって塗布され、直接にイメージングされる。本発明の利点には、他の工程ステップの削除を伴う直接的イメージングの能力、PWB工程に必要とされる周囲温度と圧力との利用、さらには受け入れることができる高キャパシタンスを持ったフィルムの形成、が含まれる。
【0110】
他の好ましい実施形態では、パターン化した金属酸化物または混在金属酸化物フィルムが、本工程によって透明基板上の不透明パターンとして形成される。このような実施例は、半導体製造工程中のパターンのリソグラフ転写用にパターニング・マスクとして用いても良い。
【0111】
発明の他の好ましい実施形態では、高度相互接続半導体装置の相互接続水準内でデカップリング容量性構造を形成するために非晶質金属酸化物または混在金属酸化物フィルムが用いられ、この場合、改変したシリコン基板を、適当な前駆体溶液を用いる本工程によって塗布して直接にイメージングする。この実施形態における潜在的な利点には、直接イメージング、それによって多くの他の工程ステップを無くす性能、および、このような高度な相互接続の集合において他の方法では利用できない周囲温度と圧力との利用がある。
【0112】
発明のさらに他の好ましい実施形態は、容量性記憶ノードすなわちダイナミック・ランダム・アクセスメモリー(「DRAM」)または強磁性記憶ノード(「FeRAM」)のいずれかにおける記憶素子をパターン化するために用いてもよいような前駆体フィルムの使用を想定している。再び言うと、実施形態における潜在的な利点には直接にイメージングし、それによって多くの他の工程ステップを無くす性能、およびこのような記憶装置の集合において他の方法では利用できぬ周囲温度と圧力との使用がある。
【0113】
高度なシリコン系デバイスによって、好ましいゲート誘電性材料にシリコン酸化物から高誘電率を持つ新しい材料への移転が起きるので、発明のさらに他の好ましい実施形態は、、半導体製造の前工程におけるゲート誘電性材料の形成が想定される。該新高誘電率材料によって、等価電気的性質の二酸化シリコンに比べてゲート誘電体を物理的に厚くすることが可能となる。この物理的厚さが大きいことによって、製造と、ゲートを通る量子トンネル効果の最小化とが大いに簡単になることを見込むことができる。本発明の工程が、低温と低厳格真空処理基準とに関して、他の既知の工程を超える大きい利点を持つことは、半導体工程のライン前工程(「FEOL」)に適用する際には非常に重要である。広範囲の高誘電率を持つ材料は、本発明の工程に適しており、下記を含むがこれらに限定されない;BaSrTi(”BST”)、BaTiO、SrTiO、PbTiO、PbZrTi(”PZT”)、(Pb, La)(Zr, Ti)O(”PLZT”)、(Pb, La)TiO(”PLT”)、LiNbO、Ta、SrBiTa、Al、TiO、ZrO、及びHfO
【0114】
同様にして、本発明をFEOL半導体製造用のゲート電極材料の製造に用いても良い。これらの材料は、ゲート誘電体上にあり、ゲート誘電体に対する電気的接点を形成している。歴史的には、ゲート電極はシリコンから構築された。二酸化シリコンとは別のゲート誘電体材料への移行と類似の方法で、ゲート電極についての、シリコンよりも実質的にもっと良い性能を有する材料への移行が促進されている。ゲート電極使用のための候補材料には、白金、イリジウム、ルテニウム、ルテニウム酸化物、イリジウム酸化物、ならびに他の新しい材料、が含まれる。従来、これらの材料のすべては堆積とパターン化には困難であったが、本工程での使用には適している。さらに、従来の方法における数多くのステップでは、高温と、厳格な真空基準と、感受性が高いシリコン基板に障害が生じる恐れがある過酷なプラズマ処理条件の使用と、が必要となる。このような過酷な条件は、本発明の工程を使用すれば避けることができる。米国特許第6048769号に記載されているような、異なるバイアスのゲート・トランジスタの上に置くための異なった電極材料の必要性は従来の工程では困難である。従来の集積接続経路のこれらの基準は、既に多数となっている状況にあるステップ数をさらに倍加する。それゆえ、発明のこの好ましい実施形態は、製造の立場から見て、この多数のステップ数を少なくするための劇的な利点を提供するものである。
【0115】
貴金属と導電金属酸化物との適用はゲート電極形成に制限されない。このような材料のための、FEOL半導体工程で必要となる導電および絶縁層としての両方の、いくつかのバリア層の適用がある。これらの適用のいくつかは、容量性材料として使うための高誘電率(「高k」)を持っている望ましい材料のフィルムの形成によることが明らかである。同様な方法として、インダクター材料として使うためのフィルムの透過性(u)を最適化するためにフィルムを最適化することがある。抵抗性素子も、磁性的、圧電的、ならびに強磁性的素子である場合と同様に可能である。
【0116】
本発明の工程のために可能な他の適用例は広いし、かつ色々である。いくつか例を挙げると次のようである:半導体製造用の高誘電率材料の直接パターン化堆積法(トランジスタ・ゲート・スタック、容量性の構造、その他);マイクロエレクトロニクス・パッケージング用の高誘電率材料の直接パターン化堆積法(容量性の構造);半導体製造用の高誘電率材料の低温堆積法(トランジスタ・ゲート・スタック、容量性の構造、その他);マイクロエレクトロニクス・パッケージング用の高誘電率材料の低温堆積法(容量性の構造、その他);半導体製造用の高誘電率材料の非真空系堆積法(トランジスタ・ゲート・スタック、容量性の構造、その他);マイクロエレクトロニクス・パッケージング用の高誘電率材料の非真空系堆積法(容量性の構造、その他);半導体製造用の金属酸化物の直接パターン化堆積法(絶縁体構造、その他);マイクロエレクトロニクス・パッケージング用の金属酸化物の直接パターン化堆積法;半導体製造用の金属酸化物の低温堆積法;マイクロエレクトロニクス・パッケージング用の金属酸化物の低温堆積法(容量性の構造、その他);半導体製造用の金属酸化物の非真空系堆積法;マイクロエレクトロニクス・パッケージング用の金属酸化物の非真空系堆積法;半導体製造用の金属の直接パターン化堆積法(トランジスタ・ゲート・スタック);マイクロエレクトロニクス・パッケージング用の金属の直接パターン化堆積法(相互接続など);半導体製造用の金属の低温堆積法;マイクロエレクトロニクス・パッケージング用の金属の低温堆積法;半導体製造用の金属の非真空系堆積法;マイクロエレクトロニクス・パッケージング用の金属の非真空系堆積法;半導体製造用の抵抗性材料の直接パターン化堆積法(オン・チップ抵抗性素子);マイクロエレクトロニクス・パッケージング用の高抵抗性材料の直接パターン化堆積法(埋め込み抵抗);半導体製造用の抵抗性材料の低温堆積法;マイクロエレクトロニクス・パッケージング用の抵抗性材料の低温堆積法(埋め込み抵抗);半導体製造用の抵抗性材料の非真空系堆積法;マイクロエレクトロニクス・パッケージング用の抵抗性材料の非真空系堆積法;金属/酸化物混在堆積法による抵抗率が制御された材料;半導体製造用のインダクター製造用材料の直接パターン化堆積法(オン・チップインダクター);マイクロエレクトロニクス・パッケージング用のインダクター製造用材料の直接パターン化堆積法(埋め込み抵抗);半導体製造用のインダクター製造用材料の低温堆積法;マイクロエレクトロニクス・パッケージング用のインダクター製造用材料の低温堆積法(埋め込み抵抗);半導体製造用のインダクター製造用材料の非真空系堆積法;マイクロエレクトロニクス・パッケージング用のインダクター製造用材料の非真空系堆積法;有機半導体及び/又は有機基板を用いるデバイス製造用の金属ならびに酸化物材料の直接パターン化堆積法;有機半導体及び/又は有機基板を用いるデバイス製造用の金属ならびに酸化物材料の低温堆積法;有機半導体及び/又は有機基板を用いるデバイス製造用の金属ならびに酸化物材料の非真空系堆積法;二層またはTSIフォトレジスト工程における感光性、eビーム感受性層としての使用;磁性材料の直接パターン化、低温、非真空系堆積法;混在金属ならびに混在酸化物材料の堆積法;金属ならびに金属酸化物構造のスクリーン印刷法;金属ならびに金属酸化物構造のインクジェット[液滴]印刷法;表面への金属/酸化物フィルムのスプレー式塗布、塗布工程と性能とを簡素化および改良する、厚いフィルムの液相塗布(スピン塗布およびメニスカス塗布)、及び変換時に体積の収縮を利用して薄い被覆を得ること(厚い層の被覆が行なわれる埋め込みコンデンサの形成において、薄い最終的フィルムを生じて該構造のキャパシタンスを改良する適用など)が可能なこれら材料の使用;液体塗布および後続の有機金属前駆体の光化学または低温熱変換を行なって金属または金属酸化物被覆を重合体/天然繊維上に堆積させること(被覆銀/銀酸化物、たとえば、病院用途、靴下とかパッドといった抗臭気性衣料品のための抗微生物性繊維および織物);液体浸潤およびその後の前駆体の温度的変換によって触媒活性多孔質材料を形成すること;ナノ複合体を形成することで生じる材料の物理的、化学的、光学的、そして電気的性質を変質させるための重合体溶液への添加剤としての使用;上述の工程からのVOC放出を減らすための水溶性前駆体の合成と処方;ゲート電極材料;平坦パネル表示;FeRAM;直接書き込み材料;マイクロフルイディクス;マスク類;および導波管。
【0117】
電子材料の製造用工程の追加記載には、最近受理された、「基板の前処理用の方法と装置」という表題の米国特許出願第09/______号に記述されたものが含まれており、その開示内容は本明細書で参照して組み込まれる。
【0118】
実施例
下記の例によって本発明の特定の実施形態をさらに説明する。これらの例は、説明目的でのみ提供するものであって、本発明の範囲を制限するものでは決してない。
【0119】
実施例1
異なったジルコニウム含有前駆体すなわちZr(アセチルアセトナト)(Zr(acac)ともテトラキス(2,4−ペンタンジオナート)ジルコニウム(IV)とも言う)およびZr(カルボキシラート)の二つのフィルムを、それらを適当な溶媒に溶解して、その溶液をシリコンウエハの表面上にスピンコートすることによって成型した。各々に対して、より長い期間、すなわち前駆体フィルムの厚さが変化しなくなるまで、ブランケットの熱処理を加えた。この処理に続いて、角度可変の分光偏光法(「VASE」)を適用して各試料の屈折率を波長の関数として測定した。その結果を図14に示す。図14では、ライン101はZr(acac)前駆体から生成した試料のものであり、またライン102はZr(カルボキシラート)4前駆体から生成した試料のものである。これらの結果から、各試料の屈折率の性質に重大な差異があること、それは前駆体の化学組成に直接関係することがわかる。Zr(acac)前駆体は、Zr(カルボキシラート)から生成したフィルムよりも約3%大きい屈折率を有するフィルムを生成する。
【0120】
実施例2
異なった二つの銅前駆体をはじめに調整した。それらは、Cu(OH (OC(CH CH および(μ−(C NCHCHO) Cu (N であった。各前駆体は、光化学反応を起こして配位子の損失と銅原子の生成とを生じると考えられる。銅原子は次に互いに結合して銅金属を生成するか、または酸素と結合して銅酸化物を生成すると考えられる。しかし、酸化物の生成ははじめに生成した銅金属の酸化の結果としても起こり得る。フィルムの形成特性と反応効率とは、前駆体を選択することにより幅広く変動することが知られるが、従来の化学技術によれば、同様の反応条件下でこれらの異なった前駆体が異なった産物を生成するということを当然とみなす理由はない。
【0121】
したがって、これらの2つの前駆体の各々を溶解し、各溶液をスピン・コーティングによってシリコン・チップ上に付着させ、コーティングされたチップ上の前駆体層を、真空中で光による光分解によって実質的に十分変換させた。配位子と連動した吸光がフィルムのFTIRスペクトル中で観察されなくなるまで光分解を継続した。次に試料はを炉に移して窒素下で400℃で加熱した。
【0122】
次に、既知の広角X線回折法で各試料を検査した。変換後にはCu(OH (OC(CH CH 前駆体はより多くの銅酸化物を生じ、一方、(μ−(C NCHCHO) Cu (N 前駆体はより多くの結晶性金属銅を生じたことが見出された。これらの結果によって、当業界で以前には考えられなかったが、本方法による、つまり変換後の生成物は前駆体の組成に依存することがわかった。
【0123】
所定の前駆体材料のフィルムを、たとえば熱処理あるいは光分解処理によって、非晶質フィルムに変換する方法はフィルムの性質に有意な影響を与え得る。このことは、実施例3と4で説明するとおり、光学屈折率の要求データに示されており、また誘電率データの比較によっても明らかに示されている。
【0124】
実施例3
カリフォルニア州 ノースリッジのChemat Technology社から入手した前駆体Zr(acac)(ジルコニウム(IV)アセチルアセトナト)をトルエンに溶解させて、その溶液をシリコン・ウエハ上に1250rpmで30秒間スピンコートした。生じた未変換前駆体フィルムは、436Åの厚さを持っていた。ZrOへの熱的変換をホットプレート上で180℃で1時間行なった。さらに延長して熱的変換をホットプレート上で180℃で行なった。220nmコールド・ミラー付きのKarl Suss MJB−3マスク・アライナーを用いてZrOへの光化学変換を行なった。遠紫外(約0.38mW/cm)下でのマスク・アライナーの低強度出力のせいで、5時間の露光時間を用いた。それは、さらに露光しても厚みがさらに減ることのない線量がこれであるとわかったからである。生じたフィルムについて厚さ及び波長の関数としての屈折率を、VASEを用いて測定した。これらフィルムの測定した厚さは次の通りであった。
未変換Zr(acac)前駆体     436Å
熱変換                 360Å
拡張熱変換            316Å
光変換                 330Å
【0125】
前駆体Zr(O(O)CC15 (ジルコニウム(IV) −エチルヘキサノン酸、カリフォルニア州 ノースリッジ在のChemat Technologyから得た)をヘキサンに溶解させ、該溶液をシリコン・ウエハ上で1500rpmで30秒間スピンコートした。生じた未変換前駆体は、2335Åの厚さを持っていた。ZrOへの熱変換をホットプレート上で180℃で3時間行なった。拡張熱変換をホットプレート上で180℃で、上記の3時間の熱変換時間を含む合計6時間行なった。Zr(O(O)CC15 前駆体の低感光性および低露光強度のせいで約30時間の露光時間が必要であったことを除いて、Zr(acac)前駆体のために記載したとおりにZrOへの光化学変換を行なった。生じる各フィルムについて厚さと、波長の関数としての屈折率と、をVASEを用いてふたたび測定した。これらフィルムで測定した厚さは次の通りであった。
Figure 2004512672
【0126】
屈折率の結果を図15に示す。ここで、光化学的に変換されたZr(acac)は線1、熱変換されたZr(acac)はライン2、熱変換されたZr(O(O)CC15 はライン3、光化学的に変換されたZr(O(O)CC15 はカーブ4、拡張熱変換によって変換されたZr(O(O)CC15 はカーブ5、拡張熱変換によって変換されたZr(acac)はライン6である。
【0127】
これらの結果から、各試料の屈折率の性質には有意な相違があること、それは、前駆体の化学組成におよびそれを調製する方法に直接関係することがわかる。
【0128】
実施例4
少なくとも部分的な変換の後でBSTを得るように設計したヘキサン溶液中の前駆体を、約7000Åでアルミニウム被覆シリコン・ウエハをスピン・コーティングした。3.7gのTi(ビス(アセチルアセトナト)ジ(イソプロポキシド)と2.8gの2−エチルヘキサノン酸バリウムと5.6gの2−エチルヘキサノン酸(2−エチルヘキサノエート酸中に40%w/w)とを182gのヘキサン中に溶解させて、Ba:Sr:Ti(IV)のモル比を1:0.8:1とすることによってBSTの前駆体フィルムを調製した。熱処理又は光分解処理のいずれかによって、前駆体フィルムをBSTフィルムに変換した。生じた薄BSTフィルムを薄フィルム・コンデンサに組み込み、そしてフィルムの電気的性質を測定した。各フィルムの誘電率と平均コンダクタンス値は、下記の結果からわかるように、劇的に異なっていた。
熱変換によるBST
誘電率            4.66
平均コンダクタンス      0.009992S
光化学的変換によるBST
誘電率             27.26
平均コンダクタンス       0.04311S
これらの結果から、各試料の電気的性質に有意な相違があること、それは変換された前駆体を調製する方法に直接関係することがわかる。
【0129】
実施例5
フィルムの光学的性質に影響を与えることがあるので、たとえばフィルム前駆体のスピン・コーティングの際の溶媒の選択は重要である。たとえば、この実施例から、BST に変換されるように設計した前駆体から成るフィルムの成型によって、MIBKおよびn−ヘプタンの各々における溶液から光学的性質が高いフィルムが生成し、一方、PGMEAの溶液からは「縞模様が入った」フィルムが生成することがわかる。
【0130】
これら三種類の各溶媒中に前駆体を溶解させるか、あるいは前駆体溶液中の溶媒の数種あるいは全種を望ましい溶媒に交換することにより、前駆体溶液を作製した。アルミニウムで被覆したSiウエハ上にスピン・コーティングによって各溶液を付着させる。該ウエハは、1500rpmで30秒間スピンさせた。スピニングに続いてホットプレート上で110℃で2分間熱処理して、全ての残存溶媒を除去した。220nmコールド・ミラー付きのKarl Suss MJB−3マスク・アライナーを用いて約1.2mW/cmの強度で前駆体フィルムを酸化物に変換した。完全な変換を保証するために1.5時間各ウエハを露光させた。変換のあとで、その試料のスピン・コーティングで使ったのと同じ溶媒で各前駆体フィルムの未変換で未露光の部分をすすぐことによって洗い流すために現像又は除去のステップを行なった。変換前つまり未露光の、変換直後の、および現像後のフィルムの厚さをVASEを使って測定した。
【0131】
たとえばMIBKおよびn−ヘプタンから生成したものと同様な高光学品質の前駆体は、本質的に無特徴な表面を有した。そのため、これを示す図を使わなかった。対照的に、PGMEA溶液からスピン・コーティングされたBST前駆体フィルムには図16で示すような顕著な縞模様が現れた。上記の溶媒のうちでMIBKは、最も均一でかつ再現性を有するフィルムを生じた
【0132】
実施例6
前駆体フィルムを所望の材料の非晶質フィルムへ変換するため、どのような熱処理を行い得るかを示す例として、変換でBSTを生成するように設計した前駆体の溶液を一連のベアシリコン・ウエハにスピン・コーティングした。ホットプレート上で160℃で延べ120分間10分間隔で各々を加熱することにより少なくとも部分的な変換をウエハに実施した。各変換間隔の後に、イソプロパノールですすいで未変換前駆体を除くことによって前駆体のパターンを現像した。これにより、該フィルムを熱的に印刷するために、つまりIPAで現像したあとに残存しているフィルムが実質的な量を有するために必要な時間を決定することができた。図17に示すように、この時間は熱的変換の目的で大体20分に決定された。
【0133】
熱的変換を光化学変換に置き換えることにより類似の実験を実施した。その結果を図18に示す。この図から、フィルムを光化学に印刷するために必要な時間は30から60分の範囲内であったことがわかる。熱的な部分的変換または前処理を光化学変換と組み合わせるために設計した三番目の実験では、ウエハを熱的に160℃で10分間前処理し、次に、上記の光化学変換工程を実施した。熱的/光化学的な印刷の結果を図19に示す。この図から、熱的/光化学変換工程を組み合わせることによる変換のために必要な時間、つまりパターン形成に必要な最少時間は、光化学変換だけの場合の30乃至60分から約20分へと減ったことがわかる。
【0134】
実施例7
光学的映写系の解像度は、イメージング用のライトの波長を短くしてレンズ系の開口数を大きくすることによって改良できる。ところが、その厚さが増えるにつれて、レジスト全体でイメージの焦点を保持する能力が小さくなる。焦点深度は、レジスト・フィルムの厚さを減らすことで維持できる。しかし、レジストの最小の厚さは、パターン転写行程ステップに必要なエッチング規格によって制限される。焦点深度の制限を減らすために、レジストの上部層のみのパターン化のために薄フィルム・イメージングが用いられている。レジストの上部層のイメージングのあとで、パターンを現像し、そしてエッチング工程を使って基板に転写する。
【0135】
金属錯体前駆体を用いる二層手法のためには、下層と金属錯体前駆体を含んで成る2層フィルムを用いることができ、各層は例えばスピン・コーティングされている。金属錯体前駆体はエッチ・マスクの必要性に応えるが、一方、下層は、エッチングによる基板へのパターンの転写に用いられる。次の三種類の異なった下層を試験した:PMMA,PHOST,およびノボラック。PHOSTとノボラックとは、金属錯体前駆体のスピン・コーティングに先立って、ホットプレート上で160℃で2時間ハード・ベーキングする。実験で用いた金属錯体前駆体は、BST、PZT,および二酸化チタン(TiO)を生成するように設計した。BST前駆体は、実施例4で説明した工程にしたがって調製した。PZT前駆体は、57.4gヘキサン中に18.48gの2−エチルヘキサノン酸鉛(II)を溶解させ、24.2gのヘキサノン酸ジルコニウム(IV)と9.5gのTi(ビス(アセチルアセトナト)ジ(イソプロポキシド)を添加し、次にさらに327gの追加ヘキサンを添加してPb(II):Zr(IV):Ti(IV)=モル比を2.5:1.1.3とすることによって調製した。用いたけれども下層と金属錯体前駆体とが互換可能と考えるかどうかにかかわらず、異なった組み合わせを次の表にリストした。
下層     前駆体   ヘキサン成型溶媒を用いた相対的適合性
PMMA     BST         悪い
PMMA     PZT         悪い
PHOST     BST         悪い
PHOST     PZT         悪い
ノボラック  BST         良い
ノボラック  PZT         良い
ノボラック  TiO         良い
ハード・ベーキングしたノボラックがBST、PZT、およびTiO前駆体と適合することがわかったが、一方、試験した下層材料PMMAとPHOSTは金属錯体層のスピン・コーティング中に溶解した。PMMAとPHOSTは、BSTおよびPZT前駆体に用いた成型溶媒ヘキサンの存在下で溶け去った。ハード・ベーキングしたノボラックとBSTおよびPZT前駆体とは適合するのでパターン化とパターン転写とが可能であった。
【0136】
実施例8
ハード・ベーキングしたノボラックと2つの金属錯体との間でのエッチングの選択性は、エッチングの際の厚さの変化をモニターすることで決定すした。実施例7にしたがって調製した、ハード・ベーキングしたノボラックと十分に変換したPZTまたはTiOとからなる試料をエッチング・チェンバーに入れて、酸素プラズマを用いて30秒間隔で、延べで少なくとも120秒間エッチングした。試料の厚さは、各エッチング区間のあとで測定した。各試料のエッチング率は、厚さ対エッチング時間のプロットの傾きから決定した。ハード・ベーキングしたノボラックの厚さ対エッチング時間のプロットを図20に示し、一方、十分に変換したPZT及びTiOについて同様のプロットを図21に示す。下記の表にはそれぞれの傾きと最小二乗直線の相関係数とをリストしてある。
Figure 2004512672
エッチング選択性は、それぞれのエッチング速度のプロットの傾きの比率から決定した。ハード・ベーキングしたノボラックと十分に変換したPZTとの間のエチング選択性は、約390:1である。ハード・ベーキングしたノボラックと十分に変換したTiOとの間のエッチング選択性は、約880:1である。TiOのエッチング選択性は、同じ条件下ではSiOのそれと同等である。
【0137】
実施例9
BSTおよびPZTのe−ビーム対比を実施して、各材料からなる一連の十分変換したフィルムに徐々に増強しながらe−ビーム線量を当て、現像後に、該フィルムの区画が零で残存していた最高線量を記録し、また残存している該フィルムの区画が約1の値に達した最低線量を記録して、これらの材料のフォトスピードを決定した。BSTおよびPZTについての対比によって、各々について同じレンジで約60から約100μC/cmまでが生じる。
【0138】
本発明の範囲が明細書の記載に限定されずに拡張的内容も含むことは、当業者にとって明白であろう。
【図面の簡単な説明】
【図1】
図1は工程段階によって変化する工程を特定する工程流れ図である。
【図2】
図2は本発明の工程の実施形態におけるステップの基本的な流れを示す。
【図3】
図3は半導体内部連絡において用いられるハード・マスクの製造と使用の従来技術の方法を示す。
【図4】
図4は本発明によるハード・マスクの製造と使用との方法を示す。
【図5】
図5はTSIによるパターニングの従来技術の方法を示す。
【図6】
図6は本発明によるTSI層の製造と使用の方法を示す。
【図7】
図7はリフトオフ工程をもたらす従来技術の方法を示す。
【図8】
図8は本発明によるリフトオフ工程をもたらす方法を示す。
【図9】
図9は本発明によるリフトオフ工程をもたらす別の方法を示す。
【図10】
図10はデュアルダマシン工程集積のための従来技術の方法を示す。
【図11】
図11は本発明による、図10よりも少ない段階を含む、デュアルダマシン工程集積のための方法を示す。
【図12】
図12はイオン打ち込みハード・マスクを製造し、それでイオンを打ち込むための従来技術の方法を示す。
【図13】
図13は本発明の実施形態による、イオン打ち込みハード・マスクを製造し、それでイオンを打ち込むための工程を示す。
【図14】
図14は二つの異なった前駆体から生成したZrOフィルムが示す異なった屈折率の性質を示す。
【図15】
図15は熱および光化学的変換によって生成した種々のZrOフィルムの屈折率を示す。
【図16】
図16は前駆体溶液を基板に塗布したあとで特定な溶媒の使用により生じさせた縞模様(streaks)を示す。
【図17】
図17はBSTの熱対比曲線を示す。
【図18】
図18はBSTの光化学的対比曲線を示す。
【図19】
図19はBSTの熱/光化学組み合わせ対比曲線を示す。
【図20】
図20はハードベーキングしたノボラックの厚さ対エッチング時間のグラフである。
【図21】
図21は十分に変換したPZTとTiOについての厚さ対エッチング時間のグラフである。

Claims (31)

  1. 次の工程を含む、基板上にハード・マスクを形成する方法:
    少なくとも1種の前駆体材料を選ぶこと;
    基板上に該前駆体を含む層を形成させること;
    該前駆体層の少なくとも一部を変換すること;
    該前駆体層を現像し、それによって該前駆体層にパターンを生成すること;および
    該パターンを該基板に転写し、その結果、該パターンを生成させる際にフォトレジストを使わないこと。
  2. 該前駆体層の未変換部分を現像剤によって現像し去ることをさらに含む、請求項1の方法。
  3. 現像剤が少なくとも一種のアルコールと少なくとも一種のケトンとを含む液体現像剤であり、液体現像剤中に存在するすべてのアルコール類の総量が、存在するすべてのアルコール類の容積と存在するすべてのケトン類の容積とを加えた合計値の50%よりも大きい、請求項2の方法。
  4. 該少なくとも一種のアルコールがイソプロピルアルコールであり、該少なくとも一種のケトンがメチル・イソブチル・ケトンであり、かつイソプロピルアルコール:メチル・イソブチル・ケトンの比率が体積で約1:1超過から体積で約40:1未満までである、請求項3の方法。
  5. 該前駆体層の変換部分を現像剤によって現像し去ることをさらに含む、請求項1の方法。
  6. 現像剤が少なくとも一種のアルコールと少なくとも一種のケトンとを含む液体現像剤であり、液体現像剤中に存在するすべてのアルコール類の総量が、存在するすべてのアルコール類の容積と存在するすべてのケトン類の容積とを加えた合計値の50%よりも大きい、請求項5の方法。
  7. 該少なくとも一種のアルコールがイソプロピルアルコールであり、該少なくとも一種のケトンがメチル・イソブチル・ケトンであり、かつイソプロピルアルコール:メチル・イソブチル・ケトンの比率が体積で約1:1超過から体積で約40:1未満までである、請求項6の方法。
  8. アセチルアセトナト、カルボキシラート、アルコキシ、アジド、カルボニル、ニトラト、アミン、ハライド、ニトロ、及びこれらの組合せからなる群から選んだ少なくともひとつの配位子、並びに、Li, Al, Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn, Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg、及びこれらの組合せからなる群から選んだ少なくともひとつの金属を含む金属錯体からの少なくともひとつの前駆体材料を選ぶことをさらに含む、請求項1の方法。
  9. 次の工程を含む、基板上にハード・マスクを形成する方法:
    少なくとも1種の前駆体材料を選ぶこと;
    任意で基板の上に保護層を形成させること;
    該保護層の上に未変換前駆体を含む層を形成させること;
    該未変換前駆体層の少なくとも一部を部分的に変換すること;
    該未変換前駆体層の少なくとも一部を実質的に取り除いてパターンを生成させること;及び
    該部分的変換前駆体層の少なくとも一部を変換することによってハード・マスクを形成すること。
  10. 光、電子ビーム照射、イオン・ビーム照射、及び、その混用から選ばれるエネルギー源を用いて、該エネルギー源に対して実質的に透過性の少なくとも一部を含むパターン化されているハード・マスクを通して、部分的に変換することをさらに含む、請求項9の方法。
  11. 未変換前駆体層の少なくとも一部を現像剤を用いて実質的に取り除くことをさらに含む、請求項9の方法。
  12. 光、電子ビーム照射、イオン・ビーム照射、熱アニーリング、及び、その混用から選ばれるエネルギー源を用いて変換することによってハード・マスクを形成することをさらに含む、請求項9の方法。
  13. アセチルアセトナト、カルボキシラート、アルコキシ、アジド、カルボニル、ニトラト、アミン、ハライド、ニトロ及びこれらの組合せからなる群から選んだ少なくともひとつの配位子、並びに、Li, Al, Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn, Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg及びこれらの組合せからなる群から選んだ少なくともひとつの金属を含む金属錯体からの少なくともひとつの前駆体材料を選ぶことをさらに含む、請求項9の方法。
  14. 次の工程を含む、基板にエッチング・パターンを形成する方法:
    少なくとも1種の前駆体材料を選ぶこと;
    基板上に未変換前駆体を含む層を形成させること;
    該未変換前駆体層の少なくとも一部を部分的に変換すること;
    該未変換前駆体層の少なくとも一部を実質的に取り除くこと;
    該部分的変換前駆体層の少なくとも一部を変換することによってハード・マスクを形成すること;及び
    ハード・マスクによって実質的に覆われていない該基板の少なくとも一部をエッチングすることによって該基板に少なくともひとつのパターン化した領域を形成すること。
  15. アセチルアセトナト、カルボキシラート、アルコキシ、アジド、カルボニル、ニトラト、アミン、ハライド、ニトロ及びこれらの組合せからなる群から選んだ少なくともひとつの配位子、並びに、Li, Al, Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn, Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg及びこれらの組合せからなる群から選んだ少なくともひとつの金属を含む金属錯体からの少なくともひとつの前駆体材料を選ぶことをさらに含む、請求項14の方法。
  16. 次の工程を含む、パターン化した薄い上部表面を形成する方法:
    金属錯体を含む少なくとも1種の前駆体材料を選ぶこと;
    基板上にパターン転写層を形成すること;
    パターン転写層上に該未変換前駆体を含む層を形成すること;
    光に対して実質的に透過性の少なくとも一部を含む、パターン化したマスクを通して該未変換前駆体層の一部を露光させ、それによって該露光領域を部分的に変換させること;
    該未変換前駆体層の少なくとも一部を実質的に取り除き、それによってパターン転写層の少なくとも一部を不被覆とすること;
    該部分的変換前駆体層の少なくとも一部を変換することによってハード・マスクを形成すること;
    該少なくとも部分的に変換した前駆体と該パターン転写層の不被覆部分とをエッチングし、それによって該不被覆パターン転写層から少なくとも一部のエッチングされた領域を形成すること;及び
    該残存している、少なくとも部分的に変換された前駆体層とパターン転写層とを実質的に取り除き、それによって該基板の少なくとも一部を不被覆とすること。
  17. フォトレジスト、ポリイミド、ポリ(メチル・メタクリレート)、ノボラック、およびエポキシから該パターン転写層を選ぶことをさらに含む、請求項16の方法。
  18. アセチルアセトナト、カルボキシラート、アルコキシ、アジド、カルボニル、ニトラト、アミン、ハライド、ニトロ及びこれらの組合せからなる群から選んだ少なくともひとつの配位子、並びに、Li, Al, Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn, Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg及びこれらの組合せからなる群から選んだ少なくともひとつの金属を含む金属錯体を選ぶことをさらに含む、請求項16の方法。
  19. 次の工程を含む、パターン化した薄い上部表面をリフトオフ層の上部に形成する方法:
    金属錯体を含む少なくとも1種の前駆体材料を選ぶこと;
    基板上にリリース層を形成すること;
    該リリース層上にリフトオフ層を形成すること;
    該リフトオフ層上に該未変換前駆体を含む層を形成すること;
    光に対して実質的に透過性の少なくとも一部を含むパターン化したマスクを通して該未変換前駆体層の一部を露光させ、それによって該露光部分を部分的に変換させること;
    該未変換前駆体層の少なくとも一部を実質的に取り除き、それによって該部分的変換前駆体層が覆っているリフトオフ層の残存部分を伴って、リフトオフ層の少なくとも一部を不被覆とすること;
    該部分的変換前駆体層の少なくとも一部を変換することによってハード・マスクを形成すること;
    少なくとも部分的に変換された前駆体と該リフトオフ層の不被覆部分とに異方性除去手段を受けさせ、それによって、該リフトオフ層の不被覆部分と、該リフトオフ層の不被覆部分の下層となっている少なくとも一部の該リリース層と、を実質的に取り除くとともに該基板の少なくとも一部を曝露させること;
    該少なくとも部分的に変換された前駆体と該リフトオフ層の被覆部分と該リフトオフ層の被覆部分の下層となっている該リリース層の少なくとも一部と、に等方性除去手段を受けさせること;
    被曝露基板の該少なくとも一部上及び該少なくとも部分的に変換された前駆体上に金属のフィルムを堆積させること;
    該少なくとも部分的に変換された前駆体上の該金属を実質的に取り除くこと;および
    該残存する少なくとも部分的変換前駆体、該リフトオフ層、及び該リリース層を実質的に取り除き、それによって基板上に該金属にパターンを形成させること。
  20. アセチルアセトナト、カルボキシラート、アルコキシ、アジド、カルボニル、ニトラト、アミン、ハライド、ニトロ及びこれらの組合せからなる群から選んだ少なくともひとつの配位子、並びに、Li, Al, Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn, Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg及びこれらの組合せからなる群から選んだ少なくともひとつの金属を含む金属錯体を選ぶことをさらに含む、請求項19の方法。
  21. 次の工程を含む、パターン化した薄い上部表面をリフトオフ層の上部に形成する方法:
    金属錯体を含む少なくとも1種の前駆体材料を選ぶこと;
    基板上に未変換前駆体材料を含む層を形成させること;
    該未変換前駆体層の少なくとも一部を部分的に変換すること;
    該未変換前駆体層の少なくとも一部を実質的に取り除き、それによって内側に向かって細くなる側壁プロフィールを部分的変換前駆体部分内に形成するとともに該基板の少なくとも一部を曝露すること;
    部分的変換前駆体層の少なくとも一部を変換することによってハード・マスクを形成すること;
    被曝露基板の該少なくとも一部上及び該少なくとも部分的に変換された前駆体上に金属のフィルムを堆積させること;
    該少なくとも部分的に変換された前駆体上の該金属を実質的に取り除くこと;および
    該残存する少なくとも部分的に変換された前駆体を実質的に取り除き、それによって基板上に該金属のパターンを形成させること。
  22. アセチルアセトナト、カルボキシラート、アルコキシ、アジド、カルボニル、ニトラト、アミン、ハライド、ニトロ及びこれらの組合せからなる群から選んだ少なくともひとつの配位子、並びに、Li, Al, Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn, Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg及びこれらの組合せからなる群から選んだ少なくともひとつの金属を含む金属錯体を選ぶことをさらに含む、請求項21の方法。
  23. 次の工程を含む、基板内に打ち込み領域を形成する方法:
    少なくとも1種の前駆体材料を選ぶこと;
    任意で該基板上に保護層を形成させること;
    該保護層の上に少なくともひとつの未変換前駆体材料を含む層を形成すること;該未変換前駆体層の少なくとも一部を部分的に変換させることによって前駆体層の部分的な変換部分を形成すること;
    現像剤を用いて該未変換前駆体層の少なくとも一部を実質的に取り除くこと;
    該部分的変換前駆体層の少なくとも一部を変換することによってハード・マスクを形成すること;及び
    ハード・マスクによって実質的に不被覆である該基板の少なくとも一部にイオンを打ち込んで該基板中に少なくともひとつの打ち込み領域を生成すること。
  24. 光、電子ビーム照射、イオン・ビーム照射及びその混用から選ばれるエネルギー源を用いて、該エネルギー源に対して実質的に透過性である、少なくとも一部を含むパターン化されたマスクを通して、部分的に変換することをさらに含む、請求項23の方法。
  25. 光、電子ビーム照射、イオン・ビーム照射、熱アニーリング、及びその混用から選ばれるエネルギー源によって変換することによってハード・マスクを形成することをさらに含む、請求項23の方法。
  26. 該不被覆基板をイオン・ビームにさらすことによってイオンを打ち込むことをさらに含む、請求項23の方法。
  27. アセチルアセトナト、カルボキシラート、アルコキシ、アジド、カルボニル、ニトラト、アミン、ハライド、ニトロ及びこれらの組合せからなる群から選んだ少なくともひとつの配位子、並びに、Li, Al, Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn, Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg及びこれらの組合せからなる群から選んだ少なくともひとつの金属を含む金属錯体から少なくともひとつの前駆体材料を選ぶことをさらに含む、請求項23の方法。
  28. 次の工程を含む、誘電体層内にデュアルダマシン構造を形成する方法:
    少なくとも1種の前駆体材料を選ぶこと;
    基板上に特徴的厚さを有する誘電体層を形成すること;
    該誘電体層上に第一の未変換前駆体を含む層を形成すること;
    該未変換第一前駆体層の少なくとも一部に第一の部分的変換手段を用いて該第一前駆体層の部分的な変換部分を形成すること;
    第一除去手段を用いて該第一未変換前駆体層の少なくとも一部を実質的に取り除いて該誘電体層の少なくとも一部を曝露し、該少なくとも部分的に変換された第一前駆体層によって被覆されていない第一のパターンを生成すること;
    該部分的変換第一前駆体層の少なくとも一部に第一変換手段を用いることによってハード・マスクを形成すること;
    該誘電体層の曝露部分上および該少なくとも部分的に変換された第一前駆体層上にスピン平坦化層を形成すること;
    スピン平坦化層上に第二の未変換前駆体を含む層を形成すること;
    第二の未変換前駆体層の少なくとも一部に第二の部分的変換手段を用いることによって該第二前駆体層の部分的な変換部分を形成すること;
    第二除去手段を用いて該第二未変換前駆体層の少なくとも一部を実質的に取り除いて該スピン平坦化層の少なくとも一部を曝露し、該少なくとも部分的に変換された第二前駆体層によって被覆されていない第二のパターンを生成すること;
    該部分的変換済み第二前駆体層の少なくとも一部に第二変換手段を用いることによってハード・マスクを形成すること;
    深さで該誘電体層の厚さ未満を第一エッチング手段によって取り除くように、第二のハード・マスクによって実質的に不被覆となっている該誘電体層の少なくとも一部及びその上層スピン平坦化層上に第一エッチング手段を用いることによって該誘電体層中に少なくとも一つの第二パターン化領域を形成すること;
    該残存する少なくとも部分的に変換された第二前駆体層とスピン平坦化層とを第三除去手段を用いることによって実質的に取り除き、それによって該少なくとも部分的に変換された第一前駆体層を曝露させること;
    第一のパターン化された領域においては、第二エッチング手段によって深さで該誘電体層の厚さ未満が除去され、かつ、第二のパターン化された領域においては、第二エッチング手段によって深さで実質的に該誘電体層の全厚さが除去されるように、第一のハード・マスクによって実質的に不被覆となっている該誘電体層の少なくとも一部に第二エッチング手段を用いることによって該誘電体層中に少なくとも一つの第一のパターン化された領域を形成し、それによって該基板の少なくとも一部を不被覆とすること;および
    任意で、該残存する少なくとも部分的に変換された第一前駆体層を、第四除去手段を用いることによって実質的に取り除くこと。
  29. 低誘電率誘電材料からなる群から該誘電体層を選ぶことをさらに含む、請求項28の方法。
  30. アセチルアセトナト、カルボキシラート、アルコキシ、アジド、カルボニル、ニトラト、アミン、ハライド、ニトロ及びこれらの組合せからなる群から選んだ少なくともひとつの配位子、並びに、Li, Al, Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn, Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg及びこれらの組合せからなる群から選んだ少なくともひとつの金属を含む金属錯体からの少なくともひとつの前駆体材料を選ぶことをさらに含む、請求項28の方法。
  31. 酸素を含む異方性プラズマである、第一および第二エッチング手段の少なくともひとつを選ぶことをさらに含む、請求項28の方法。
JP2002501858A 2000-06-06 2001-06-06 電子材料製造法 Pending JP2004512672A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US20994700P 2000-06-06 2000-06-06
PCT/US2001/018413 WO2001095690A1 (en) 2000-06-06 2001-06-06 Method of making electronic materials

Publications (1)

Publication Number Publication Date
JP2004512672A true JP2004512672A (ja) 2004-04-22

Family

ID=22780987

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002501858A Pending JP2004512672A (ja) 2000-06-06 2001-06-06 電子材料製造法

Country Status (8)

Country Link
US (1) US6566276B2 (ja)
EP (1) EP1305824A4 (ja)
JP (1) JP2004512672A (ja)
KR (1) KR20030007904A (ja)
CN (1) CN1268177C (ja)
AU (1) AU2001265390A1 (ja)
TW (1) TW513745B (ja)
WO (1) WO2001095690A1 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009099792A (ja) * 2007-10-17 2009-05-07 Toshiba Corp 半導体装置の製造方法
JP2010505236A (ja) * 2006-09-28 2010-02-18 ゼネラル・エレクトリック・カンパニイ 潜在活性化層を有する有機発光素子
JP2010130013A (ja) * 2008-11-26 2010-06-10 Fei Co レーザ・アブレーション微細機械加工用の荷電粒子ビーム・マスキング
JP2011253185A (ja) * 2010-06-01 2011-12-15 Inpria Corp パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法
JP2013025211A (ja) * 2011-07-25 2013-02-04 Shin Etsu Chem Co Ltd レジスト材料及びこれを用いたパターン形成方法
JP2016530565A (ja) * 2013-08-22 2016-09-29 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物
WO2018173446A1 (ja) * 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
WO2018179704A1 (ja) * 2017-03-27 2018-10-04 Jsr株式会社 パターン形成方法
JP2021073367A (ja) * 2015-10-13 2021-05-13 インプリア・コーポレイションInpria Corporation 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
JP2022526031A (ja) * 2019-04-12 2022-05-20 インプリア・コーポレイション 有機金属フォトレジスト現像剤組成物及び処理方法

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410453B1 (en) * 1999-09-02 2002-06-25 Micron Technology, Inc. Method of processing a substrate
US20060001064A1 (en) * 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
US20040191423A1 (en) * 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
US7074640B2 (en) * 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US7427529B2 (en) * 2000-06-06 2008-09-23 Simon Fraser University Deposition of permanent polymer structures for OLED fabrication
US7067346B2 (en) * 2000-06-06 2006-06-27 Simon Foster University Titanium carboxylate films for use in semiconductor processing
US7176114B2 (en) * 2000-06-06 2007-02-13 Simon Fraser University Method of depositing patterned films of materials using a positive imaging process
US6787198B2 (en) 2000-07-28 2004-09-07 Ekc Technology, Inc. Hydrothermal treatment of nanostructured films
TW554405B (en) * 2000-12-22 2003-09-21 Seiko Epson Corp Pattern generation method and apparatus
DE10103524A1 (de) * 2001-01-26 2002-08-22 Infineon Technologies Ag Verfahren und Halbleiteranordnung zur Ätzung einer Schicht eines Halbleitersubstrats mittels einer siliziumhaltigen Ätzmaske
US6756620B2 (en) * 2001-06-29 2004-06-29 Intel Corporation Low-voltage and interface damage-free polymer memory device
GB2379415A (en) * 2001-09-10 2003-03-12 Seiko Epson Corp Monitoring the deposition of organic polymer droplets onto a substrate
GB2385863A (en) * 2001-10-29 2003-09-03 Qinetiq Ltd High resolution patterning method
US7022287B2 (en) * 2002-05-08 2006-04-04 Sandia National Laboratories Single particle electrochemical sensors and methods of utilization
DE10240748B4 (de) * 2002-08-29 2010-04-01 Qimonda Ag Verfahren zur Planarisierung einer Halbleiterprobe
US20040048194A1 (en) * 2002-09-11 2004-03-11 International Business Machines Corporation Mehod for forming a tunable deep-ultraviolet dielectric antireflection layer for image transfer processing
JP3883004B2 (ja) * 2002-12-25 2007-02-21 Tdk株式会社 パターン化薄膜形成方法およびマイクロデバイスの製造方法
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition
US7879696B2 (en) * 2003-07-08 2011-02-01 Kovio, Inc. Compositions and methods for forming a semiconducting and/or silicon-containing film, and structures formed therefrom
US20050130076A1 (en) * 2003-12-11 2005-06-16 Haoren Zhuang Method for producing a hard mask in a capacitor device and a hard mask for use in a capacitor device
US7294449B1 (en) 2003-12-31 2007-11-13 Kovio, Inc. Radiation patternable functional materials, methods of their use, and structures formed therefrom
CN1950472B (zh) * 2004-03-15 2010-07-28 株式会社德山 涂料组合物
US7244858B2 (en) * 2004-03-25 2007-07-17 Praxair Technology, Inc. Organometallic precursor compounds
US6887785B1 (en) 2004-05-13 2005-05-03 International Business Machines Corporation Etching openings of different depths using a single mask layer method and structure
US7132360B2 (en) * 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
KR100598105B1 (ko) * 2004-06-17 2006-07-07 삼성전자주식회사 반도체 패턴 형성 방법
US20050285312A1 (en) * 2004-06-23 2005-12-29 Fury Michael A Use of PMOD materials in layered (3D) manufacturing technology
US7129177B2 (en) * 2004-10-29 2006-10-31 Hitachi Global Storage Technologies Netherlands B.V. Write head fabrication by inverting order of process steps
CN1808268B (zh) * 2005-01-18 2010-10-06 中芯国际集成电路制造(上海)有限公司 用于应变硅mos晶体管的金属硬掩模方法和结构
US7292381B1 (en) * 2005-09-08 2007-11-06 Hrl Laboratories, Llc Method for conforming a micro-electronic array to arbitrary shapes
CN100442476C (zh) 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 用于cmos技术的应变感应迁移率增强纳米器件及工艺
WO2008060583A2 (en) 2006-11-15 2008-05-22 Aculon, Inc. Organometallic films, methods for applying organometallic films to substrates and substrates coated with such films
CN101226899A (zh) * 2007-01-19 2008-07-23 中芯国际集成电路制造(上海)有限公司 在硅凹陷中后续外延生长应变硅mos晶片管的方法和结构
CN101364545B (zh) * 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 应变硅晶体管的锗硅和多晶硅栅极结构
JP5532259B2 (ja) * 2008-10-23 2014-06-25 日産化学工業株式会社 画像形成用下層膜
US8822347B2 (en) * 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography
WO2010128107A1 (en) * 2009-05-07 2010-11-11 Neodec B.V. Process for manufacturing conductive tracks
US8304179B2 (en) 2009-05-11 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device using a modified photosensitive layer
CN102024761A (zh) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
US8334187B2 (en) * 2010-06-28 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask for thin film resistor manufacture
WO2013029186A1 (en) 2011-09-01 2013-03-07 Trudel Simon Electrocatalytic materials and methods for manufacturing same
US8610280B2 (en) * 2011-09-16 2013-12-17 Micron Technology, Inc. Platinum-containing constructions, and methods of forming platinum-containing constructions
KR101920711B1 (ko) 2012-01-16 2018-11-22 삼성전자주식회사 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
US8916337B2 (en) * 2012-02-22 2014-12-23 International Business Machines Corporation Dual hard mask lithography process
US8795774B2 (en) * 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
DE102013203995B4 (de) * 2013-03-08 2020-03-12 Carl Zeiss Smt Gmbh Verfahren zum Schützen eines Substrats während einer Bearbeitung mit einem Teilchenstrahl
US9296879B2 (en) * 2013-09-03 2016-03-29 Rohm And Haas Electronic Materials Llc Hardmask
CN103489766A (zh) * 2013-09-16 2014-01-01 复旦大学 一种氧化镁钛高介电常数薄膜及其制备方法和应用
EP3230294B1 (en) 2014-10-23 2021-06-30 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9914995B2 (en) 2014-11-21 2018-03-13 Applied Materials, Inc. Alcohol assisted ALD film deposition
CN105070839B (zh) * 2015-07-10 2018-05-18 北京交通大学 一种聚合物太阳能电池及其制备方法
JP6993982B2 (ja) 2016-03-11 2022-02-04 インプリア・コーポレイション 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス
WO2017200107A1 (ja) * 2016-05-19 2017-11-23 三井化学株式会社 金属含有膜形成用組成物、金属含有膜形成用組成物の製造方法、半導体装置、及び半導体装置の製造方法
KR102329105B1 (ko) 2016-08-12 2021-11-18 인프리아 코포레이션 금속 함유 레지스트로부터의 에지 비드 영역의 금속 잔류물 저감방법
JP6887307B2 (ja) * 2017-05-19 2021-06-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR20200074263A (ko) 2017-11-19 2020-06-24 어플라이드 머티어리얼스, 인코포레이티드 금속 표면들 상의 금속 산화물들의 ald를 위한 방법들
JP7016147B2 (ja) * 2017-11-29 2022-02-04 深▲セン▼通鋭微電子技術有限公司 チップオンフィルム型半導体装置
JP7348210B2 (ja) 2018-06-13 2023-09-20 ブルーワー サイエンス アイ エヌ シー. Euvリソグラフィ用接着層
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
CN110767683B (zh) * 2018-10-31 2022-04-15 云谷(固安)科技有限公司 显示面板、掩膜版和显示终端
EP3648161A1 (en) * 2018-11-05 2020-05-06 Heraeus Deutschland GmbH & Co KG Method of manufacturing an electromagnetic interference shielding layer
CN110512196A (zh) * 2019-09-24 2019-11-29 攀枝花学院 二氧化钒薄膜微细图形的制备方法
CN110643980A (zh) * 2019-09-24 2020-01-03 攀枝花学院 微米厚度二氧化钒薄膜微细图形的制备方法
WO2021178302A1 (en) 2020-03-02 2021-09-10 Inpria Corporation Process environment for inorganic resist patterning
JP2023524969A (ja) 2020-05-06 2023-06-14 インプリア・コーポレイション 中間凍結工程による有機金属光パターニング可能層を用いたマルチパターニング
US11980046B2 (en) * 2020-05-27 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming an isolation structure having multiple thicknesses to mitigate damage to a display device
US11501812B2 (en) * 2020-07-31 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including ferroelectric memory and methods of forming the same
US20220269169A1 (en) 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
US20230280644A1 (en) * 2022-03-03 2023-09-07 International Business Machines Corporation Method of making euv mask with an absorber layer
CN114664649B (zh) * 2022-05-19 2022-09-20 浙江大学杭州国际科创中心 碳化硅高深宽比槽刻蚀工艺优化方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2929682A1 (de) 1979-07-04 1981-01-15 Bbc Brown Boveri & Cie Verfahren zum aetzen von silizium- substraten und substrat zur durchfuehrung des verfahrens
US4439464A (en) * 1982-05-11 1984-03-27 University Patents, Inc. Composition and method for forming amorphous chalcogenide films from solution
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US5140366A (en) 1987-05-29 1992-08-18 Canon Kabushiki Kaisha Exposure apparatus with a function for controlling alignment by use of latent images
US5178989A (en) * 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
US6072207A (en) * 1991-02-25 2000-06-06 Symetrix Corporation Process for fabricating layered superlattice materials and making electronic devices including same
US5486176A (en) 1991-03-27 1996-01-23 Smith & Nephew Richards, Inc. Angled bone fixation apparatus
US5292558A (en) * 1991-08-08 1994-03-08 University Of Texas At Austin, Texas Process for metal deposition for microelectronic interconnections
US5281447A (en) * 1991-10-25 1994-01-25 International Business Machines Corporation Patterned deposition of metals via photochemical decomposition of metal-oxalate complexes
JP3416134B2 (ja) 1992-11-19 2003-06-16 ザ ユニバーシティ コート オブ ザ ユニバーシティ オブ ダンディ 付着方法
US5272099A (en) 1992-11-27 1993-12-21 Etron Technology Inc. Fabrication of transistor contacts
GB2284300B (en) 1993-11-10 1997-11-19 Hyundai Electronics Ind Process for forming fine pattern of semiconductor device
US5637440A (en) * 1993-12-27 1997-06-10 Mitsubishi Materials Corporation Composition for forming metal oxide thin film pattern and method for forming metal oxide thin film pattern
US5460693A (en) * 1994-05-31 1995-10-24 Texas Instruments Incorporated Dry microlithography process
US5534312A (en) 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3348564B2 (ja) * 1995-04-28 2002-11-20 ソニー株式会社 誘電体キャパシタの製造方法
US5652166A (en) 1996-01-11 1997-07-29 United Microelectronics Corporation Process for fabricating dual-gate CMOS having in-situ nitrogen-doped polysilicon by rapid thermal chemical vapor deposition
US5627087A (en) 1996-03-11 1997-05-06 United Microelectronics Corporation Process for fabricating metal-oxide semiconductor (MOS) transistors based on lightly doped drain (LDD) structure
JP3892565B2 (ja) 1997-02-28 2007-03-14 株式会社東芝 パターン形成方法
US5935762A (en) 1997-10-14 1999-08-10 Industrial Technology Research Institute Two-layered TSI process for dual damascene patterning
US6307087B1 (en) * 1998-07-10 2001-10-23 Massachusetts Institute Of Technology Ligands for metals and improved metal-catalyzed processes based thereon

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010505236A (ja) * 2006-09-28 2010-02-18 ゼネラル・エレクトリック・カンパニイ 潜在活性化層を有する有機発光素子
JP2009099792A (ja) * 2007-10-17 2009-05-07 Toshiba Corp 半導体装置の製造方法
JP2015164227A (ja) * 2008-11-26 2015-09-10 エフ・イ−・アイ・カンパニー レーザ・アブレーション微細機械加工用の荷電粒子ビーム・マスキング
JP2010130013A (ja) * 2008-11-26 2010-06-10 Fei Co レーザ・アブレーション微細機械加工用の荷電粒子ビーム・マスキング
JP7124137B2 (ja) 2010-06-01 2022-08-23 インプリア・コーポレイション パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法
JP2016029498A (ja) * 2010-06-01 2016-03-03 インプリア・コーポレイションInpria Corporation パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法
JP7503605B2 (ja) 2010-06-01 2024-06-20 インプリア・コーポレイション パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法
JP2021073505A (ja) * 2010-06-01 2021-05-13 インプリア・コーポレイションInpria Corporation パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法
JP2011253185A (ja) * 2010-06-01 2011-12-15 Inpria Corp パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法
JP2022145904A (ja) * 2010-06-01 2022-10-04 インプリア・コーポレイション パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法
JP2013025211A (ja) * 2011-07-25 2013-02-04 Shin Etsu Chem Co Ltd レジスト材料及びこれを用いたパターン形成方法
JP2016530565A (ja) * 2013-08-22 2016-09-29 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物
US10025179B2 (en) 2013-08-22 2018-07-17 Inpria Corporation Organometallic solution based high resolution patterning compositions
US11988960B2 (en) 2013-08-22 2024-05-21 Inpria Corporation Organometallic solution based high resolution patterning compositions
JP2019113855A (ja) * 2013-08-22 2019-07-11 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物
US11988958B2 (en) 2013-08-22 2024-05-21 Inpria Corporation Organometallic solution based high resolution patterning compositions
US11966159B2 (en) 2013-08-22 2024-04-23 Inpria Corporation Organometallic solution based high resolution patterning compositions
JP7179816B2 (ja) 2015-10-13 2022-11-29 インプリア・コーポレイション 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
JP2021073367A (ja) * 2015-10-13 2021-05-13 インプリア・コーポレイションInpria Corporation 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
WO2018173446A1 (ja) * 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
WO2018179704A1 (ja) * 2017-03-27 2018-10-04 Jsr株式会社 パターン形成方法
TWI811538B (zh) * 2019-04-12 2023-08-11 美商英培雅股份有限公司 有機金屬光阻顯影劑組合物及處理方法
JP2022526031A (ja) * 2019-04-12 2022-05-20 インプリア・コーポレイション 有機金属フォトレジスト現像剤組成物及び処理方法

Also Published As

Publication number Publication date
EP1305824A4 (en) 2007-07-25
CN1457504A (zh) 2003-11-19
US6566276B2 (en) 2003-05-20
CN1268177C (zh) 2006-08-02
US20020076495A1 (en) 2002-06-20
AU2001265390A1 (en) 2001-12-17
TW513745B (en) 2002-12-11
WO2001095690A1 (en) 2001-12-13
EP1305824A1 (en) 2003-05-02
KR20030007904A (ko) 2003-01-23

Similar Documents

Publication Publication Date Title
JP2004512672A (ja) 電子材料製造法
US7074640B2 (en) Method of making barrier layers
US7067346B2 (en) Titanium carboxylate films for use in semiconductor processing
US6696363B2 (en) Method of and apparatus for substrate pre-treatment
US6660632B2 (en) Method for depositing metal and metal oxide films and patterned films
JP2024045257A (ja) Euvパターン化可能ハードマスクを形成するための方法
US11921427B2 (en) Methods for making hard masks useful in next-generation lithography
US20060001064A1 (en) Methods for the lithographic deposition of ferroelectric materials
US20040191423A1 (en) Methods for the deposition of silver and silver oxide films and patterned films
TW201439361A (zh) 以氣相沉積來沉積的光阻劑以及對於此光阻劑的製造與微影系統
US6787198B2 (en) Hydrothermal treatment of nanostructured films
JP2006501076A (ja) ナノ構造化されたナノ多孔質のフィルム組成物、その構造及びその製造方法
TW202217446A (zh) 含鉭光阻
US7176114B2 (en) Method of depositing patterned films of materials using a positive imaging process
JP2005505691A (ja) パターン化されたアモルファスフィルムを形成する光分解転換プロセス
Barstow et al. Direct photopatterning of metal oxide materials using photosensitive organometallic precursor films
KR102678588B1 (ko) 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
WO2021060495A1 (ja) 組成物、膜、膜形成方法、パターン形成方法、有機下層膜反転パターン形成方法及び組成物の製造方法
TW202338499A (zh) Euv微影術用之euv活性膜