KR20030007904A - 전자 재료 제조 방법 - Google Patents

전자 재료 제조 방법 Download PDF

Info

Publication number
KR20030007904A
KR20030007904A KR1020027016665A KR20027016665A KR20030007904A KR 20030007904 A KR20030007904 A KR 20030007904A KR 1020027016665 A KR1020027016665 A KR 1020027016665A KR 20027016665 A KR20027016665 A KR 20027016665A KR 20030007904 A KR20030007904 A KR 20030007904A
Authority
KR
South Korea
Prior art keywords
layer
precursor
substrate
forming
unconverted
Prior art date
Application number
KR1020027016665A
Other languages
English (en)
Inventor
데이비드 제이. 멜로니
웨이 엠. 리
펄 제이.쥬니어. 로만
마이클 에이. 푸리
로스 에이치. 힐
Original Assignee
이케이씨 테크놀로지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이케이씨 테크놀로지, 인코포레이티드 filed Critical 이케이씨 테크놀로지, 인코포레이티드
Publication of KR20030007904A publication Critical patent/KR20030007904A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 하드 마스크의 제조에 관한 것이다. 한 실시예는 직접 패터닝 단계 동안 전구체를 상면 이미징 층으로 변환하는 것을 포함한다. 본 발명의 다른 실시예는기판에 에칭된 패턴을 형성하는 방법에 관한다. 본 발명의 다른 실시예는 기판에 주입된 영역을 형성하는 방법에 관한다. 바람직한 전구체는 acac, 카르복실아토, 알콕시, 아지드, 카르보닐, 니트라토, 아민, 할리드, 니트로, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 리간드; 및 Li, Al, Si, Ti, V, Cr, Mn, Fe, Ni, Co, Cu, Zn, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Ba, La, Pr, Sm, Eu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Th, U, Sb, As, Ce, Mg, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 금속을 구비하는 금속 복합체로 형성된다.

Description

전자 재료 제조 방법{METHOD OF MAKING ELECTRONIC MATERIALS}
다른 것들 중에서, 반도체 및 패키징은, 종래의 공정을 이용하여 그 제품에 얇은 금속 및 금속 산화막을 형성한다. 이와 같은 공정의 예는 증발, 스퍼터 증착 또는 스퍼터링, 화학 증기 증착("CVD") 및 열 산화를 포함한다. 증발은, 증착이 요구되는 기판 근처에서 증착될 재료를 가열시키는 공정이다. 진공 상태에서 정상적으로 도전되는, 증착될 재료는 기판 상에 휘발되고 순차적으로 압축되어 결국 블랭킷(blanket)을 형성하거나, 패턴화되지 않은 소정 재료의 막이 기판 상에 형성되게 된다. 이 방법은, 소정의 막 재료를 높은 온도까지 가열시켜야 하는 조건, 및 높은 진공 상태의 필요성을 포함하는 몇가지 단점을 갖는다. 스크린 또는 새도우가 증발 동안 이용되지 않는 한, 패턴화되지 않은 블랭킷 막이 이 공정으로 인해 형성되게 된다.
스퍼터링은 증발과 유사한 기술로, 증발에서는 증착을 위한 재료를 증기 상(vapor phase)으로 전사시키는 공정은, 재료의 입자들이 증기 상으로 디스로지되(dislodged)되어 순차적으로 기판 상에 압축되도록 충분한 운동 에너지의 입사 원자들로 이 재료에 충격을 가함으로서 이루어진다. 스퍼터링은 증발과 동일한 단점이 있고, 또한 충분한 운동 에너지의 입사 입자를 발생시켜 증착 재료의 입자들을 디스로지시키기 위한 장비 및 소모재를 필요로 한다.
CVD는 증발과 스퍼터링과 유사하지만, 또한 기판 상에 증착되는 입자들이 기판 상에 막을 형성하기 위해 장착 공정 동안 화학 반응이 일어날 것을 필요로 하고 있다. 비록 화학 반응을 위한 조건이 CVD를 증발 및 스퍼터링과는 구별시키지만, CVD 방법은 여전히 첨단 장비의 사용, 및 막 증착 동안 온도 및 압력의 극한 조건을 필요로 한다.
열 산화는 또한 온도의 극한 조건 및 산소 분위기를 이용한다. 이 기술에서, 기판 상의 산화막의 블랭킷 층은, 기판 상에 이전에 증착된 비산화 층을 산화시키므로서 제조된다.
기존의 몇 가지 막 증착 방법은 졸-겔(gol-gel) 및 다른 스핀 방법을 포함하는 분위기 온도 및 압력 조건 하에 수행될 수 있다. 이들 방법에서, 소정의 막 조성으로 순차적으로 변환될 수 있는 전구체 입자를 포함하는 용액이 기판에 도포된다. 이 용액의 도포는 스핀 코팅 또는 스핀 캐스팅(spin-casting)을 통해 달성될 수 있는데, 이 방법에서 용액이 기판의 중간에 떨어뜨려지는 동안에 기판이 축을중심으로 회전된다. 이와 같은 증착 후에, 코팅된 기판이 고온 처리되어, 전구체 막을 소정 재료의 막으로 변환한다. 따라서, 이들 방법들은 비정질 막의 패턴을 형성하기 위한 직접 이미징(direct imaging)을 허용하지 못하고 있다. 대신에, 이들 방법들은 소정 재료의 블랭킷의 패턴화되지 않은 막을 형성하는 결과가 된다. 이들 방법은 증기 상 방법보다 덜 엄격한 장비 조건을 가지지만, 소정 막을 소정 재료로 변환하기 위해 여전히 극한 온도를 사용할 것을 필요로 한다.
블랭킷 막을 패턴화하는 한 방법에서, 블랭킷 막은 감광막 코팅으로 코팅된다(종래에 스핀 코팅 또는 다른 용액에 기초한 코팅 방법에 의해, 또는 감광 건식 막의 도포에 의해). 이 감광층은 마스크를 통해 특정 파장의 광에 선택적으로 노출된다. 노광은, 노출된 면적 또는 노출되지 않은 면적이 현상액을 사용하여 선택적으로 제거될 수 있도록, 감광층의 노출된 면적의 용해성을 변화시킨다. 다음에 나머지 재료가 소정의 재료의 막을 패턴화시키는 에칭 매체에 대해 패턴 전사 매체 또는 마스크로서 사용된다. 이 에칭 단계 후에, 나머지(이전의 감광)재료가 제거되고, 필요에 따라 에칭 공정 동안 발생된 임의의 부산물이 제거된다.
기판 상에 패턴화된 막을 형성하는 다른 방법에서, 감광 재료는 상술한 바와 같이 패턴화될 수 있다. 패터닝 후에, 소정 재료의 등각 블랭킷이 패턴화된(이전의 감광) 재료의 상부에 증착될 수 있고, 다음에 패턴화된 재료를 갖는 기판 및 소정 재료의 블랭킷 막이 이전의 감광 재료를 손상시키는 처리에 노출될 수 있다. 이 처리는 이전의 나머지 감광 재료 및 상부 상의 소정 재료의 블랭킷 막의 일부를 제거한다. 이와 같이, 소정 재료의 패턴화된 막의 결과가 되고, 이'리프트오프(liftoff) 공정"에서 어떠한 에칭 단계도 필요하지 않다. 그러나, 중간 패턴 전사 매체(감광 재료)의 사용이 여전히 요구되고, 이는 이 방법의 단점이다. 또한, '리프트오프' 방법은 소정 재료의 패턴에 의해 결정될 수 있는 해상도(최소 크기)와 관련된 엄중한 한계를 가지고 있다. 이 단점은 이 방법의 유용성을 극히 제한한다.
따라서, 순차적으로 패턴화될 필요가 있는 블랭킷의 증착은 몇 가지 값비싸고 어려운 공정 단계의 필요성을 포함한다.
패턴화된 막을 형성하는 또 다른 방법에서, 소정 재료의 블랭킷 막은, 상술된 방법들 중의 하나에 의해, 이전에 설명된 것과 같은 에칭 공정에 의해 이전에 패턴화된 기판 상에 증착될 수 있다. 블랭킷 막은, 그 두께가 채워져 기판 내의 기존의 패턴을 완전히 덮도록 증착된다. 다음에 블랭킷 막의 일부는, 나머지 소정 재료 및 이전에 패턴화된 기판이 동일한 높이에 놓일 때까지 이방성으로 제거된다. 따라서, 이전에 패턴화된 기판에 내장된 패턴에 소정의 재료가 존재하게 된다. 소정 재료의 이방성 제거는 에칭 공정을 거쳐 달성될 수 있는데, 흔히 반도체 소자를 형성하는 경우에, 이 제거는 화학 기계적 평탄화("CMP")라고 하는 공정을 통해 실시된다. 이는 화학 용제와 관련된 입자의 슬러리(slurry)를 사용하여 화학 및 기계적 작용의 조합을 통해 대부분의 소정 재료를 제거하여, 패턴화된 기판에 내장된 소정 위치에 소정 재료를 남기는 것을 포함한다. 패턴화된 막을 형성하는 이 방법은 값이 비싸고 복잡한 평탄화 장비, 및 평탄화 패드를 포함하는 소모재, 슬러리 및 화학제의 사용을 필요로 한다. 부수적으로, 작은 슬러리 입자의 사용은, 이들입자들이 다음에 평탄화된 표면으로부터 제거될 것을 필요로 하여, 잉여의 공정 단계를 필요로 한다.
비록 이들 방법들 중의 일부가 다른 것들보다 보다 장비에 집중적이고 용액 또는 증기 상 방법의 사용에서 다르지만, 금속 및 금속 산화막을 형성하는 이와 같은 종래의 공정은, 예를 들어 이들 각각이 비싼 장비를 필요로 하고, 시간을 허비하고, 소정 결과를 달성하기 위해 고온의 사용을 필요로 하여 블랭킷 패턴화되지 않은 막의 결과가 되기 때문에 최적이 아니며, 만일 패터닝이 요구되면, 또 다른 패터닝 단계가 필요하다. 이들 방법들 중의 대부분은, 많은 경우에, 다양한 어플리케이션에 적합하지 않을 수 있는 다결정막을 형성하는 부수적인 단점이 있다. 이들 방법에 대한 바람직한 대안은 기판에 도포되어, 선택적으로 이미지되고 패턴화되어 중간 단계의 필요성이 없이 비정질 막을 형성할 수 있는 전구체의 사용일 것이다.
반도체 처리에서 박막의 한가지 사용은, 얇은 상면 이미징(thin top-surface imaging)(이하"TSI"라고 함) 층, 전형적으로 이미 기판에 도포된 원자 유기층을 형성하기 위한 것이다. 이 경우, 유기층은, 증착될 박막이 종래의 방법을 사용하여 다음에 패턴닝될 것이기 때문에 광활성일 필요는 없다. TSI를 위한 이들 박막의 사용은 포토레지스트 마스크의 사용에 의해 제공되지 않는 플라즈마 에칭에 대한 저항, 및 극히 얇은 막에 의해 제공되지 않은 리소그래픽 공정의 증가된 해상도를 포함하는 몇 가지 공정 상의 단점이 있다. TSI를 위한 전형적인 박막은 금속, 실리콘 질화물 및 산화막을 포함하고, 실리레이션(silylation)이라고 하는 공정에대해 많은 연구가 실시되고 있다. 이 공정은 이전에 증착된 유기층의 상부에 실리콘 함유 종의 박막을 증기 증착하는 것을 포함한다. 다음에 이와 같은 실리콘 종의 박막이 이미지되어 실리콘 산화물의 박막을 형성하고, 이는 밑의 유기층의 산소 플라즈마 패터닝 동안 TSI 층에 작용한다. 반도체 및 패키징 업계에 의한 실리레이션 공정의 수용은 많은 공정 및 비용 한계로 인해 중요하지 않게 되고 있다.
반도체 공정에서 박막의 다른 사용은, 즉 주입 공정용의 하드 마스크를 형성하기 위한 것이다. 이온 주입은, 예를 들어 기판 제조 동안 기판에 도핑된 영역을 형성하는데 있어서 사용되는 잘 공지된 기술이다. 이온 주입은 흔히 이온들이 선정된 영역 내로만 주입되게 하는 또한 하드 마스크라고 하는 패턴화된 차단층을 필요로 한다. 예를 들어, Shimozu 등에 허여된 미국특허 제5,436,176호는 "실시예 1"에서, 붕소 원자로 세 번 주입되는 것으로 개시된, 실리콘 산화막에 의해 덮여진 실리콘 기판의 무마스크 주입(maskless implantation)을 개시하고 있다. 선택적으로, 이 기술은 "실시예 3"에서, 실리콘 산화막에 의해 덮여진 실리콘 기판 상에 마스크를 형성하고, 인(P)을 주입하고, 제2 마스크를 형성하고, 붕소를 주입하고, 마지막으로 열처리하는 순차적인 단계를 포함하는 세 번의 반복되는 방법에서 다수의 하드 마스크를 사용하여 주입하는 것을 개시하고 있다.
이전에 설명한 바와 같이, 이들 공정중의 어느 것에 의해 하드 마스크를 형성하는 것은, 비교적 많은 수의 공정 단계를 필요로 한다. 예를 들어 사용될 공정을 단순화시키고, 그 효율을 증가시키며, 그 비용을 감소시키기 때문에, 에칭 또는 이온 주입 이전에 이들 단계의 일부를 제거하는 것이 유익할 것이다.
이 문제를 해결하는 한가지 접근 방법은, 포토레지스트를 마스크로서 사용하는 것을 포함한다. 그러나, 포토레지스트가, 특히 중간 보호층으로서 이용될 수 있거나 저유전상수("low-k") 유전체 및 이온에 대한 저 정지력(low stopping power)으로서 점차적으로 사용이 증가하는 유기층의 패터닝을 위해, 임의의 플라즈마 에칭 화학처리에 대한 저 에칭 저항(low etch resistance)을 갖는다는 것을 공지되어 있다. 따라서, 바람직하지 않게 두꺼운 포토레지스트 막들은, 층의 완전한 에칭이 마스킹 층의 완전한 부식 이전에 패턴화되게 하거나 또는 도포되는 기판의 면적의 주입을 방지할 필요가 있다. 다른 단점은, 이온 주입된 포토레지스트가 웨이퍼로부터 제거하기가 지나치게 힘들 수 있다는 것이다. 이 문제에 대한 다른 해결 방법은 예를 들어, 먼저 하드 마스크를 도포한 후 하드 마스크 상에 포토레지스트 층을 도포하고 다음에 에칭 또는 이온 주입이 발생하기 전에 패터닝하므로써 시도되고 있다. 플라즈마 에칭 또는 이온 주입 이전에 종래 기술의 방법에서 개시된 많은 단계들 중의 결합 일부 또는 이들중 하나 이상의 심지어 제거는 이들 공정을 단순화시키는데 도움이 될 것이다. 따라서, 플라즈마 패터닝 또는 이온 주입 공정에서 단계들을 제거하는 방법이 극히 바람직할 것이다.
금속 합성물 전구체 증착을 위한 현재의 공정은 금속 및 금속 산화 하드 마스크 막을 형성하기 위한 적은 비용의 방법으로서 발전되고 있다. 이 공정, 광화학 금속 유기 증착 중의 한 실시예는 금속 합성물 전구체를 위한 금속 유기물, 및 입사 복사 또는 열 에너지과 같이 금속 유기물을 금속 또는 금속 산화막으로 변환하기 위한 수단의 사용을 필요로 한다. 특히, 이 공정에서, 전구체 금속 유기물은예를 들어, 임의의 공지된 수단에 의해 표면에 증착되는 전구체 용액을 형성하기 위해 적합한 유기 용제에서 용해시키므로써 표면에 도포된다. 다음에 전구체는, 에너지원에의 노출, 즉 광, 이온 빔 충격, 전자 빔 충격 또는 열 또는 가열 처리 또는 열처리에 의한 것과 같은, 부분 변환 수단 및/또는 변환 수단에 의해 금속 또는 금속 산화층으로 적어도 부분적으로 변환된다. 따라서, 본 공정은 즉 반도체 및 패키징 업계에서 이용가능성이 있다.
Hill 등에 허여된 미국특허 제5, 534,312호는, 기판의 표면상에 금속 합성물의 비정밀 막을 증착하고, 선택된 분위기에 막을 위치시키고, 막의 선택된 영역을 전자기 복사, 바람직하게 자외선 선택적으로 마스크를 통해 노출시켜, 선택된 영역 내의 금속 합성물이 광화학 반응하게 하는 단계를 포함하는, 기판 상에 패턴화된 금속 함유 재료를 제조하는 무포토레지스트(free photoresist) 방법을 개시한다.
Thomson 등에 허여된 미국특허 제6,071,676호는, 그 집적 회로 제조 공정이 화합물이 복사 또는 입자 빔에 의해 접속되는 도포된 화합물의 열화를 초래한다는 것을 개시한다. 다른 말로 해서, 화합물의 열화에 의해 유발된 증착물의 치수는 복사하는 빔의 초점 폭에 비례한다. 나노스케일 치수(nanoscale dimensions)가 이 공정에 의해 달성가능한 것으로 개시된다. 화합물이 열화하여 금속 또는 다른 도전성 기판의 증착물을 형성하는 경우, 이 방법은 기판 상에 직접 집적 회로를 제조하는데 사용될 수 있다. 이 증착물은, 바람직하게 금속 또는 금속 합금인 것으로 교시되며, 금속들은 금, 주석 또는 크롬일 수 있거나, 증착물은 갈륨과 같은 도전성 비금속 또는 반금속일 수 있다. 다른 특징에서, 복사 또는 입자 빔의 효과 하에 열화하여 도전성, 바람직하게 금속성 증착물을 만드는 화합물을 기판에 도포하고, 복사 또는 입자 빔을 화합물의 선택된 표면 영역에 도포하고, 열화된 화합물 및 영향을 받지 않은 화합물을 기판으로부터 제거하는 것을 포함하는 집적 회로의 제조 방법이 제공된다.
본 발명은 총체적으로 하드 마스크의 형성에 관련된 공정에서 전자 재료의 제조에 관한 것이다. 일 실시예는 직접 패터닝 단계(direct patterning step) 동안 전구체(precursor)를 상면 이미징 층(top surface imaging layer)으로 변환하는 것을 포함한다.
본 발명의 부수적인 목적 및 특징은 도면을 참조하여 설명된 발명의 상세한 설명 및 특허청구의 범위로부터 명확할 것이다.
도 1은 공정 단계에 의한 공정 변수를 식별하는 공정 순서도,
도 2는 본 발명에 따른 공정의 한 실시예에서 단계의 기본적인 순서를 도시하는 도면,
도 3은 반도체 상호접속부에 사용되는 하드 마스크의 종래 기술에 따른 제조및 사용 방법을 도시하는 도면,
도 4는 본 발명에 따른 하드 마스크의 제조 및 사용 방법을 도시하는 도면,
도 5는 TSI에 의한 종래 기술의 패터닝 방법을 도시하는 도면,
도 6은 본 발명에 따른 TSI 층의 제조 및 사용 방법을 도시하는 도면,
도 7은 리프트오프 공정을 실시하는 종래 기술의 방법을 도시하는 도면,
도 8은 본 발명에 따른 리프트오프 공정을 실시하는 방법을 도시하는 도면,
도 9는 본 발명에 따른 리프트오프 공정을 실시하는 다른 방법을 도시하는 도면,
도 10은 이중 다마신 공정 집적을 위한 종래 기술의 방법을 도시하는 도면,
도 11은 도 10보다 적은 단계를 포함하는 본 발명에 따른 이중 다마신 공정 집적의 방법을 도시하는 도면,
도 12는 이온 주입 하드 마스크를 제조하고 그와 함께 이온을 주입하는 종래 기술의 방법을 도시하는 도면,
도 13은 본 발명의 일 실시예에 따른 이온 주입 하드 마스크의 제조 및 그와 함께 이온을 주입하는 방법을 도시하는 도면,
도 14는 두 개의 서로 다른 전구체로 형성된 ZrO2 막에 의해 나타내어진 서로 다른 굴절율 성질을 도시하는 도면,
도 15는 열 및 광화학 변환에 의해 형성된 다양한 ZrO2 막의 굴절률을 도시하는 도면,
도 16은 전구체 용액을 기판에 도포 한 후에 특정 용제의 사용에 의해 유발되는 줄무늬을 도시하는 도면,
도 17은 BST를 위한 열 접촉 곡선을 도시하는 도면,
도 18은 BST를 위한 광화학 접촉 곡선을 도시하는 도면,
도 19는 BST를 위한 결합된 열/광화학 접촉 곡선을 도시하는 도면,
도 20은 하드 베이크된 노볼락(hard baked Novolac)을 위한 두께 대 에칭 시간의 그래프, 및
도 21은 완전히 변환된 PZT 대 TiO2를 위한 두께 대 에칭 시간의 그래프.
본 발명의 공정은 패턴화된 하드 마스크를 제공하여, 종래의 TSI 및 이온 주입 공정에 사용되는 산화물 및 포토레지스트 층 모두를 교체하고, 예를 들어, 수행되어야 하는 공정 단계의 수를 감소시켜 방법을 단순화시킨다. 본 발명의 다른 장점은 만들어지는 재료가 플라즈마 에칭 화학처리에 대해 보다 나은 에칭 저항을 갖는다는 점이다. 이는 하드 마스크로서 극히 얇은 막의 사용을 가능하게 하여, 포토리소그래픽 공정의 궁극적인 해상도를 증가시키고 보다 작고 정교한 피쳐(features)의 형성을 가능하게 한다. 본 발명의 또 다른 장점은, 만들어지는 재료가 보다 나은 이온 주입 차단 및 정지력을 갖는 다는 점이다. 부수적으로, 본 발명의 공정은, 기술 분야에서 종래의 공정에 의해서는 에칭하기가 힘들거나 불가능한 것으로 알려진, 플래티넘, 이리듐, 이리듐 산화물, 루테늄 및 루테늄 산화물과 같은 패턴화 층을 위한 새로운 재료의 사용을 용이하게 한다는 점이다.
본 발명의 한 실시예는:
- 적어도 하나의 전구체 재료를 선택하는 단계,
- 기판 위에 전구체를 구비하는 층을 형성하는 단계,
- 전구체 층을 현상하여, 전구체 층에 패턴을 형성하는 단계, 및
- 패턴을 기판으로 전사하여 패턴을 형성하는데 포토레지스트가 사용되지 않게 되는 방법을 포함하는, 기판 상에 하드 마스크를 형성하는 방법이다.
전구체 층의 변환되지 않은 부분은 현상제로 현상될 수 있다. 선택적으로, 전구체 층의 변환된 부분은 현상제로 현상될 수 있다. 현상제는, 적어도 하나의 알콜과 적어도 하나의 케톤(ketone)을 구비하는 액체 현상제일 수 있는데, 여기서, 알콜 모두의 전체 체적은 알콜의 전체 체적과 액체 현상제에 있는 케톤의 전체 체적의 합의 50% 이상이다. 바람직하게, 현상제의 적어도 하나의 알콜은 이소프로필 알콜(isopropyl alcohol)이고, 적어도 하나의 케톤은 메틸 이소부틸 케톤이고, 이소프로필 알콜:메틸 이소부틸 케톤의 비율은 체적으로 약 1:1 이상 체적으로 약 40:1 미만 범위이다.
본 발명의 다른 실시예는:
- 적어도 하나의 전구체 재료를 선택하는 단계,
- 선택적으로, 기판 위에 보호층을 형성하는 단계,
- 보호층 위에 변환되지 않은 전구체를 구비하는 층을 형성하는 단계,
- 변환되지 않은 전구체 층의 적어도 일부를 부분적으로 변환하는 단계,
- 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하여 패턴을 형성하는 단계, 및
- 부분적으로 변환된 전구체 층의 적어도 일부를 변환하여 하드 마스크를 형성하는 단계를 포함하는, 기판 상에 하드 마스크를 형성하는 방법이다.
부분 변환은 에너지원을 실질적으로 투과하는 적어도 한 부분을 구비하는 패턴화된 마스크를 통해, 광, 전자 빔 복사, 이온 빔 복사 및 그 혼합 중에서 선택된 에너지원으로 달성될 수 있다. 변환되지 않은 전구체 층의 적어도 일부는 현상제를 사용하여 실질적으로 제거될 수 있다. 변환은 광, 전자 빔 복사, 이온 빔 복사, 열처리 및 그 혼합 중에서 선택된 에너지원으로 달성될 수 있다.
본 발명의 대체 실시예는:
- 적어도 하나의 전구체 재료를 선택하는 단계,
- 기판 위에 변환되지 않은 전구체를 구비하는 층을 형성하는 단계,
- 변환되지 않은 전구체 층의 적어도 일부를 부분적으로 변환하는 단계,
- 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하는 단계,
- 부분적으로 변환된 전구체 층의 적어도 일부를 변환하여 하드 마스크를 형성하는 단계, 및
- 하드 마스크에 의해 실질적으로 덮이지 않은 기판의 적어도 일부를 에칭하여 기판에 적어도 하나의 패턴화된 영역을 형성하는 단계를 포함하는, 기판에 에칭된 패턴을 형성하는 방법이다.
본 발명의 또 다른 실시예는:
- 금속 복합체(metal complex)를 구비하는 적어도 하나의 전구체 재료를 선택하는 단계,
- 기판 상에 패턴 전사 층을 형성하는 단계,
- 패턴 전사 층 위에 변환되지 않은 전구체를 구비하는 층을 형성하는 단계;
- 광을 실질적으로 투과하는 적어도 한 부분을 구비하는 패턴화된 마스크를 통해 변환되지 않은 전구체 층의 일부를 광에 노출시켜, 노출된 부분을 부분적으로 변환시키는 단계,
- 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하는 단계,
- 부분적으로 변환된 전구체 층의 적어도 일부를 변환하여 하드 마스크를 형성하는 단계,
- 적어도 부분적으로 변환된 전구체 및 패턴 전사층의 덮이지 않은 부분을 에칭하여, 덮이지 않은 패턴 전사층으로부터 적어도 하나의 에칭된 부분을 형성하는 단계, 및
- 나머지 적어도 하나의 부분적으로 변환된 전구체 층 및 패턴 전사층을 실질적으로 제거하여, 기판의 적어도 한 일부를 벗기는 단계를 포함하는, 패턴화된 얇은 상면을 형성하는 방법이다.
패턴 전사층은 포토레지스트, 폴리이미드, 폴리(메틸 메타아크릴레이트), Novolac 및 에폭시로부터 선태될 수 있다.
본 발명의 다른 실시예는:
- 금속 복합체(metal complex)를 구비하는 적어도 하나의 전구체 재료를 선택하는 단계,
- 기판 상에 릴리스 층(release layer)을 형성하는 단계,
- 릴리스 층 위에 리프트오프 층을 형성하는 단계,
- 리프트오프 층 위에 변환되지 않은 전구체를 구비하는 층을 형성하는 단계,
- 광을 실질적으로 투과하는 적어도 한 부분을 구비하는 패턴화된 마스크를 통해 변환되지 않은 전구체 층의 일부를 광에 노출시켜, 노출된 부분을 부분적으로 변환시키는 단계,
- 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하여, 리프트오프 층의 나머니 부분이 부분적으로 변환된 전구체 층에 의해 덮인채 리프트오프 층의 적어도 일부를 노출시키는 단계,
- 부분적으로 변환된 전구체 층의 적어도 일부를 변환하여 하드 마스크를 형성하는 단계,
- 적어도 부분적으로 변환된 전구체 및 리프트오프 층의 덮이지 않은 부분을 이방성 제거 수단에 노출시켜, 리프트오프 층의 덮이지 않은 부분 및 리프트오프 층의 덮이지 않은 부분 하부의 릴리스 층의 적어도 일부를 실질적으로 제거하고, 기판의 적어도 일부를 노출시키는 단계,
- 적어도 부분적으로 변환된 전구체, 리프트오프 층의 덮인 부분, 및 리프트오프 층의 덮인 부분 밑의 릴리스 층의 적어도 일부를 등방성 제거 수단에 노출시키는 단계,
- 노출된 기판의 적어도 일부 위 및 적어도 부분적으로 변환된 전구체 위에 금속의 막을 증착시키는 단계,
- 적어도 부분적으로 변환된 전구체 위의 금속을 실질적으로 제거하는 단계, 및
- 나머지 적어도 부분적으로 변환된 전구체, 리프트오프 층, 릴리스 층을 실질적으로 제거하여, 기판 위에 금속의 패턴을 형성하는 단계를 포함하는, 리프트오프 층(liftoff layer) 위에 패턴화된 얇은 상면을 형성하는 방법이다.
본 발명의 부수적인 실시예는:
- 금속 복합체(metal complex)를 구비하는 적어도 하나의 전구체 재료를 선택하는 단계,
- 기판 상에 변환되지 않은 전구체 재료를 구비하는 층을 형성하는 단계,
- 변환되지 않은 전구체 층의 적어도 일부를 부분적으로 변환하는 단계,
- 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하여, 부분적으로 변환된 전구체 부분에 안쪽으로 테이퍼진 측면 프로필을 형성하고, 기판의 적어도 일부를 노출시키는 단계,
- 부분적으로 변환된 전구체 층의 적어도 일부를 변환시켜 하드 마스크를 형성하는 단계,
- 노출된 기판의 적어도 일부 위 및 적어도 부분적으로 변환된 전구체 위에 금속의 막을 증착시키는 단계,
- 적어도 부분적으로 변환된 전구체 위의 금속을 실질적으로 제거하는 단계, 및
- 나머지 적어도 부분적으로 변환된 전구체를 실질적으로 제거하여 기판 웨이 금속의 패턴을 형성하는 단계를 포함하는, 리프트오프 층(liftoff layer) 위에 패턴화된 얇은 상면을 형성하는 방법이다.
부분 변환은 에너지원을 실질적으로 투과하는 적어도 한 부분을 구비하는 패턴화된 마스크를 통해, 광, 전자 빔 복사, 이온 빔 복사 및 그 혼합 중에서 선택된 에너지원으로 달성될 수 있다. 변환은 광, 전자 빔 복사, 이온 빔 복사, 열처리 및 그 혼합 중에서 선택된 에너지원으로 달성될 수 있다. 이온들은 덮이지 않은 기판을 이온 빔에 노출시켜 주입될 수 있다.
본 발명의 다른 실시예는:
- 적어도 하나의 전구체 재료를 선택하는 단계,
- 기판 위에 특성 두계를 갖는 유전체 층을 형성하는 단계,
- 유전체 층 위에 제1 변환되지 않은 전구체를 포함하는 층을 형성하는 단계,
- 제1 부분 변환 수단을 사용하여, 제1 변환되지 않은 전구체 층의 적어도 일부 위에 제1 전구체 층의 부분적으로 변환된 부분을 형성하는 단계,
- 제1 제거 수단을 사용하여 적어도 부분적으로 변환된 제1 전구체 층에 의해 덮이지 않은 제1 패턴을 형성하기 위해, 제1 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하여 유전체 층의 적어도 일부를 노출시키기는 단계,
- 제1 변환 수단을 사용하여, 부분적으로 변환된 제1 전구체 층의 적어도 일부 위에 하드 마스크를 형성하는 단계,
- 유전체 층의 노출된 부분 위 및 적어도 부분적으로 변환된 제1 전구체 층 위에 스핀 평탄화 층을 형성하는 단계,
- 스핀 평탄화 층 위에 제2 변환되지 않은 전구체를 포함하는 층을 형성하는단계,
- 제2 부분 변환 수단을 사용하여, 변환되지 않은 제2 전구체 층의 적어도 일부 위에 제2 전구체의 적어도 부분적으로 변환된 부분을 형성하는 단계,
- 제2 제거 수단을 사용하여 적어도 부분적으로 변환된 제2 전구체 층에 의해 덮이지 않은 제2 패턴을 형성하기 위해, 제2 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하여 스핀 평탄화 층의 적어도 일부를 노출시키는 단계,
- 제2 변환 수단을 사용하여, 부분적으로 변환된 제2 전구체 층의 적어도 일부 위에 하드 마스크를 형성하는 단계,
- 유전체 층의 두께 미만이 제1 에칭 수단에 의해 제거되도록, 제1 에칭 수단을 사용하여, 유전체 층의 적어도 일부 및 제2 하드 마스크에 의해 실질적으로 덮이지 않은 위의 스핀 평탄화 층 위에 유전체 층 내의 적어도 제2 패턴화된 영역을 형성하는 단계,
- 제3 제거 수단을 사용하여, 나머지 적어도 부분적으로 변환된 제2 전구체 층 및 스핀 평탄화 층을 실질적으로 제거하여, 적어도 부분적으로 변환된 전구체 층을 노출시키는 단계,
- 유전체 층 두께 미만이 제1 패턴화된 영역 내의 제2 에칭 수단에 의해 제거되고 유전체의 실질적으로 전체 두께가 제2 패턴화된 영역 내의 제2 에칭 수단에 의해 제거되도록, 제2 에칭 수단을 사용하여, 제1 하드 마스크에 의해 실질적으로 덮이지 않은 유전체 층의 적어도 일부 위에 유전체 층 내의 적어도 하나의 제1 패턴화된 영역을 형성하여, 기판의 적어도 일부를 노출시키는 단계, 및
- 선택적으로, 제4 제거 수단을 사용하여 나머지 적어도 부분적으로 변환된 제1 전구체 층을 실질적으로 제거하는 단계를 포함하는, 유전체 층에 이중 다마신 아키텍춰를 형성하는 방법이다.
바람직하게, 유전체 층은 저 유전상수 유전체 재료이다.
이들 실시예의 각각에서, 바람직한 전구체 재료는 acac, 카르복실아토(carboxylato), 알콕시(alkoxy), 아지드(azide), 카르보닐(carbonyl), 니트라토(nitrato), 아민, 할리드(halide), 니트로, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 리간드(ligand); 및 Li, Al, Si, Ti, V, Cr, Mn, Fe, Ni, Co, Cu, Zn, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Ba, La, Pr, Sm, Eu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Th, U, Sb, As, Ce, Mg, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 금속을 구비하는 금속 복합체(metal complex)이다.
본 발명은 다른 막 증착 및 형성 방법으로는 이용가능하지 않은 장점을 가능하게 한다. 결국, 사용자로 하여금 결과로 나타나는 막의 특성을 제어하고 조작하여 소정의 어플리케이션에 적합하게 할 수 있는 보다 큰 능력을 제공한다. 따라서, 본 발명에 따른 공정은 광범위한 어플리케이션에 이용 가능하다.
본 발명은, 소정의 재료의 패턴 막을 제조하는 공정을 제공한다. 비정질 막은 다결정 및 결정막과 구별되는 한편, 비정질 막은 보다 정렬된 막에 의해 형성된 서로 다른 비정질 막과는 구별되며, 또한 서로 다른 막 형성 방법에 의해 형성된 서로 다른 비정질 막들이 상호 다르다는 것을 인식하는 것은 중요하다. 더욱이, 서로 다른 방법에 의해 형성된 서로 다른 비정질 막의 서로 다른 성질은 제어될 수 있고, 예를 들어 반도체 장치 및/또는 그 제조에서 층(들)으로서 특정 어플리케이션에 유용한 특정 화학적, 물리적 기계적 성질에 영향을 받는다. 이와 같은 비정질 막을 적어도 부분적으로 변환하는 단계를 포함하는 본 발명에 의해 형성된 하드 마스크는 바람직하게 패턴화되고, 따라서 기판으로 패턴을 전사하는데 유용하다. 하드 마스크는 주입 마스크, 에칭 마스크 및 패턴 전사층(pattern transfer layer) 또는 마스크, 즉 리프트오프 마스크(liftoff mask)를 포함하지만 이에 한정되지 않는다.
본 공정의 한 실시예의 한 가지 장점은, 패턴을 형성하는데 있어서, 종래의 패터닝 재료, 즉 포토레지스트를 사용하지 않고 패턴화된 전자 재료를 만든다는 점이다. 본 공정의 다른 장점은, 형성될 수 있는 전구체 재료의 비정질 막이 중간 패터닝 재료를 사용하지 않고 기판 상에 광학적으로 직접 패턴화될 수 있다는 점이다. 공정 변수의 이해의 결과, 다른 막 형성 및 증착 방법을 사용하지 않는 본 증착 공정을 통해 중요하고 유일한 성질이 얻어질 수 있다. 도 1은 특정 어플리케이션을 위한 최적화된 성질을 갖는 소정의 재료의 막을 얻기 위해 실시될 수 있는 예시적인 단계를 도시하는 공정 순서도에 의해 본 공정의 개요를 제공한다. 많은 이들 단계들은 막의 궁극적인 어플리케이션에 기초하여 완전히 광학적이다. 본 발명은 또한 이들 단계에 한정되어 있지 않고, 막의 궁극적인 어플리케이션에 기초하여 다른 단계를 포함할 수 있다. 기술분야의 숙련자는 특정 어플리케이션을 위한 소정 결과를 얻기 위해 어떤 단계들이 포함되거나 제외되어야 하는 지를 알 것이다.
각각의 단계에서, 결과로 나타나는 막에 영향을 미치도록 조작될 수 있는 변수가 존재한다. 예를 들어, 도 1의 단계 1에서, 변수들은 전구체 재료, 용제가 전구체 재료에 사용되는 지에 따라 전구체 재료에 사용된 용제, 전구체 재료와 함께 사용될 수 있는 첨가물 및/또는 전구체 재료와 함께 포함될 수 있는 속도 증강제의 조합을 포함할 수 있다.
도 1의 단계 2에서, 변수는 기판의 클리닝, 장벽층의 증착, 접착 개선제의 증착, 및/또는 반응층의 사용을 포함할 수 있다.
도 1의 단계 3에서, 변수는 전구체 막을 도포하는 방법, 전구체가 도포되는 분위기, 및/또는 증착 온도를 포함할 수 있다.
도 1의 단계 4에서, 변수는 열 처리, 전자 빔에 의한 열 처리, 이온 빔에 의한 열 처리, 마이크로웨이브를 사용한 처리, 및/또는 특정 분위기의 사용을 포함할 수 있다.
도 1의 단계 5에서, 변수는 막이 노출되거나 패턴화된 블랭킷인지 또는 각각의 혼합물 및/또는 특정 분위기의 사용을 포함할 수 있다.
도 1의 단계 6에서, 변수는 열 처리, 마이크로웨이브를 이용한 처리, 전자 빔에 의한 처리, 이온 빔에 의한 처리, 도금, 및/또는 특정 분위기의 사용을 포함할 수 잇다.
도 1의 단계 7에서, 변수는 습식 또는 건식 기술에 의한 패터닝을 포함할 수 있다.
도 1의 단계 8에서, 변수는 열처리 및/또는 특정 분위기의 사용을 포함할 수 있다. 도한, 이 단계에서, 형성되는 임의의 비정질 막은, 즉 상승된 온도를 인가 또는 광 유도 핵화와 같은 다양한 다른 핵화 공정에 의해 다결정 또는 결정막으로 변형될 수 있고, 일부 어플리케이션을 위해 이는 바람직한 공정 단계일 수 있다.
이들 변수는 예로서 의도되었고, 결과로 나타나는 막의 성질에 영향을 미치도록 조작될 수 있는 모든 변수인 것으로 간주되어서는 않된다. 본 발명의 보다 특정한 예 및 실시예가 이하에 상세히 설명된다.
패턴화된 막이 필요하면, 이하에 설명되는 공정은 중간 패터닝 재료, 즉 포토레지스트를 사용하지 않고 광화학적으로 진행할 수 있고, 분위기 조건 하에서 진행되거나 또는 공기 또는 다른 조성 분위기와 같은 다른 조건 및/또는 다양한 압력, 분위기, 보다 높거나 낮은 분위기 하에서 수행될 수 있고, 유일한 재료, 층 및 구조를 만들기 위해 다양한 다른 공정 단계와 결합하여 사용될 수 있다.
공정이 광분해적으로 수행되는 경우, 공정은 실질적으로 분위기 온도에서 진행하는 한편, 다른 종래 기술의 방법은 패턴 전사를 실시하기 위해 상승된 온도 흔히 100℃ 이상의 온도의 사용을 필요로 한다. 이와 같은 한계는 제조 관점에서 볼 때 엄격한 공정 한계를 제시하며, 방법의 어플리케이션에 연관된 장치의 조립에 사용되는 재료의 선택을 제한한다.
본 발명의 공정은, 흔히 실질적으로 분위기 온도 하에서 만족할 만하게 진행한다. 이와 대조적으로, 대부분의 종래 기술의 증착 방법은, 상술한 한계를 갖는 것 이외에, 높은 진공 조건 하에서 수행되어야 하기 때문에, 실행하고 유지하기 힘든 값비싸고 복잡한 장치를 필요하게 된다.
본 발명의 공정들은 전구체 재료로부터 기판 상에 얇은 층의 형성을 용이하게 한다. 전구체는, 특히 균일한 방식으로 기판을 코팅하여, 고 광학 품질의 막, 본 공정의 경우에 감광성을 갖는 능력을 갖도록 설계된 분자를 구비한다. 전구체분자는 큰 변수 - 적어도 하나의 금속("M") 및 적어도 하나의 적합한 리간드(ligand) ("L") 또는 리간드들을 구비하는 공식 MaLb (여기서, a는 적어도 1인 정수이고 b는 적어도 1인 정수임)의 광범위한 금속 합성물이다.
만일 복수의 금속들이 사용되면, 모든 금속 원자들은 동일하고, 모두 서로 다른 원자를 가질 수 있거/있거나 서로 다른 원자가, 즉 Ba Na 또는 Fe(II)Fe(II)를 가질 수 있거나, 일부는 동일하지만 다른 것은 서로 다른 원자를 가질 수 있고/있거나 서로 다른 원자가, 즉 Ba2Fe(II)Fe(III)를 가질 수 있다. 어떠한 경우에든, 금속 M은 알칼리 또는 알칼라 토류, 예를 들어 Ba 또는 Li, 천이 금속, 예를 들어 Cr 또는 Ni, 주 그룹 금속(main ground metal), 예를 들어 Al 또는 Sn, 또는 악티나이드(actinide) 금속, 예를 들어 U 또는 Th일 수 있다. 바람직하게, 각각의 금속은 Li, Al, Si, Ti, V, Cr, Mn, Fe, Ni, Co, Cu, Zn, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Ba, La, Pr, Sm, Eu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Th, U, Sb, As, Ce 및 Mg 중에서 독립적으로 선택된다.
만일 복수의 리간드가 사용되면, 모든 리간드들은 동일한 수 있는데, 이들은 서로 다른 수 있거나, 또는 일부는 동일하고 다른 것들은 다를 수 있다. 어떠한 경우에든, 리간드 L은, 실질적으로 변환되지 않은 전구체 합성물이 형성될 수 있고 성질이 다음과 같도록 선택된다:
1) 기판 상에 비정질막에 증착될 수 있다.
2) 비정질 막은 안정하거나 또는 적어도 준안정이다.
3) 에너지의 흡수시, 즉 요구되는 에너지의 광자의 흡수시, 막은 화학 반응을 통해 서로 다른 금속 함유 재료에 변형될 수 있다.
4) 에너지 유도 화학 반응의 어떠한 부산물이 제거할 수 있어야, 즉 막으로부터 제거할 수 있도록 하기 위해 충분히 휘발성이어야 한다.
이들 결과중 첫 번째 두 개를 달성하기 위해, 합성물은 저 극성 및 낮은 분자간력(low intermolecular force)을 가져야 한다. 유기 그룹은 흔히 낮은 분자간력을 가지기 때문에, 그 외각 주변에 유기 그룹을 갖는 리간드는 첫 번째 두 가지 조건을 만족시키는 경향이 있다. 만일 흡수된 에너지가 광이면, 단계(3)의 화학 반응은 광 유도 반응으로서 알려진다.
실질적으로 변형되지 않은 전구체의 증착막은 비정질 또는 적어도 실질적으로 비정질이다. 따라서, 금속 합성물이 결정화에 저항하도록 하기 위해서는, 리간드(L)는 바람직하게, 합성물이 비대칭이 되도록 되어야 한다. 합성물은 그 자신이 두 개 이상의 입체 이성체 형태를 갖는 리간드를 사용하여 비대칭으로 될 수 있다. 예를 들어, 만일 L이 2-에틸헥사노에이트(2-ethylhexanoate)이면, 합성물이 몇 개의 서로 다른 입체 이성체 형태를 갖기 때문에 결과로 나타나는 금속 합성물은 비대칭이다. 리간드의 유기 부분의 형태 및 크기는 막 안정성을 최적화시키고, 선택된 막 증착 공정에 의해 증착될 막의 두께를 조정하도록 선택될 수 있다.
결정화에 대한 비정질 막의 안정성은 또한 각각의 금속 원자에 부착된 몇 개의 서로 다른 리간드를 갖는 합성물의 막을 제조하므로써 증가될 수 있다. 이와 같은 금속 합성물들은 이성체 형태를 갖는다. 예를 들어, 니켈(II) 염 및 KNCS의 합성에 대한 CH3HNCH2CH2NHCH3의 반응으로 이성체의 합성물이 제조되게 된다. 서로 다른 이성체의 화학적 성질은 크게 다르지 않은 것으로 공지되어 있지만, 막 내의 몇몇 이성체의 존재는 막 내의 합성물의 결정화를 손상시킨다.
합성물은 또한, 공정 조건 하에서 급속하게 그리고 순간적으로 분해하지 않는 안정하거나 적어도 준안정하여야 한다. 소정 금속의 합성물의 안정성은, 예를 들어 합성물 내의 금속의 산화 상태에 따라 다를 수 있다. 예를 들어, Ni(0) 합성물은 대기에서 불안정한 한편 Ni(II) 합성물은 대기 안정하다. 결국, 대기 분위기에서 공정 단계를 포함하는 NI 기본 막을 증착하는 공정은 Ni(0) 합성물 보다 우선하여 Ni(II) 합성물을 포함하여야 한다.
부분적인 변환 및 변환은, 부분적으로 변환되거나 변환된 영역을 소정의 변환된 재료로 변경시키는 막 내의 화학 반응의 결과이다. 이상적으로, 합성물이 부분적인 변환 수단 및/또는 변환 수단의 영향에 의해 여기 상태로 상승될 때, 적어도 하나의 리간드가 쪼개어진 본드에 의해 합성물에 반응하고 부착되어야 한다. 바람직하게, 반응 그룹은 부분 변환 수단 및/또는 변환 수단으로서 빛, 보다 바람직하게 자외선에 의해 초기화되는 광화학 반응에서 합성물로부터 분리된다. 공정에서의 이와 같은 광화학 단계(들)들이 보다 효율적으로 되기 위해서는, 반응 그룹이 불안정하고 순간적으로 쪼개어질 때 만들어지는 중간 제품이 소정의 새로운 재료 및 휘발성 부산물(들)로 변환되는 것이 극히 바람직하다.
적합한 광화학 반응을 발생시킬 수 있는 몇가지 메커니즘이 존재한다. 본 발명에 따라 개별적으로 또는 조합하여 운영할 수 있는 적합한 반응 메커니즘의 일부 예는 다음과 같다:
(a) 광자의 흡수는 리간드(ligand) 내의 복합체(complex)를 금속 복합체에서 금속 대 리간드 결합(metal-to-ligand bond)이 불안정한 금속 전하 전달 여기 상태(ligand to metal charge transfer excited state)로 놓게 할 수 있고, 결합은 파괴되고 복합체의 나머지 부분은 순간적으로 분해한다. (b) 광자의 흡수는 복합체를 복합체 내의 금속 대 리간드 결합이 불안정한 금속 대 리간드 전하 전달 여기 상태(metal-to-ligand charge transfer excited state)로 놓게 할 수 있고, 결합은 파괴되고 복합체의 나머지 부분은 순간적으로 분해한다. (c) 광자의 흡수는 복합체는 복합체 내의 금속 대 리간드 결합이 불안정한 d-d- 여기 상태로 놓게 할 수 있고, 결합은 파괴되고 복합체의 나머지 부분은 순간적으로 분해한다. (b) 광자의 흡수는 복합체를 복합체 내의 금속 대 리간드 결합이 불안정한 분자간 전하 전달 여기 상태로 놓게 할 수 있고, 결합은 파괴되고 복합체의 나머지 부분은 순간적으로 분해한다. (e) 광자의 흡수는 복합체의 적어도 하나의 리간드가, 여기 리간드와 복합체 간의 결합이 불안정한 국부화된 리간드 여기 상태(localized ligand excited state)로 놓게 할 수 있고, 결합은 파괴되고 복합체의 나머지 부분은 순간적으로 분해한다. (f) 광자의 흡수는 복합체를 복합체 중의 적어도 하나의 리간드가 불안정하고 분해하도록 분자간 전하 전달 여기 상태로 만들고, 복합체의 나머지 부분은 불안정하고 순간적으로 분해한다. (g) 광자의 흡수는 복합체 중의 적어도 하나의 리간드를 여기된 리간드가 불안정하고 분해하는 국부화된 리간드 여기 상태로 만들고, 복합체의 나머지 부분은 불안정하고 순간적으로 분해한다. (h) 광자의 흡수는 복합체는 복합체 중의 적어도 하나의 리간드가 불안정하고 분해하는 금속대 리간드 전하 전달 여기 상태로 만들고, 복합체의 나머지 부분은 불안정하고 순간적으로 분해한다. 그 광범위한 특징에서, 본 발명은 이들 반응 메커니즘에 한정되지 않는다.
예시적인 금속 복합체, 및 그 금속 및 리간드 성분은 그 전문이 본 발명의 참조로서 일체화되는 미국특허 제5,534,312호에 개시된다. 바람직한 금속 복합체 전구체는 위의 기준을 만족하는 리간드를 포함한다. 보다 바람직하게, 리간드는 아세틸아세토네이트(acetylacetonate)(또한 "acac" 또는 2,4-pentanedione라고도 함) 및 그 음이온, 대체 아레틸아세토네이트, 즉
및 그 음이온, 아세토닐아세톤(acetonylacetone)(2,5-hexanedione라고도 함) 및 그 음이온, 대체 아세토닐아세톤, 즉
및 그 음이온, 디아킬디티오카바메이트(diakyldithiocarbamates), 즉
및 그 음이온, 카르복실 산(carboxylic acids), 즉
예를 들어,헥사노익(hexanoic)(여기서 R=CH3(CH2)4, 카르복실레이트
예를 들어,헥사노에이트(hexanoate)(여기서 R=CH3(CH2)4, 피리딘(pyridine) 및/또는 대체 피리딘, 즉
아지데(azide), 즉 N3-, 아만, 즉 RNH2, 디아민, 즉 H2NRNH2, 아르신(arsine), 즉
디아리신(diarsines), 즉
포스파인(phosphines), 즉
디포스파인(diphosphines), 죽
아레sp(arenes), 즉
을 구성된 그룹 중에서 선택된다.
하이드록시, 즉 OH-, 알콕시 리간드, 즉 RO--, (C2(H5)2NCH2CH2O-와 같은 리간드, 알킬 리간드, 즉 R-, 아릴(aryl) 리간드, 및 그 혼합물 (여기서, R, R', R'', R''' 및 R''''은 유기 그룹중에서 독립적으로 선택되고 바람직하게 알킬(alkyl), 알케닐(alkenyl), 아랄키닐(aralkyl) 및 아랄케닐(aralkenyl) 그룹중에서 독립적으로 선택된다.
본 발명에 사용되는 바와 같이, "알킬"이라는 용어는 직선 또는 분기 탄화수소 체인을 지칭한다. 본 발명에 사용되는 바와 같이, 위상 직선 체인 또는 분기된 체인 탄화수소 체인은 알카인(alkanes), 알케인(alkenes) 및 알키네(alkynes)를 포함하는 임의의 대체 또는 대체되지 않은 아싸이클릭(acyclic) 탄소 함유 화합물을 의미한다. 알킬 그룹의 예는 저 알킬, 예를 들어, 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, 터트부틸(tert-butyl) 또는 이소헥실(iso-hexyl); 상부 알킬, 예를 들어 n-헵틸, -옥틸(octyl), 이소옥틸, 노닐(nonyl), 디실(decyl) 등; 하부 알킬레인, 예를 들어 에틸렌, 프로필렌(propylene), 프로필리니(propylyne), 부틸렌, 부타딘, 펜틴, n-헥센(hexene) 또는 이소부틴; 및 상부 알킬레인, 예를 들어 n-헵틴, n-옥틴, 이소옥틴, 노닌, 디신 등을 포함한다. 기술분야의 숙련자는 본 발명의 범위에 포함되는, 무수히 많은 직선, 즉 선형 빈 분기 알킬 그룹일 알 것이다. 또한, 이와 같은 알킬 그룹은 또한, 하나 이상의 수소 원자가 기능성 그룹 또는 인-체인(in-chain) 기능성 그룹에의해 교체되는 다양한 대치물을 포함한다.
본 발명에 사용되는 바와 같이, "알케닐(alkenyl)"이라는 용어는 탄소-탄소 연결중의 적어도 하나가 탄소-탄소 이중 결합인 직선 또는 분기된 탄화수소 체인을 지칭한다. 본 발명에 사용되는 바와 같이, "아랄킬(aralkyl)"이라는 용어는 적어도 하나의 아릴 그룹, 즉 용어상으로 벤조닐로 대체되는 알킬 그룹을 지칭한다. 본 발명에 사용되는 바와 같이, "아알케닐(aralkenyl)"이라는 용어는 적어도 하나의 아릴 그룹으로 용어상으로 교체되는 알케닐 그룹을 지칭한다. 본 발명에 사용되는 바와 같이, "아릴(alyl)"이라는 용어는 흔히 적어도 5π(pi) 전자를 포함하는 공액 이중 결합 시스템을 띠는 탄화수소 링을 지칭한다. 아릴 그룹의 예는 페닐, 냅페틸(naphthyl), 아니실(anisyl),톨루일(toluyl), 자레닐(xylenyl)등을 포함하지만 이에 한정되지 않는다.
본 발명의 문장에서 "기능성 그룹(functional grounp)"이라는 용어는 기술분야의 숙련자가 알 수 있는 바와 같이, 부분 소유 인-체인(moiety possessing in-chain) 및/또는 종단 기능(terminal functionality)을 광범위하게 지칭한다. 인-체인 기능 그룹의 예는, 에티르, 에스터, 아미드, 우레탄, 및 그 황(thio)-파생물일 수 있는데, 여기서 적어도 하나의 산소 원자는 황 원자에 의해 교체된다. 페던트(pedant) 및/또는 종단 기능 그룹의 예는 플루오르 및 클르로와 같은 할로겐, 및 하이드록실, 아미노, 카르복실, 황 및 아미도(amido), 이소사이네이트, 사이노(cyano), 에폭시, 및 아릴리(allyl), 아크릴로일(acryloyl) 및 메타아크릴로일(methacryloyl)과 같은 에틸렌티컬리 포화되지 않은 그룹, 멜레이트(maleate) 및 멜레이미도(maleimido)일 수 있다.
순간적으로 열적으로 분해하는 광화학 반응의 제품의 경향을 포함하는 소정의 광화학 특성을 증가시키기 위해, 다른 그룹을 포함하고/하거나 이들 중의 하나 이상 중에서 선택된 리간드가 단독으로 사용되거나 또는 열거된 리간드와 결합하여 사용될 수 있다. 즉 옥소(oxo),
옥사라토(oxalato), 즉
할리드(halide), 수소, 수소화물, 즉 H-, 이수소화물, 즉 H2, 하이드록시, 사이네오(cyano), 즉 CN-, 카보닐, 니트로(nitro), 즉 NO2, 니트리토(nitrito), 즉 NO2-, 니트라테(nitrate), 즉 NO3, 니트리토(nitrito), 즉 NO3-, 니트로실(nitrosyl), NO, 에틸렌, 아세틸렌 등,
티아시아네이트(thiocyanato), 즉 SCN-,이소티아시아네이트, 즉 NCSN-, 아퀴오(aquo), 즉 H2O,아지드(azide), 카보네이트, 즉 CO3-2, 아민, 및 티오카르보닐 (여기서, 각각의 R 및 R'는 유기 그룹 중에서 독립적으로 선택되고, 바람직하게 알킬, 알케닐, 아랄킬 및 아날케닐 그룹 중에서 독립적으로 선택된다. 보다 바람직하게, 각각의 리간드는 acac, 카르복실레이트, 알콕시, 옥소라토(oxolato), 아지드(azide), 카르복실, 니트로, 니트라토, 아민, 할로겐 및 그 음이온 중에서 독립적으로 선택된다.
바람직하게, 금속 복합체 전구체는 acac, 카르복실라도(carboxylato), 알콕시, 아지드(azide), 카르복실, 니트라토, 아민, 할로겐, 니트로 및 그 혼합물, 및 Li, Al, Si, Ti, V, Cr, Mn, Fe, Ni, Co, Cu, Zn, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Ba, La, Pr, Sm, Eu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Th, U, Sb, As, Ce, Mg 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 금속 중에서 선택된다.
전구체는 기판에 직접 도포될 수 있다. 선택적으로 그리고 바람직하게, 전구체는 용제 또는 용제들에서 용해되어 전구체 용액을 형성한다. 이는 용액을 기판에 스핀 또는 스프레이 도포와 같은 것에 의해, 기술분야의 숙련자에 공지된 다양한 수단에의해 기판에의 도포를 용이하게 한다. 용제는 전구체를 용해시키는 용제의 능력, 전구체에 대한 용제의 불활성, 용제의 점도, 용제 내의 산소 또는 다른 분위기 또는 다른 기체의 용해성, U 용제의 V, 가시광선, 및/또는 적외선 흡수 스펙트럼, 전자 및/또는 이온 빔에 대한 용제의 흡수 단면, 용제의 휘발성, 다음에 형성되는 막을 통해 확산하는 용제의 능력, 서로 다른 용제 이성체의 존재에 대한 옹제의 순도, 용제의 열 안정성, 다음에 형성되는 막 내의 결함 또는 핵 사이트에 영향을 미치는 용제의 능력, 및 용제에 관련된 환경 고려를 포함하는, 몇가지 기준에 기초하여 개별적으로 또는 결합하여 선택될 수 있다. 예시적인 용제는 헥산과같은 알카네, 메틸 이소부틸 케톤("MIBK") 및 메틸 에틸 케톤("MEK")과 같은 케톤(ketones), 및 프로필린 글리콜 모노메틸 에테르 아세테이트("PGMEA")을 포함한다.
용액 내의 전구체의 농도는 광범위한 범위에 걸쳐 다를 수 있고, 기술분야의 숙련자에 의해, 그 두께 및/또는 광 또는 입자 빔에 의한 자극에 대한 감도를 포함하는 전구체 막의 성질이 소정의 어플리케이션에 적합하도록 최소 루틴 실험에 따라 선정될 수 있다.
그러나, 전구체의 선정은 기술 분야의 숙련자에 의해 용이하게 예측할 수 없는 소정의 막의 성질에 큰 영향을 미칠 수 있다. 예를 들어, 각각 금속 M과 서로 다른 리간드 세트 L 또는 L'중의 하나로 구성된 두 개의 전구체 ML 및 ML'은, 상호 다른 리간드의 부분들이 하드 마스크로 전구체를 변환시키는 동안 제거될 것이기 때문에, 동일한 소정의 재료의 막을 형성하는 것으로 예상될 수 있다. 실제로, 이들 두 개의 유사한 반응물의 가정되는 동일한 막 제품은 그 성질이 다를 수 있다. 본 공정에서 영향을 받을 수 있는 성질의 예는 유전상수, 및 막에서 임의의 보조 또는 제3기 구조의 존재/부재를 포함한다. 이와 같은 차이를 위한 가능한 이유는 비정질 재료의 형성 속도 및 소정의 재료의 광 유도 막으로부터 에너지를 제거하는 광 분출 리간드의 능력에 관련될 수 있다. 노광 공정 동안 리간드 입자의 존재는 또한 막 형성 공정에 영향을 미칠 수 있고, 막의 확산 성질, 핵화 및 결정 성장과 같은 현 상에 영향을 미친다.
더우기, 막 형성 및 광화학 노광시 전구체의 선택은, 예를 들어 소정의 막이형성되는 분위기의 기체 구성요소로서 소정의 재료의 막의 부수적인 반응성에 큰 영향을 미친다. 이는 예를 들어, 고 또는 저 속도가 소정의 제품에 따른 장점일 수 있을 때 증착된 막의 산화 속도에 영향을 미칠 수 있다. 부수적으로, 막의 치유 능력에 대한 전구체의 효과 즉 균열을 최소화시키는 능력, 및 막의 수축 또는 거칠어짐은 기술분야의 숙련자에 의해 동일한 결과를 만들어내는 것으로 보일 전구체의 선택에 의해 큰 영향을 받을 수 있는 것으로 알져지고 있다.
화학 첨가물은 선택적으로 전구체 또는 전구체 용액으로 제시된다. 이들은 다음 이유중 임의 또는 몇 가지 이유: 실질적으로 증착된 전구체 또는 막의 감광성을 제어하고, 균일하고 결함 없는 막을 기판에 증착하는 것을 보조하고, 용액의 점도를 수정하고, 막 형성의 속도를 증가시키고, 증착된 막의 후속하는 노출 동안 막의 균열을 방지하는 것을 돕고, 용액의 다른 벌크 성질을 수정하고, 그리고 소정의 재료의 막의 성질을 중요한 방식으로 수정하기 위해 제시된다. 첨가물은 적합한 용제를 선택할 때 이용되는 기준 이외에 이들 기준에 따라 선정된다. 전구체 또는 전구체 용액은 그 막 형성 성질을 개선하기 위해 특별한 오염이 없는 것이 바람직하다.
만일 사용되지 않는 다면, 비록 전구체 막의 증착 방법 및 증착을 위한 용제에 영향을 미칠지라도, 전구체가 도포되는 기판의 성질은 공정에 중요하지 않다. 기판은 CaF2과 같은 염분, 실리콘을 포함하는 반도체 표면, 실리콘 게르마늄 및 III-V 및 II-VI 반도체를 포함하는 화합물 반도체, 인쇄되고/되거나 박막화된 회로 기판, 금속, 세라믹, 및 글래스를 포함하지만 이에 한정되지 않는다. 실리콘 웨이퍼, 세라믹 기판 및 인쇄회로 기판은 광범위하게 사용되고 있다. 본 공정에서 그 사용 이전에, 기판은 유전체 층, 포토레지스트, 폴리이미드, 금속 산화물, 열 산화물, 도전 재료, 절연 재료, 강자성 재료 또는 전자 소자의 구성에 사용되는 다른 재료와 같은 단일 또는 복수의 층으로 코팅될 수 있다. 산소 플라즈마에 의해 패터닝이 영향을 받고 전구체 재료가 TSI 제로서 이용되려는 경우, 하부의 층은 Novolac 수지, 폴리(메틸 메타크릴레이트(methyl methacrylate)) ("PMMA"), 폴리(메틸 글루타르이미드(methyl glutarimide))("PMGI"), 폴리이미드, 및 폴리(p-하이드록시시트린(hydroxystyrene)("PHOST")를 포함하지만 이에 한정되지 않는다.
일단 형성된 하드 마스크에서 금속 원자가 후속하는 단계 동안 하부의 기판에 "충돌(bumped)"되는 정도로, 이는 전구체 형성 조건 및/ 두께를 주의 깊에 선택하여 극복될 수 있다. 선택적으로, 기판, 및 하드 마스크 형성 공정이 완료된 후에 기판을 보호하도록 남는 전구체 층 간에 선택적인 보호층이 사용될 수 있다. 선택적으로, 기판은, 전구체 또는 전구체 용액이 도포되기 전에 적어도 하나의 보호층으로 코팅될 수 있다. 보호층은 기술분야의 숙련자에 의해 다양한 수단으로 기판에 도포될 수 있다. 보호층들은 공정이 이온 주입 단계를 포함할 때 특히 바람직하다.
전구체 막의 증착 이전에 기판의 준비는 소정의 하드 마스크의 궁극적인 성질에 큰 영향을 미칠 수 있다. 따라서, 임의의 표면 준비는 바람직하거나 또는 역으로 사용된 특정 하드 마스크에 따라 피할 필요가 있다. 기판 준비는 기판 표면으로부터 원치 않는 종류를 제거하기 위한 간단 클리닝 공정, 사전 패터닝 단계,장벽 재료의 증착, 접착 개선 재료의 증착, 또는 증착된 재료, 즉 결합제의 막에서 화학 변화를 감소시키도록 설계되는 반응 재료의 증착을 포함할 수 있다.
전구체 또는 전구체 용액의 도포 방법은, 기판 및 의도하는 어플리케이션에 따라 선정될 수 있다. 기술분야의 숙련자에 공지된 유용한 코팅 방법중의 몇 가지 예는 스핀, 스프레이, 딥(dip) 및 롤러 코팅(roller coating), 스템핑(stamping), 메니커스(meniscus), 및 다양한 연결 방법, 즉 잉크젯형 방법을 포함한다. 코팅 공정에서의 변수는 증착된 막의 두께 및 균일성을 제어하고, 에지 효과 및 막 내의 보이드 또는 핀홀의 형성을 최소화시키고, 코팅 공정 동안 소비되는 전구체 또는 전구체 용액의 요구되는 양보다 덜 소비되게 하기 위해 선정될 수 있다. 전구체 막의 최적의 어플리케이션은 바람직하게 극히 부드러운 막을 만들 수 있다.
증착된 막은 선택적으로, 증착된 막에 존재하는 임의의 잔류 용제를 제거하는 베이킹 또는 진공 단계 처리될 수 있다. 만일 베이킹 단계가 이용되면, 물론 이 단계의 온도를 전구체 분자가 열분해적으로 분해하는 온도 이하로 유지하는 것이 중요하다. 본 발명의 공정으로, 소정 재료의 균일한 블랭킷 코팅으로 열분해적으로 변환하거나 또는 열 처리없이 가능할 패터닝을 위한 변환 수단 및/또는 보다 낮은 부분 변환 수단을 필요로 하는 막으로 만들기 위해 전구체 캐스트(cast) 막의 블랭킷 열 및 가열 처리 또는 열처리(annealing)가 가능하게 된다. 증착된 막은, 공정의 이 단계에서, 블랭킷 광화학 또는 전자 빔 노출 및 마이크로웨이브 처리를 포함하지만 이에 한정되지 않는 다른 처리가 수행될 수 있다.
공정의 이 단계에서의 베이크 단계는 전구체 막으로부터 용제를 계속 분출시키고 또한 열 분해 공정을 개시하는 것을 알아야 한다. 이들 메커니즘 모두는, 예를 들어 후속하는 부분 변환 및/또는 변환 단계 동안 보다 낮은 도우즈 조건의 결과가 되는, 공정의 전반적인 효율을 보조할 수 있다. 또한, 이와 같은 베이크 단계 동안, 증착된 막 또는 소정 재료의 막과는 다른 새로운 재료가 형성될 수 있다는 것을 알아야 한다. 이의 효과는, 기술분야의 숙련자가 용이하게 알 수 없는 방식으로 유전상수, 핵화, 특화, 및 결정화 행위를 포함하는 소정 재료의 후속하는 성질을 크게 변하게 한다. 예를 들어, 하나의 재료가 프리-베이크 단계에서 활성화되는 한편 다른 성분(들)이 광화학 또는 보다 높은 에너지 열 공정 단계에서 활성되도록 선택되는 두 가지 성분 시스템이 임의의 어플리케이션에 채택될 수 있다. 전구체의 혼합물로부터 이와 같은 증착은 시스템의 효율적인 설계를 가능하게 하여, 베이크 및 후속하는 부분 변환 및/또는 변환 단계(들)로부터 형성되는 재료의 서로 다른 화학적 성질의 장점을 취할 수 있게 한다.
다음에, 증착된 막은 전구체가 적어도 부분적으로 변환되도록 에너지원에 의해, 부분 변환 수단 및/또는 변환 수단에 의해 처리된다. 전체 막 또는 증착된 전구체 막의 선택된 영역이 에너지원에 노출된다. 에너지원은, 즉 특정 파장의 광원, 특정 파장 또는 파장의 코히어런트 광원, 광대역 광원, 전자빔("e-빔") 원, 또는 이온 빔 원일 수 있다. 약 150nm 내지 약 600nm의 파장 범위의 광이 적합하게 사용된다. 바람직하게, 광의 파장은 약 157 내지 약 436nm이다.
본 발명의 임의의 실시예에서, 에너지원은 표면상의 이미지를 정의하는데 사용되는 광학 마스크를 통해 검출되는 광원이다. 마스크는 실질적으로 투명하고 실질적으로 불투명하거나 또는 광 흡수 영역으로 구성된다. 이 마스크는 또한 위상 쉬프트 기술과 같은 광학 증가 피쳐(optical enhancing feature)을 포함한다. 그러나, 에너지원은 마스크를 통해 배향될 필요가 없다. 예를 들어, 만일 재료를 패턴화할 필요가 없다면, 열 에너지 또는 넓은 광빔에 의해 제공되는 바와 같은, 플러드(flood) 또는 블랭킷 에너지 노광(blanket energy exposure)이 사용될 수 있다.
증착된 막이 적어도 부분적으로 변환되게 하는 대기 및 압력은 전체적으로 그리고 부분적으로 중요한 공정 변수일 수 있다. 흔히, 대기는 공기인 것이 편리하고 경제적이지만, 적어도 부분적인 변환 동안 제시되는 대기의 조성을 바꾸는 것이 바람직할 수 있다. 이에 대한 한 가지 이유는, 만일 짧은 파장 광이 사용되면, 이와 같은 광이 공기에 의해 감쇄될 수 있기 때문에 노출되는 광의 전송을 증가시키기 위한 것이다. 따라서, 광의 세기, 즉 이를 증가시키므로써, 막내의 열 반응을 개시시켜 제품 막을 생성하는 것이 가능하다. 또한 대기의 조성을 바꾸어 제품 막의 조성 또는 성질을 바꾸는 것이 바람직할 수 있다. 예를 들어, 동 복합체의 노출로 공기 또는 산소 분위기에서 동 산화물이 형성되게 된다. 대기로부터 산소를 가상적으로 제거하므로써, 주로 감소된 동 종류를 구비하는 막이 형성될 수 있다. 예를 들어, 만일 변환된 전구체가 유전체 막이거나, 또는 수소와 같은 환원하는 기체의 존재시 만일 변환된 전구체가 금속 막일 때, 부분적인 변환 또는 변환 단계는 바람직하게 산소의 존재 시에 수행된다. 부수적으로, 막 내의 수분의 양은 대기의 습도를 바꿈으로서 변경될 수 있다.
또한 "기판 전처리"라고 하는, 부분적인 변환 단계, 또는 연속적으로 서로다른 변환 단계들의 사용은, 예를 들어 기판 상의 전구체가 스텝퍼와 같은 값이 비싼 장치에 노출될 필요가 있는 시간을 최소화시키기 위해 공정 흐름 관점에서 바람직할 수 있다.
증착된 전구체의 적어도 부분적인 변환 후에, 전구체는 선택적으로 변환되지 않은 전구체 층의 적어도 일부를 제거하기 전에 기술분야에 공지된 다양한 방법에 의해 처리될 수 있다. 이들 방법은 열, 레이저 또는 플라즈마 어닐링 단계, 특정 분위기에의 노출, 즉 산화 또는 환원, 이온 주입, 마이크로웨이브 처리 및 전자 빔 처리와 같은 열처리를 포함하지만 이에 한정되지 않는다. 만일 적어도 부분적인 변환 영역(들)이 전구체의 변환되니 않은 영역(들)에 대해 무전해 도금 핵 사이트로서의 역할을 할 수 있다면, 이때 선택적인 도금 단계가 이 단계에서 사용될 수 있다.
다음에, 증착된 막의 노출되지 않은 영역, 또는 그 일부는 제거 (또는 현상) 수단에 제거될 수 있다. 예를 들어, 현상 수단은 퍼들(puddle) 현상 또는 침전 습식 현상 공정에서 액체 또는 용액으로서 도포될 수 있는 현상제 화합물을 구비할 수 있다. 선택적으로, 반도체 업계에 의해 종래에 사용되는 건식 패터닝 단계와 유사한 건식 현상 공정이 현상 수단으로서 이용될 수 있다. 바람직한 제거 수단은 스프레이 현상, 퍼들 현상, 및 침전 습식 현상을 포함한다.
현상제는 용해도 차이가 노출되고 노출되지 않은 막의 영역 사이에 존재하도록 하는 조건하에 정형화되거/되거나 사용되어야 한다. 이 용해도 차이는 기판 상에 남는 것이 필요한 영역들이 실질적으로 그대로 유지되는 동안 막의 임의의 선택된 영역들이 현상제에 의해 실질적으로 제거되도록, 막의 선택된 영역들을 제거하는데 사용된다. 현상제의 정형화를 최적화시키기 위해 상당한 실험이 필요할 수 있다. 예를 들어, 입사 에너지에 노출된 영역들이 기판 상에 남는 것이 필요한 공정에서, 입사 복사에의 노출 후에 막을 현상하기 위해 캐스팅 용제(casting solvent)를 사용하는 것은 너무 자극적이다. (a) 캐스팅 용제가 혼합할 수 있고 (b) 막의 노출되지 않은 영역이 결핍되게(반드시 완전하지 않음) 용해될 수 있고, (c) 막의 노출된 영역들이 실질적으로 용해될 없는 다른 액체에서 캐스트 용제의 희석 용액은 개선된 현상 공정을 제공한다.
예를 들어, 본 발명의 바람직한 한 실시예에서, 비정질 막은 케톤 용액(ketone solution)으로부터 캐스트될 수 있다. 현상제로서 케톤 만의 사용 또는 알콜과 케톤의 케톤이 풍부한 혼합물, 즉 케톤이 50vol.% 이상인 혼합물은, 알콜이 주 성분일 때 덜 효과적인 현상 공정의 결과가 된다. 예를 들어, 10:1(vol/vol) IPA:MIBK 용액은 MIBK 또는 1:1(vol/vol) IPA:MIBK 보다 BaxSryTizO3에 대해 보다 효과적인 현상제이다. 또한 10:1 혼합물은 20:1 IPA:MIBK보다 덜 효과적이다. 그러나, 10:1 및 20:1 용액 모두는 40:1(vol/vol) IPA:MIBK의 용액보다 효과적이다. 더욱이, 이들 용액의 상대적 효과는, 예를 들어 입사 복사의 종류 및 에너지, 코팅 및 패터닝 동안 기판의 온도를 포함하는 패턴화된 막의 형성에 이용되는 다른 공정에 크게 의존한다. 따라서, 본 발명을 위한 적합한 현상제 정형화의 결정은 실험을 필요로 하고, 기술분야의 숙련자에 명확하지 않다. 액체 및/또는 용액에 기초한 현상제는, 예를 들어 위에서 설명된 것과 같은포토레지스트에 기초한 공정에 이용되는 현상 방법과 유사한 방식으로 물리적으로 도포될 수 있다.
현상 후에, 적어도 부분적으로 변환된 전구체는 선택적으로 변환 수단에 의해 처리되기 전에 기술분야에 공지된 다양한 방법에 의해 처리될 수 있다. 이들 방법은 열처리, 레이저 또는 플라즈마 열처리와 같은 열처리를 포함하지만 이에 한정되지 않는다. 이와 같은 열처리의 온도 및 시간은 중요한 변수이다. 열처리 단계는 또한, 사전 표면 처리, 예를 들어 산소 플라즈마, 레이저 또는 급속 열처리("RTA") 공정에 의해 영향을 받을 수 있다. 그 물리적 또는 전기적 성질이 바람직하게 변경되는 동안 열처리된 적어도 부분적으로 변환된 전구체가 그 비정질 성질을 유지하도록 적당한 조건을 선택하는 것이 가능하다. 선택적으로, 막이 그 결정 상태, 즉 고온으로 변환시키게 하는 열처리 조건은 막이 사용될 어플리케이션에 따라 바람직할 수 있다. 예를 들어, 이 단계에서의 적당한 열처리를 사용하여 전구체 또는 적어도 실질적으로 비정질인 적어도 부분적으로 변환된 전구체로부터 극단적으로 배향된 결정 막을 형성하는 것을 유도할 수 있다. 이와 같이, 비정질 막의 성질은 정밀하게 조정될 수 있거나 또는 그 물리적 성질은, 한 극단에서 완전히 비정질인 단계에서 반 결정 중간 위상을 거쳐 다른 극단에서 단일 배향 결정 위상까지의 광범위한 범위에 걸쳐 변할 수 있다. 이와 같은 열처리는 흔히 전구체를 더욱 변환시키도록 작용할 것이다.
만일 전구체가 실질적으로 완전히 변환될 필요가 있다면, 다음에 전구체 막은, 전구체가 실질적으로 완전히 변환되도록 선택적으로 그러나 전형적으로 변환수단에 의해 처리된다. 전구체 막의 전체 막 또는 선택된 영역들은 에너지원에 노출될 수 있다. 변환 수단은, 이전에 사용된 임의의 부분적인 변환 수단과 동일하거나 또는 동일할 수 있는 에너지원일 수 있다. 더욱이, 변환 수단은, 특정 파장의 광원, 특정 파장의 코히어런트 광원, 광대역 광원, 전지 빔 원 및/또는 이온 빔 원일 수 있다. 본 발명의 임의의 실시예에서, 에너지원 또는 에너지원의 적어도 일부는 이전에 설명한 바와 같이 표면 상의 이미지를 정의하는데 사용되는 광학 마스크를 통해 배향된다. 그러나, 에너지원은 마스크를 통과해서 배향될 필요는 없다. 예를 들어, 전구체가 이미 패턴화될 수 있고, 따라서, 플러드 또는 블랭킷 노광이 변환 수단으로서 사용될 수 있기 때문에, 변환 단계 동안 재료를 패턴화할 필요가 없을 수 있다. 바람직한 변환 수단은 광, 전자 빔, 이온 빔 및 열 처리를 포함한다. 부분적이 변환에 대해 설명한 바와 같이 그리고 또한 본 발명에 적용가능한 바와 같이, 증착된 막을 변환시키는, 분위기 조성, 전체 또는 부분적으로 압력, 및 습도와 같은 분위기 조건은 중요한 공정 변수일 수 있다. 변환 동안 이들 변수는 임의의 이전의 부분적인 변환 단계에 사용된 그 설정과 동일하거나 다를 수 있다.
물론, 바람직한 박막으로서, 하드 마스크는 부분적으로 변환된 전구체 층의 적어도 한 일부를 실질적으로 완전히 변환시킴으로서 형성될 수 있다는 것을 알아야 한다. 여기서, "실질적으로 완전히 변환된 전구체", "완전히 변환된 전구체", "변환된 전구체", "실질적으로 완전히 변환된 부분적으로 변환된 전구체", "완전히 변환된 부분적으로 변환된 전구체" 및 "변환된 부분적으로 변환된 전구체"라는 용어는 모두 이와 같은 박막을 지칭한다.
전구체를 소정 재료의 막의 두께로 부분적으로 변환 및/또는 실질적으로 완전히 변환하는 공정 동안, 막의 일부 수축, 즉 소정 재료의 막의 두께가 변환되지 않은 전구체 막의 두께 미만일 수 있다는 것을 알아야 한다. 이와 같은 두께의 변화는 본 발명의 중요한 특징으로, 소정 재료의 막에 유용한 성질을 제공한다. 예를 들어, 극히 얇은 막의 형성은, 캐패시턴스를 최대화시키는 것과 관련하여 장점일 수 있는 한편, 동시에 이와 같은 박막의 형성은 제조 관점으로 볼 때 힘들 것이다. 본 발명의 공정은, 비교적 두꺼운 캐스트 막(cast film)을 적용하는 능력을 제공하여, 보다 큰 제조를 제공할 뿐 아니라, 소정의 적어도 부분적으로 변환된 전구체 재료의 비교적 얇은 막을 제공하여 소정 재료의 막에 개선된 성질을 제공한다. 소정 막의 수축 성질은, 전구체의 선택, 용제의 선택 및 양, 전구체 첨가물의 종류, 증착 공정에 의해 결정되는 것으로서 전구체 막의 두께, 막의 패터닝 이전, 중간 및 이후에 열 처리의 사용, 및 노출된 막의 현상을 포함하는 공정 변수의 신중한 조절에 이해 목표로 하는 변수에 맞게 제어되고 맞추어질 수 있다. 본 발명의 공정은 옹스트롱 범위에서 파라메타 범위에 걸치는 전체 두께에 걸치는 소정 막의 정밀한 두께 제어를 가능하게 한다.
변환 후에, 후속하는 선택적 공정 단계는 위에 설명된 신규한 현상 방법, 및 후 현상 처리 단계를 포함하지만 이에 한정되지 않은, 후 변환 처리, 현상을 포함할 수 있다. 선정된 특정 단계는 제품의 궁극적인 사용에 따라 다를 수 있다. 예를 들어, 사용 방법은, 각각 본 발명의 참조로서 그 전문에 일체화된 미국특허제5,534,312, 5,821,017 및 6,071,676호에 개시되어 있다.
본 공정의 임의의 실시예에서, 변환 다음에는 주입 단계가 오는데, 여기서 적어도 하나의 주입된 영역이, 하드 마스크에 의해 실질적으로 덮이지 않은 기판의 적어도 일부 상에 주입 수단을 사용하여 기판에 형성된다. 주입 수단으로서 이온 빔의 사용은 기술분야에 공지되어 있다. 그러나, 본 공정은 이온 빔의 사용에 한정되지 않고, 임의의 효과적인 주입 방법이 사용될 수 있다. 주입에 적합한 이온들은 비소, 붕소 및 인을 포함하지만 이에 한정되지 않는다. 이온 주입은 고 에너지, 즉 저 도우즈(low dose), 즉 약 1020 atm/㎠ 미만과 결합된 즉 약 300KeV 이상의 조건, 또는 저 에너지, 즉 고 도우즈, 즉 약 1020 atm/㎠ 이상과 결합된 약 300KeV 미만의 조건 하에 실시될 수 있다. 선택적으로, 하드 마스크 층은 주입 후에 제거될 수 있다. 선택적으로, 주입된 기판은, 열처리와 같은 것에 의해 더 처리되어, 주입된 기판 영역을 도핑된 영역으로 변환할 수 있다. 만일 이들 선택적 단계의 모두가 수행되면, 이들이 수행되는 순서는 본 발명이 지향하는 특정 어플리케이션에 적합하도록 조정될 수 있다.
본 변환의 다른 실시예에서, 본 발명에 의해 형성된 적어도 부분적으로 변환된 전구체는 에칭 레지스트 층으로서 작용한다. 에칭 단계 또는 단계들에서, 플라즈마, 반응성 이온 또는 습식 에칭 용액과 같은 에칭 수단은 하드 마스크에 의해 제공된 패턴을 통해 기판의 선택된 영역에 접촉한다. 선택적으로, 실리콘 이산화물 및 실리콘 질화물과 같이 종래에 도포된 재료의 하드 마스크가 에칭을 이용하는 전자 제조 공정에서 보호 마스크로서 사용된다.
본 변환의 바람직한 실시예에서, 본 공정에 의해 형성된 적어도 부분적으로 변환된 전구체 또는 하드 마스크는 에칭 레지스트 층으로서 작용한다. 에칭 단계 또는 단계들에서, 플라즈마, 반응성 이온 또는 습식 에칭 용액과 같은 에칭 수단은 즉 하드 마스크에 의해 제공되는 패턴을 통해 기판의 선택된 영역에 접촉하여, 소정의 영역만의 기판을 제거하게 된다. 현재, 실리콘 이산화물 및 실리콘 질화물과 같은 종래에 도포되는 재료의 하드 마스크는 에칭을 이용하는 전자 제조 공정에서 보호 마스크로서 사용된다.
도 2는 본 발명에 따른, 처리 이전에 단계(2A)에 도시된 바와 같이 기판(10) 상에 도전되는, 공정의 한 실시예에서 단계들, 즉 단계(2A, 2B, 2C 및 2D)의 기본적인 순서를 도시한다. 기판(10)은 예를 들어, 유기층으로 코팅된 실리콘 웨이퍼일 수 있다. 단계(2B)에서, 변환되지 않은 전구체(11)기 기판(10)에 도포된다. 단계(2C)에서, 광화학 금속 유기 증착 공정 또는 열 또는 가열 처리에서 광과 같은 변환 수단이 변환되지 않은 전구체(11)의 적어도 한 선택된 부분에 도포되어, 변환된 전구체 층(12)를 형성한다. 단계(2D)에서, 현상제 화합물과 같은 제거 수단을 사용하여, 변환되지 않는 전구체 층(11)의 적어도 일부 및 바람직하게 실질적으로 모든 부분을 제거하여, 변환된 전구체(12)를 그대로 남김으로서 기판(10)을 위한 하드 마스크를 형성한다. 이와 같은 마스크는 임의의 패터닝 수단으로 하여금, 패터닝 수단으로부터 임의의 다른 기판 영역을 마스크하거나 차단하면서 기판의 소정 영역을 통과할 수 있게 한다.
선택적으로, 도 2의 단계(2C)에서, 광 또는 열 또는 가열 처리와 같은 부분적인 변환 수단이 변환되지 않은 전구체(11)의 적어도 하나의 선택된 부분에 도포되어, 부분적으로 변환된 전구체 층(12)를 형성할 수 있다. 단계(2D)에서, 현상제 화합물과 같은 제거 수단을 사용하여 변환되지 않은 전구체 층(11)의 적어도 일부 바람직하게 모드를 제거하여, 부분적으로 변환된 전구체(12)를 그대로 남긴다. 부분적으로 변환된 전구체의 적어도 일부 상에 대해 도시되지 않은 광 또는 열 또는 가열 처리와 같은 변환 수단을 사용하여 그 부분을 실질적으로 제거하므로써, 하드 마스크를 형성한다. 부분적인 변환 수단은 변환 수단과 동일하거나 또는 다를 수 있다. 도 2는 본 발명의 공정에 의해 패턴화된 하드 마스크를 형성하는데 있어서 단계들의 경제성을 증명한다.
대조적으로 도 3은 패턴화된 하드 마스크를 형성하기 위한 종래 기술에 따른 제조 방법을 도시한다. 단계(3A)에서, 기판(200)이 도 2에 도시된 바와 같이 제공된다. 단계(3B)에서, 하드 마스크층(210)이 기판 상에 형성된다. 예를 들어, 하드 마스크 층(210)은 실리콘 산화물일 수 있다. 단계(3C)에서, 포토레지스트 층(220)은 하드 마스크 층(210)의 상부에 도포된다. 단계(3D)에서, 포토레지스트 층(220)은 마스크(235)를 통해 광선(230)에 노출된다. 마스크(235)는 광선에 대해 실질적으로 불투명한 영역(250)을 갖는 투명 유리 기판(240)을 구비하여, 광선의 일부를 차단하고 포토레지스트 층의 노출된 부분(22) 상에 패턴을 형성한다. 단계(3E)에서, 노출된 포토레지스트 영역(222)이 현상되어 하드 마스크 층(210)을 노출시킨다. 단계(3F)에서, 하드 마스크 층(210) 내에 적합한 에칭 조성을 갖는 하드 마스크 층(210)의 보호되지 않은 부분을 에칭하므로써 개구부(255)가 형성된다. 단계(3G)에서, 포토레지스트 층(220)의 나머지 부분이 제거된다. 단계(3II)에서, 하드 마스크 층(210)을 제외한 기판(200)을 에칭하도록 선택된 플라즈마 에칭 화학처리(260)가 기판(200)을 패터닝한다. 따라서, 도 3으로부터 종래의 공정은 패턴화된 하드 마스크를 형성하기 위한, 즉 본 발명의 공정 보다 패턴화된 마스크를 통해 이온을 주입하기 위한 보다 많은 단계를 필요로 한다는 것을 알 수 있다.
도 4는 하드 마스크 에칭과 연관된 단계들, 즉 상술된 단계(3C 내지 3G) 모두를 제거하는 패턴화된 하드 마스크를 형성하기 위해 금속 복합체를 사용하여 하드 마스크를 제조하는 것이 적용되는 본 발명의 바람직한 실시예를 도시한다. 단계(4A)에서, 기판(300)이 도 2에 도시된 바와 같이 제공된다. 단계(4B)에서, 금속 복합체를 포함하는 층과 같은 전구체 층(310)이 기판(300)의 상부에 형성된다. 단계(4C)에서, 전구체(310)는 부분적인 변환 수단, 마스크(320)를 통과하도록 배향된 본 발명에 설명되는 광선(315)에 노출된다. 마스크(320)는 부분적인 변환 수단에 실질적으로 불투명한 영역(340)을 갖는 투명 유리 기판(330)을 포함한다. 부분 변환 수단(315)에 노출된 전구체(310)의 일부가 적어도 부분적으로 변환되거나 반응되어 부분적으로 변환된 전구체(350)의 영역을 형성한다. 단계(4D)에서, 조립체가 액체 현상제와 같은 제거 수단(도시되지 않음)에 노출된다. 실질적으로 변환되지 않은 전구체(310)는 현상제 또는 제거 수단에 의해 제거되어, 기판(300)을 노출시키는 한편, 부분 변환 전구체(350)는 제거 수단에 저항하여 그대로 남는다. 단계(4E)에서, 변환 수단(도시되지 않음)이 부분 변환 전구체(350)에 도포되어, 실질적으로 완전히 변환된 전구체(360), 즉 패턴화된 하드 마스크를 형성한다. 이변환은 블랭킷 광 노출 단계 또는 열 또는 가열 열처리 단계에 의해 수행될 수 있다. 단계(4C 및 4E)의 각각에서, 변환은 바람직하게, 산소의 존재시에 변환된 전구체(360)가 유전체막일 때 또는 수소와 같은 환원 기체의 존재 시에, 변환된 전구체(360)가 금속막일 때 수행된다. 단계(4F)에서, 에칭 수단, 본 발명에서 하드 마스크 층(360)을 제외한 기판(300)을 에칭하도록 선택된 플라즈마 에칭 화학처리(370)는 기판(300)을 패턴화한다. 그 결과 패턴화된 피쳐(features)(390)가 단계(4C)에 도시된 바와 같이 정의되게 된다.
도 5는 예를 들어, 흔히 탑 실리레이션 이미징(top silylation imaging)이라고 하는 공정에 의해 포토레지스트에 TSI를 형성하는 종래 기술의 방법을 도시한다. 단계(5A)에서, 기판(400)이 제공된다. 단계(5B)에서, 기판(400)은 탑 실리레이션에 적합한 포토레지스트 층(410)으로 코팅된다. 단계(5C)에서, 포토레스트 층(410)이 마스크(435)를 통해 광선(430)에 노출된다. 마스크(435)는 노출 수단에 실질적으로 불투명한 영역(450)늘 갖는 투명 유리 기판(400)을 포함하여, 광선의 일부를 차단하고, 포토레지스트 층의 노출된 부분(432)에 패턴을 형성한다. 노출된 포토레지스트 영역(432)은 그 노광 결과로서 서로 다른 소정의 화학 및/물리적 성질을 갖는다. 단계(5D)에서, 기판(400), 포토레지스트 층(410) 및 노출된 포토레지스트 영역(432)은, 노출된 포토레지스트 영역(432)에 선택적으로 흡수하는 기체성 TSI 리젠트(reagent)(460)에 노출되어, 수정된 포토레지스트 표면(470)을 형성한다. 기술분야에 공지된 TSI 리제트(460)의 예는 실리콘 함유 기체를 포함한다.
단계(5E)에서, 표면이 플라즈마 처리(480)에 노출되어, 수정된 포토레지스트 표면(470)을 화학적으로 불활성으로 만들어, 레지스트 하드 마스크 표면(490) 바로 밑의 나머지 포토레지스트(410)를 제거한다. 단계(5G)에서, 플라즈마 처리(497)를 이용하여, 포토레지스트 층(410), 수정된 포토레지스트 표면(470) 및 레지스트 하드 마스크 표면(490)으로 형성된 복합체 스택(complex stack)을 이용하여 기판(400)을 패턴화하여, 기판(400)으로 전사된 패턴을 정의한다. 결과로 나타나는 패턴이 단계(5H)에서 에칭된 영역(499)로 도시된다. 단계(5I)에서, 제거 수단(도시되지 않은)을 이용하여 포토레지스트 층(410), 수정된 포토레지스트 표면(470) 및 레지스트 하드 마스크 표면(490)으로 형성된 복합체 스택을 제거한다. 비록 도 5에 도시된 방법이 얻어질 수 있는 해상도를 개선하고 초점 깊이 조건의 완화를 돕는 패터닝을 위한 얇은 표면 층을 형성하는 장점을 제공하지만, 이는 TSI 레전트(460)을 필요로 하고, 다수의 플라즈마 열처리 단계를 필요로 하고, 다른 종래의 기법에 제시되지 않는 패턴 전사에서 부수적인 비용 및 복잡성을 제공하는 단점이 있다.
이와 대조적으로, 도 6은 금속 복합체 전구체를 사용하여 패턴화된 얇은 상면을 형성하는 TSI에 적용되는 본 발명에 따른 공정의 바람직한 실시예를 도시한다. 단계(6A)에서, 패턴 전사층(505)로 코팅된 기판(500)이 제공된다. 패턴 전사층(505)은 포토레지스트, 폴리이미드, PMMA, Novolac, 에폭시, 및 기술분야에 공지된 다른 유기 또는 관련된 코팅을 포함하는 유기 막 형성 수지를 선택적으로 구비할 수 있다. 단계(6B)에서, 전구체 층(510)이 기판(500)의 상부 및 패턴전사층(505)의 바로 위에 형성된다. 이 경우, 전구체(510)는 금속 복합체를 구비한다. 단계(6C)에서, 전구체(510)는 부분 변환 수단, 마스크(520)를 통과하도록 배향된 본 발명에 도시된 광선(510)에 노출된다. 마스크(520)는 본 발명에서 유리로서 예시된, 부분적인 변환 수단에 실질적으로 불투명한 영역(540)을 갖는 투명 기판(530)을 포함한다. 변환 수단(515)에 노출된 전구체(510)의 부분은 적어도 부분적으로 변환되거나 반응되어, 부분 변환 전구체(550)의 영역을 형성한다. 단계(6D)에서, 조립체가 액체 현상제와 같은 제거 수단(도시되지 않음)에 노출된다. 실질적으로 변환되지 않은 전구체(510)는 제거 수단, 즉 현상제에 의해 제거되어, 패턴 전사 층(505)를 노출시키는 한편, 부분적으로 변환된 부분 변환 전구체(550)은 제거 수단에 저항하여 그대로 남는다. 선택적인 단계(6E)에서, 변환 수단(도시되지 않음)이 부분 변환 전구체(550)에 도포되어, 실질적으로 완전히 변환된 전구체(560)를 형성한다. 이와 같은 변환은, 즉 블랭킷 광 노출 단계 또는 열 또는 가열 열처리 단계에 의해 수행될 수 있다.
단계(6F)에서, 표면이, 예시된 플라즈마 에칭 화학 처리와 같은 에칭 수단(570)에 노출된다. 예를 들어, 플라즈마 에칭 수단은 반드시 산소를 구비한다. 에칭 수단은 패턴 전사 층(505)의 노출된 영역을 제거하는 한편, 부분적으로 변환되거나 실질적으로 완전히 변환된 전구체 층(560)이 선택되어 에칭 수단(570)을 향해 실질적으로 불활성하는 방식으로 처리되어, 에칭된 영역(580)이 형성되게 된다. 단계(6G)에서, 기판(500)의 후속하는 패터닝(도시되지 않음)이, 적어도 부분 변환 전구체(560) 밑의 패턴 전사 층(505)에 형성된 패턴을 이용하여 에칭 수단에 의해 실시되어, 에칭된 영역(590)을 형성한다. 단계(6H)에서, 제거 수단(도시되지 않음)은 나머저 패턴 전사 층(505) 및 적어도 부분 변환 전구체(560) 모두를 제거하여, 에칭된 영역(590)을 갖는 소정의 패턴화된 기판(500)을 노출시킨다. 도 6의 방법은, 적은 단계, 적은 플라즈마 단계를 필요로 하고, 어떠한 TSI 레전트를 필요로 하지 않기 때문에 도 5에 도시된 방법보다 우수하다. 동시에, 도 6의 방법은 도 5의 방법을 이용하므로써 제공되는 모든 장점을 얻는다.
도 7은 기판 상우에 패턴화된 금속층을 증착하는 종래 기술의 방법을 도시한다. 이 방법은, 소정의 금속이 에칭되기 힘든, 즉 금 또는 플래티넘일 때 종래에 이용도니다. 단계(7A)에서, 기판(600)이 제공된다. 단계(7B)에서, 릴리스 층(release layer)(605)이 기판(600) 상부에 코팅되고, 릴리스 층(605)의 상부에 리프트오프 층(liftoff layer)(610)이 도포된다. 단계(7C)에서, 선택적인 하드 마스크 층(620)이 리프트오프 층(610)의 상부에 증착된다. 단계(7D)에서, 포토레지스트 층(630)이 선택적 하드 마스크 층(620), 리프트오프 층(610) 및 릴리스 층(605)으로 구성된 복합체 스택의 상부에 도포된다. 단계(7E)에서, 포토레지스트 층(630)이 마스크(635)를 통해 광선(645)에 노출된다. 마스크(635)는 노광 수단에 실질적으로 불투명한 영역(650)을 갖는 투명 유리 기판(640)을 구비하여, 광선의 일부를 차단하고 포토레지스트 층의 노출된 부분(632) 상에 패턴을 형성한다. 단계(7F)에서, 습식 현상제와 같은 제거 수단이 도포되어 포토레지스트 층(630) 내의 노출된 부분(632)을 제거한다.
단계(7G)에서, 플라즈마 에칭 화학 처리(660)를 사용하여, 존재하는 선택적하드 마스크 층(620)을 에칭한다. 플라즈마 에칭 화학 처리(660)는 또한 포토레지트 층(630)의 두께의 실질적인 부분을 침식하는 효과를 가질 수 있다. 단계(7H)에서,플라즈마 에칭 화학 처리(670)를 사용하여 리프트오프 층(610) 및 릴리스 층(605)을 에칭한다. 이 단계 동안 바람직하게 플라즈마 내의 충전된 종류가 주로 수직 방향으로 이동하도록 플라즈마 에칭 화학 처리(670)를 이방성 모드로 이용한 다음, 에칭을 담당하는 플라즈마 내의 대전된 종류가 균일하게 모든 방향으로 이동하게 하는 등방성 모드로 전환하므로써 도 7H에 도시된 바와 같이 굴곡된 측벽을 생성하는 것이 가능하다. 이와 같은 조작의 결과가 단계(7H)에 도시되어 있다. 단계(7I)에서, 증착 수단을 이용하여 소정의 금속(680)(즉 금, 플래티넘 또는 다른 소정의 금속)으로 표면의 피처를 덮는다. 단계(7J)에서, 제거 수단(도시되지 않음)을 이용하여 용제 처리에 의해 나머지 릴리스 층(605), 리프트오프 층(610), 하드 마스크 층(620)(만일 존재하면) 및 포토레지스트 층(630) 모두를 리프트 오프한다. 이로서 기판(600) 상에 소정 금속(680)의 소정 패턴만이 남게 된다. 패턴화된 금속 증착의 이와 같은 방법은, 많은 단계를 포함하고 포토레지스트의 두꺼운 층의 사용을 필요로 하기 때문에 힘들다. 이와 같이 두꺼운 층들은, 값비싼 포토레지스트의 바람직한 양 이상의 양이 소비될 것을 필요로 한다. 또한, 포토레지스트의 제거는 다른 경우보다 그 극한 두께에 의해 보다 힘들게 된다.
도 8은 금속 복합체 전구체를 사용하여 패턴화된 얇은 상부면을 리프트오프 층 위에 형성하기 위한 리프트오프 공정에 적용되는 본 발명에 따른 바람직한 실시예를 도시한다. 단계(8A)에서, 기판(700)이 제공된다. 단계(8B)에서, 기판(700)이 릴리스 층(705)로 코팅되고, 릴리스 층(705)이 리프트오프 층(710)으로 코팅된다. 단계(8C)에서, 전구체 층(720)이 리프트오프 층(710) 위에 코팅된다. 이 경우에, 전구체 층(720)은 금속 복합체를 구비한다. 단계(8D)에서, 전구체(720)가 본 발명에서 광선(745)으로 예시되고 마스크(735)를 통과하는 것으로 배향된 부분 변환 수단에 노출된다. 마스크(735)는 부분 변환 수단에 실질적으루 불투명한 영역(735)를 갖는 투명 유리 기판(740)을 포함한다. 부분 변환 수단(745)에 노출된 전구체(720)의 부분이 적어도 부분적으로 변환되거나 반응되어, 부분 변환 전구체(732)의 영역을 형성한다. 단계(8E)에서, 조립체가 액체 현상제와 같은 제거 수단(도시되지 않음)에 노출된다. 실질적으로 변환되지 않은 전구체(720)는 현상제 또는 제거 수단에 의해 제거되어, 리프트오프 층(710)을 노출시키는 한편, 부분적으로 변환된 부분 변환 전구체(732)는 제거 수단에 저항하여 그대로 남는다. 선택적인 단계(도시되지 않음)에서, 변환 수단(도시되지 않음)이 부분 변환 전구체(732)에 도포되어, 실질적으로 완전히 변환된 전구체를 형성한다.
단계(8F)에서, 제거 수단(760)은 예를 들어, 플라즈마 에칭 화학처리가 이방성 모드로 이용되어, 리프트오프 층(710) 및 하부의 전구체(732)가 아닌 하부의 릴리스 층(705)의 그와 같은 영역을 제거한다. 단계(8G)에서, 도시된 바와 같이 리프트오프 곡선 프로필은, 제거 수단(760)으로 하여금 리프트오프 층(710) 및 릴리스 층(705)를 이방성으로 에칭하게 하므로써 형성된다. 단계(8H)에서, 소정 금속(770)의 원치 않는 부분들이, 현상 수단, 예를 들어, 용제 또는 건식 현상 공정에 의해 전구체(732), 리프트오프(710) 및 릴리스 층(705)과 함께 제거되어, 기판(710)의 상부에 소정의 금속(770)의 소정 패턴을 뒤에 남는다. 이 방법은 적은 공정 단계를 필요로 하고 포토레지스트의 사용을 필요로 하지 않기 때문에 도 7에서 얻어지는 종래 기술의 방법에 비해 바람직하다.
도 9는 금속 복합체 전구체를 이용하여 리프트오프 층 위에 패턴화된 얇은 상면막을 형성하는 리프트오프 공정에 적용되는 본 발명의 바람직한 다른 실시예를 도시한다.단계(9A)에서, 기판(800)이 제공된다. 단계(9B)에서, 전구체 층(810)이 기판(800) 위에 코팅된다. 이 경우, 전구체(810)는 금속 복합체를 구비한다. 단계(9C)에서, 전구체 층(810)은 부분 변환 수단, 여기서 예시되고 마스크(835)를 통과하도록 배향된 광선(845)에 노출된다. 마스크(835)는 부분 변환 수단에 실질적으로 불투명한 영역(850)을 갖는 투명 유리 기판(840)을 구비한다. 부분 변환 수단(845)에 노출된 전구체(810)의 부분은 적어도 부분적으로 변환되거나 반응되어 노출된 영역(845)의 영역을 형성한다. 단계(9D)에서, 조립체가 액체 현상제와 같은 제거 수단(도시되지 않음)에 노출된다. 실질적으로 변환되지 않은 전구체(810)는 제거 수단에 의해 제거되어, 기판(800)을 노출시키는 한편, 부분적으로 변환된 노출된 영역(832)는 제거 수단에 저항하여 그대로 남는다. 선택적으로, 본 발명의 다른 바람직한 실시예에서 설명된 바와 같이, 노출된 영역(832)은 제거 수단 단계(9D) 이후에 추가적으로 변환될 수 있다. 노출된 영역(832)에 제시된 안쪽으로 테이퍼진 측벽 프로필은 단계(9D)에 도시된 바와 같이, 각각 즉 단계(8D, 8F 및 8G)에서 설명된 바와 같이 노광 및 단계(9C 및 9D)에서 표현된 제거 수단을 적절히 제어하므로써 얻어진다. 단계(9E)에서, 소정의 금속(870) 막이 조립체 위에 증착수단에 의해 증착된다. 단계(9F)에서, 소정 금속(870)의 원치 않은 부분이 현상 수단, 예를 들어 용제 또는 건식 현상 공정에 의해 전구체(832)와 함께 제거되어, 기판(800)의 상부에 금속(870)의 소정의 패턴을 남긴다.
본 공정의 다른 바람직한 실시예에서, TSI 층 집적의 사용은 반도체 상호접속부 구조내에 동 집적을 위한 이중 다마신 아키텍춰를 구성하는데 사용될 수 있다. 도 10의 단계(A 내지 H)는 "비아-퍼스트(via-first)" 방법이라고 하는 다마신 아키텍춰를 구성하는 종래 기술의 방법을 도시한다. 단계(10A)에서, 표시된 된 바와 같이, 제1 유전체 층(905), 장벽층(910), 제2 유전체 층(910) 및 하드 마스크(920)으로 연속적으로 코팅된 기판(900)이 제공된다. 유전체 층(905, 910)은 흔히 그러나 반드시 동일한 재료가 아닌 한편, 장벽 및 하드 마스크 층(915, 920)은 동일한 재료이거나 동일한 재료일 수 없지만, 흔히 실리콘 질화물 및/또는 실리콘 산화물이다. 단계(10B)에서, 조립체가 바닥 반반사 코팅(bottom anti-reflective-coating)("BARC")(925) 및 포토레지스트 층(930)과 함께 하드 마스크(920) 위에 코팅된다. 도시된 바와 같이, 포토레지스트 층은 종래 방법에 의해 현상되고 패턴화된다. 단계(10C)에서, 플라즈마 에칭 화학 처리를 사용하여 BARC 층(925), 하드 마스크(920), 유전체 층(910), 장벽층(915), 및 유전체 층(925)의 표시된 부분을 제거한다. 단계(10D)에서, 나머지 포토레지스트(920) 및 BARC(925)이 단계(10C)의 플라즈마 공정 다음에 조립체로부터 제거된다. 단계(10E)에서, 제2 BARC 층(935) 및 제2 포토레지스트 층(940)이 도포되어 하드 마스크(920) 상부에 조립하고, 도시된 바와 같이, 포토레지스트 층(940)은 종래 방법에 의해 현상되고 패턴화된다. 단계(10F)에서, 제2 플라즈마 에칭 화학 처리 단계를 사용하여 BARC 층(935) 및 유전체 층(910)의 표시된 부분을 제거한다. 단계(10G)에서,단계(10F)의 플라즈마 공정 다음에, 나머지 포토레지스트(940) 및 BARC(935)가 제거된다.
이와 대조적으로, 도 11은 본 발명의 공정이 많이 적은 공정 단계로서 어떻게 이중 다마신 아키텍춰의 조립을 달성하는지를 도시한다. 단계(11A)에서, 유전체 층(1005)로 코팅된 기판(1000)이 제공된다. 단계(11B)에서, 금속 복합체를 구비하는 전구체 층 유전체 층의 상부에 도포되고, 적어도 부분적인 변환에 의해 패터닝된 다음, 본 발명의 다른 실시예에서 이전에 설명한 것과 같은 기술에 의해 현상되어, 도시된 바와 같이 패턴화 층(1010)을 만든다. 예를 들어, 패턴 현상 단계는 이전에 설명한 바와 같이, 용제 또는 건식 현상 공정에 의해 수행될 수 있다. 다음에 스핀 평탄화 층(1015)이 패턴화 층(1010) 상에 도포된다. 스핀 평탄화 층(spin planarization layer)(1015)은 조립체에 대해 스핀될 수 있는 임의의 유기물에 기초한 코팅일 수 있다. 단계(11C)에서, 제2 패턴화 층(1020)이 패턴화 층(1010)의 형성에 이용된 것과 동일한 기술을 사용하여, 증착되고, 패턴화되고 현상된다. 단계(11D)에서, 플라즈마 에칭 화학처리와 같은 에칭 수단(도시되지 않음)을 이용하여 스핀 평탄화 층(1015)의 도시된 영역 및 유전체 층(1005)의 두께의 부분을 제거한다. 도시된 바와 같이, 유전체 층(1005)의 두께의 부분만이 제거되도록 에칭 수단을 제어하는 것이 중요하다. 예를 들어, 전체 유전체 층 두께를 통해 에칭하는데 필요할 미만의 시간에 한정된 플라즈마 애칭 노광이 사용될 수 있다.
단계(11E)에서, 패턴화 층(1020) 및 스핀 평탄화 층(1015)는, 스핀 평탄화 층(1015)가 용해가능하고 조립체의 다른 부분에 큰 악영향을 주지 않는 조립에의 처리와 같은 제거 수단에 의해 제거된다. 단계(11F)에서, 이전에 설명한 플라즈마 에칭 화학처리와 같은 제어되는 에칭 수단(도시되지 않음)을 사용하여 유전체 층(1005)의 도시된 영역을 제거한다. 이 제어되는 에칭은 패턴화 층(1020)에 의해 형성된 패턴에서 유전체 층(1005)의 나머지 두께를 동시에 제거하지만, 패턴화 층(1010)에 의해 형성된 패턴에서 유전체 층(1005)의 두께의 일부만을 제거한다. 이중 다마신 몰드가 이와 같이 조립될 수 있다.
패턴화 층(1010)은 선택적으로 다음 단계(11F)(도시되지 않음) 다음에 제거될 수 있는데, 선택적으로 본 발명의 다른 실시예에서, 패턴화 층(1010)은 동 증착 및 평탄화 다음에 CMP 정지로서 이용되도록 남는다.
도 11에 도시된 공정은, 많은 적은 공정 단계를 포함하고, 다수의 포토레지스트 및 BARC 단계를 필요로 하지 않으며, 종래의 공정에서 이용된 장벽 및 하드 마스크의 필요성을 없앤다는 점에서 도 10에 도시된 종래 기술의 방법에 비해 뛰어나다.
도 12는 패턴화된 이온 주입 하드를 형성하기 위한 종래 기술의 방법에 의해 이용되는 이온을 주입하기 위한 복잡한 방법을 도시한다. 단계(12A)에서, 도 2에 도시된 바와 같이 기판(1200)이 제공된다. 단계(12B)에서, 선택적인 보호층(1205)은 주입 마스크 층(1210) 다음에 기판(1200) 상에 형성된다. 한 예에서, 주입 마스크 층(1210)은 실리콘 산화물이다. 단계(12C)에서, 포토레지스트 층(1220)이 주입 마스크 층(1210)의 상부의 기판(1200)에 도포된다. 단계(12D)에서, 포토레지스트 층(1220)이 마스크(1235)를 통해 광선(1230)에 노출된다. 마스크(1235)는 노광 수단(1250)에 실질적으로 불투명한 영역을 갖는 투명 유리 기판(1240)을 구비하여, 광선의 일부를 차단하고, 포토레지스트 층의 노출된 부분(1222) 상의 패턴을 형성한다. 단계(12E)에서, 노출된 포토레지스트 영역(1222)이 현상되어 주입 마스크 층(1210)을 노출시킨다. 단계(12F)에서, 적합한 에칭 조성에 의해 주입마스크 층(1210)의 보호되지 않은 부분을 에칭하여 주입 마스크 층(1210)에 개구부(1255)를 형성한다. 단계(12G)에서, 포토레지스트 층(1220)의 나머지 부분이 제거된다. 단계(12H)에서, 주입 마스크 내의 개구부(1255) 바로 밑에 주입된 영역(1270)을 형성하기 위해 기판(1200)이 이온 빔(1260)에 노출된다. 선택적인 단계(12I)에서, 주입 마스크 층(1210)이 제거되고, 기판이 열처리되어, 주입된 영역(1270)을 도핑된 영역(1280)으로 변환시킨다. 따라서, 도 12로부터 종래의 공정은 본 발명의 공정보다도, 패턴화된 주입 마스크를 형성, 즉 패턴화된 마스크를 통해 이온을 주입하기 위한 많은 단계를 필요로 한다는 것을 알 수 있다.
이와 대조적으로, 도 13은 주입 마스크 에칭가 연관된 모든 단계들, 즉 위에 설명된 단계(12C 내지 12G) 모두를 제거하는 패턴화된 주입 마스크를 형성하기 위해 금속 복합체 전구체를 이용하는 이온 주입 하드 마스크를 제조하는데 적용되는, 본 발명의 다른 일 실시예를 도시한다. 단계(13A)에서, 기판(1300)이 제공된다. 단계(13B)에서, 선택적 보호층(1312)이 기판(1300) 상에 형성되고, 전구체(1310)의층이 보호층(1312)의 상부에 형성된다. 이 경우, 전구체(1310)는 금속 복합체이다. 단계(13C)에서, 전구체(1310)는 부분 변환 수단, 즉 마스크(1310)을 통과하도록 배향된 광선(1315)에 노출된다. 마스크(1320)는 부분 변환 수단에 실질적을 불투명한 영역(1340)을 갖는 투명 유리 기판(1330)을 포함한다. 부분 변환 수단(1315)d에 노출된 전구체(1310)의 부분은 적어도 부분적으로 변환되거나 반응되어 부분 변환 전구체(1350)의 영역을 형성한다. 단계(13D)에서, 조립체가 액체 현상제와 같은 제거 수단(도시되지 않음)에 노출된다. 변환되지 않은 전구체(1310)는 현상제 또는 제거 수단에 의해 제거되어, 보호층(1312)을 노출시키는 한편, 부분적으로 변환된 부분 변환 전구체(1332)는 제거 수단에 저항하여 그대로 남는다. 단계(13E)에서, 변환 수단(도시되지 않음)이 부분 변환 전구체(1350)에 도포되어 실질적으로 완전히 변환된 전구체(1360)를 형성한다. 이와 같은 변환은 블랭킷 노광 단계 또는 열 처리 단계에 의해 수행될 수 있다. 단계(13C 내지 13E)의 각각에서, 바람직하게 산소의 존재시 변환된 전구체(1360)이 유전체막일 때 변환이 수행되거나, 또는 수소와 같은 환원 가스의 존재 시에는 변환된 전구체(1360)이 금속막일 때 변환이 수행된다. 단계(13E)에서, 기판에 주입된 영역(1380)을 형성하기 위해 기판(1300)이 이온 빔(1370)과 같은 주입 수단에 의해 처리된다. 선택적인 단계(13G)에서, 주입 마스크가 제거되고, 주입된 영역(1370)을 기판내의 도핑된 영역(1390)으로 변환하기 위해 열처리 공정이 수행된다.
본 발명의 공정의 광범위한 범위는 광범위한 가능한 어플리케이션을 가능하게 한다. 본 발명의 바람직한 실시예는 인쇄 와이어 기판(printed wireboard)("PWB") 내의 통합 캐패시터 구조를 형성하는데 사용되는 비정질 금속 산화막을 구비하는데, 여기서, PWB 기판은 적합한 전구체 용액을 사용하여 본 발명의 따른 공정에 의해 코팅되고 직접 이미지화된다. 본 발명의 장점은, 다른 공정 단계의 직접적인 이미징 및 연관된 제거 능력, PWB 공정에 필요한 분위기 온도 및 압력의 사용, 및 수락가능한 높은 캐패시턴스를 갖는 막의 형성을 포함한다.
다른 바람직한 실시예에서, 패턴화된 금속 산화물 또는 합성 금속 산화막은, 본 공정에 의해 투명 기판 상에 불투명한 패턴으로 형성된다. 이와 같은 실시는 반도체 제조 공정 동안 패턴의 리소그래픽 전사를 위한 패터닝 마스크로서 사용될 수 있다.
본 발명의 또 다른 바람직한 실시예에서, 비정질 금속 산화물 또는 합성 금속 산화막을 사용하여, 수정된 실리콘 기판이 적당한 전구체 용액을 이용한 본 발명에 따른 공정에 의해 코팅되고 직접 이미징되는, 개선된 상호접속 반도체 장치의 상호접속 레벨 내에서 디커플링(decoupling) 캐패시터 구조를 형성한다. 본 실시예에서의 장점은 직접 이미징(direct imaging) 능력으로, 이로 인해 많은 다른 공정 단계 및 이와 같은 개선된 상호접속부의 조립에 이용할 수 없는 분위기 온도 및 압력의 사용을 제거한다.
본 발명의 또 다른 바람직한 실시예는, 캐패시터 저장 노드, 즉 다이나믹 랜덤 액세스 메모리("DRAM") 또는 강자성 메모리 저장 노드("FeRAM")으로서 메모리 저장 소자를 패턴화하는데 사용될 수 있는 전구체의 사용이다. 본 실시예에서의 장점은 직접 이미징(direct imaging) 능력으로, 이로 인해 많은 다른 공정 단계 및이와 같은 개선된 상호접속부의 조립에 이용할 수 없는 분위기 온도 및 압력의 사용을 제거한다.
본 발명의 또 다른 바람직한 실시예는, 첨단 실리콘에 기초한 장치들이 실리콘 산화물에서 높은 유전상수를 갖는 새로운 재료에 걸쳐 바람직한 게이트 유전 재료에서의 천하게 함에 따라, 반도체 제조의 전단에서 게이트 유전 재료를 형성하는 것이다. 새로운 보다 높은 유전상수 재료로 인해, 게이트 유전체가 등가 전기 성질을 위한 실리콘 이산화물에 대해 물리적으로 두껍게 될 수 있다는 것이다. 물리적 두께가 보다 클수록, 제조가 용이하게 되고 게이트를 통한 양자 터널링 효과가 최소화된다. 본 발명의 공정이 낮은 온도 및 보다 덜 엄격한 진공 처리 조건 면에서 다른 공지된 공정에 비해 우수하다는 것은, 라인의 전단(front end of the line)("FEOL") 반도체 공정에 적용될 때 극히 두드러진다. 높은 유전상수 재료의 다양한 범위는, BaxSryTizO3("BST"), BaTiO3, SrTiO3, PbTiO3, PbxZryTizO3("PST"), (Pb, La)(Zr,Ti)O3("PLZT"), (Pb,La)TiO3("PLT"), LiNbO3, Ta2O5, SrBi2Ta2O9, Al2O3, TiO2, ZrO2 및 HfO2를 포함하지만 이에 한정되는 않는 본 발명의 공정에 적용할 있다.
유사하게, 본 발명은 FEOL 반도체 제조를 위한 게이트 전극 재료를 제조하는데 이용될 수 있다. 이들 재료는 게이트 유전체 상부에 놓여 게이트 유전체에의 전기적 접촉을 형성한다. 역사적으로, 게이트 전극은 실리콘으로 구성되어 왔다. 게이트 유전체 재료가 실리콘 이산화물을 벗어나는 유사한 방식으로, 실리콘 보다 실질적으로 나은 성능 특성을 갖는 재료로 게이트 전극을 천이시키는 자극이 있다.게이트 전극 사용을 위한 후보 재료는 플래티넘, 이리듐, 루테늄, 루테늄 산화물, 이리듐 산화물 및 다른 새로운 재료를 포함한다. 이들 모든 재료는 종래에 증착 및 패터닝이 힘들었지만, 이들은 본 발명에 따른 공정에서는 사용하기가 쉽다. 부수적으로, 종래 방법에서의 많은 단계들은 고온, 엄격한 진공 조건 및 엄격한 플라즈마 처리 조건을 포함하고 있어서, 민감한 실리콘 기판에 손상을 주기 쉽다. 이와 같은 엄격한 조건은 본 발명의 공정을 이용하므로서 피할 수 있다. 종래 공정에서의 보다 힘든 것은 미국 특허 제6,048,769호에 개시된 바와 같이 서로 다른 바이어스의 게이트 트랜지스터에 걸쳐 서로 다른 전극 재료가 놓여야 하는 필요성이다. 종래 집적 경로의 조건은 이미 많은 단계 수를 배가시키는 반면에, 본 발명의 바람직한 실시예는 제조 관점에서 볼 때 극적인 장점을 제공하여 이와 같은 많은 단계들을 감소시킨다.
귀금속 및 도전 금속 산화물의 적용가능성은 게이트 전극 형성에 한정되지 않는다. FEOL 반도체 공정에 의무적으로 사용되는 도전 및 절연층 모두로서 이와 같은 재료를 위한 몇 가지 장벽 층 어플리케이션이 존재한다. 이들 어플리케이션은 캐패시터 재료로서 사용하기 위한 높은 유전상수("high-k")를 갖는 소정의 재료의 막의 형성에 의존하는 것을 알 수 있다. 유사하게, 인덕터 재료로서 사용하기 위한 막의 투과성(u)을 최적화시키기 위해 막들이 최적화될 수 있다. 저항성 소자들도 유사하게 자기, 압전, 파이로일렉트릭(pyroelectric) 및 강자성 소자로서 가능하다.
본 발명의 공정을 위한 다른 가능한 어플리케이션은 넓고 다양하다. 일부예는: 반도체 제조(트랜지스터 게이트 스택, 캐패시터 구조 등)를 위한 고 유전상수 재료의 직접 패턴화 증착(direct patterned deposition); 마이크로일렉트로닉스 패티징(캐패시터 구조)를 위한 고 유전상수 재료의 직접 패턴화 증착; 반도체 제조(트랜지스터 게이트 스택, 캐패시터 구조 등)을 위한 고 유전상수 재료의 저온 증착; 마이크로일렉트로닉스 패키징(캐패시터 구조 등)을 위한 저온 증착; 반도체 제조(트랜지스터 게이트 스택, 캐패시터 구조 등)를 위한 고 유전상수 재료의 비진공에 기초한 증착; 마이크로일렉트로닉스 패키징(캐패시터 구조 등)을 위한 고 유전상수 재료의 비진공에 기초한 증착; 반도체 제조(절연체 구조 등)을 위한 금속 산화물의 직접 패턴화 증착; 마이크로일렉트로닉스 패키징을 위한 금속 산화물의 저온 증착; 반도체 제조 패키징(캐패시터 구조 등)을 위한 금속 산화물의 저온 증착; 반도체 제조를 위한 금속 산화물의 비진공에 기초한 증착; 마이크로패키징을 위한 금속 산화물의 비진공에 기초한 증착; 반도체 제조(트랜지스터 스택 게이트)를 위한 금속의 직접 패턴화 증착; 마이크로일렉트로닉스 패키징(상호접속부 등)을 위한 금속의 직접 패턴화 증착; 반도체 제조를 위한 금속의 저온 증착; 마이크로일렉트로닉스 패키징을 위한 금속의 저온 증착; 반도체 제조를 위한 금속의 비진공에 기초한 증착; 마이크로일렉트로닉스 패키징을 위한 금속의 비진공에 기초한 증착; 반도체 제조(온 칩 저항 소자)를 위한 저항성 재료의 직접 패턴화 증착; 마이크로일렉트로닉스 패키징(내장된 저항)을 위한 고저항 재료의 직접 패턴화 증착; 반도체 제조를 위한 저항 재료의 저온 증착: 마이크로일렉트로닉스 패키징(내장된 저항)을 위한 저항 재료의 저온 증착; 반도체 제조를 위한 저항성 재료의 비진공에기초한 증착; 마이크로일렉트로닉스 패키징을 위한 저항 재료의 비진공에 기초한 증착; 합성 금속/산화물 증착을 거쳐 제어되는 저항 재료; 반도체 제조(온 칩 인덕터)에서 인덕터 제조를 위한 재료의 직접 패턴화 증착; 마이크로일렉트로닉스 패키징(내장된 인덕터)를 위한 인덕터 제조를 위한 재료의 직접 패턴화 증착; 반도체 제조를 위한 인덕터 제조를 위한 재료의 저온 증착; 마이크로일렉트로닉스 패키징(내장된 인덕터)를 위한 인덕터 제조를 위한 재료의 저온 증착; 반도체 제조를 위한 인덕터 제조를 위한 재료의 비진공에 기초한 증착; 마이크로일렉트로닉스 패키징을 위한 인덕터 제조를 위한 재료의 비진공에 기초한 증착; 유기 반도체 및/또는 유기 기판을 이용하여 장치의 제조를 위한 금속 및 산화물 재료의 직접 패턴화 증착; 유기 반도체 및/또는 유기 기판을 이용하여 장치의 제조를 위한 금속 및 산화물 재료의 저온 증착; 유기 반도체 및/또는 유기 기판을 이용하여 장치의 제조를 위한 금속 및 산화물 재료의 비진공에 기초한 증착; 이중층 또는 TSI 포토레지스트 공정에서 감광 이-빔 감광층을 이용; 자기 재료의 직접 패턴화, 저온, 비진공에 기초한 증착; 합성 금속 및 합성 산화물 재료의 증착; 금속 및 금속 산화물 구조의 스크린 프린팅; 금속 및 금속 산화물 구조의 잉크젯형(드롭렛(droplet)) 프린팅; 표면 상에 금속/산화막의 스프레이 코팅; 이들 재료를 사용하여 두꺼운 막의 액상 코팅(스핀 코팅 또는 메니커스 코팅)이 코팅 공정 및 성능을 단순화시키고 개선한 다음 변환시 체적 수축을 이용하여 얇은 코팅(얇은 최종 막을 제조하여 구조의 캐패시턴스를 개선하는 두꺼운 층들이 코팅되어 있는 내장된 캐패시터의 형성에서의 어플리케이션과 같은)의 형성; 폴리머/자연섬유 상의 금속 또는 금속 산화물 코팅(예를 들어 병원에의 사용, 양말 또는 패드와 같ㅌ은 방향성 저항 의상을 위한 섬유 및 반미생물 섬유르 위한 은/은 산화물의 코팅)을 증착하기 위해 액상 코팅 및 후속하는 광화학 또는 유기금속 전구체의 저온 열 변환; 촉매 활성 다공성 재료를 형성하기 위해 전구체의 액상 침투 및 후속하는 열 변환; 폴리머 용액에의 첨가물을 사용하여 나노 합성물을 형성하으로써 결과로 나타나는 재료의 물리적, 화학적, 광학적 및 전기적 성질을 변화시킴; 위에 언급된 공정으로부터 VOC 방출을 감소시키기 위해 수분 용해 전구체의 합성 및 형성; 게이트 전극 재료; 평탄 패널 디스플레이; FeRAM; 직접=기록 재료; 마이크로유체; 마스크 및 도파관을 포함한다.
전자 재료의 제조를 위한 공정의 부수적인 설명은 본 개시가 본 발명의 참조로서 일체화된 "Method of And Apparatus for Substrate Pretreatment"라는 제목의 현재 출원중인 미국특허출원 제.09/........에 설명된 것을 포함한다.
다음 예는 본 발명의 임의의 실시예들을 더 예시한다. 이들 예는 예시적인 목적으로 제시되며, 어떤 경우에든 본 발명의 범위를 제한하지 않는다.
예 1
서로 다른 지르코늄-함유 전구체, Zr(아세틸아세톤)4, (또한 Zr(acac)4, 테트라키스(tetrakis(2,4-펜타네디오네이트(pentanedionato)지르코늄(IV) 및 Zr(카르복시레이트)4라고도 함)의 두 막이, 적당한 용제에 용해시켜 실리콘 웨이퍼의 표면 상에 용액을 스핀 코팅하므로서 캐스트된다. 각각은 전구체 막 두께에 어떠한 추가적인 변화도 없을 때까지 연장된 블랭킷 열 처리된다. 이 처리 다음에, 각 샘플의 굴절률이 가변 각도 스펙트로스코프 일립소메트리(variable angle spectroscopic ellipsometry)("VASE")을 사용하여 파장의 함수로서 측정된다. 그 결과가 도 14에 도시된다. 도 14에서, 라인(101)은 Zr(acac)4 전구체로 형성된 샘플로부터 인한 것이고, 라인(102)는 Zr(acac)4 전구체로 형성된 샘플로부터 인한 것이다. 이들 결과는 전구체의 화학적 조성에 직접 관련된 샘플들의 각각에 대한 굴절률 성질에 큰 차이가 있다는 것을 증명한다. Zr(acac)4 전구체는 Zr(카르복실레이트)로 형성된 막보다 약 3% 이상의 굴절률을 갖는 막을 형성한다.
예 2
먼저 두 개의 서로 다른 동 전구체가 준비된다: Cu2(OH2)2(O2C(CH2)4CH3)4 및 (μ-(C2H5)2NCH2CH2O)2CU2(N3)2. 각각의 전구체는 광화학 처리되어, 리간드의 손실 및 동 원자를 만들게 된다. 동 원자들은 상호 각각 결합되어 동 금속을 만들거나 또는 산소화 결합하여 동 산화물을 형성하지만, 산화물의 형성은 또한 먼저 형성된 동 금속의 산화결과로서 발생할 수 있다. 종래 기술의 화학에 기초하여, 막 형성 성질 및 반응의 효율이 전구체의 선택의 결과로서 광범위하게 변할 수 있지만, 이들 서로 다른 전구체가 유사한 반응 조건 하에 서로 다른 제품을 제공할 것이라고 가정하는 이유가 없다
따라서, 이들 두 개의 전구체의 각각이 용해되고, 각각의 용액이 스핀 코팅에 의해 실리콘 칩에 증착되며, 코팅된 칩의 각각 위의 전구체 층이 진공하에서 광과 광분해하므로써 실질적으로 완전히 변환되었다. 광분해는 리간드와 연관된 어떠한 흡수도 막의 FTIR 스펙트럼에 관찰되지 않을 때까지 계속되었다. 다음에 샘플들은 노로 보내져 약 400℃의 온도하에 가열되었다.
다음에, 각각의 샘플은 공지된 광각 x선 회절 방법에 의해 조사되었다. Cu2(OH2)2(O2C(CH2)4CH3)4 전구체는 변환 후에 하나 이상의 동 산화물을 만들은 반면, (μ-(C2H5)2NCH2CH2O)2CU2(N3)2 전구체는 보다 많은 결정 금속 동을 만든 것을 알았다. 이들 결과는 공정의 의존성, 즉 변환후에 기술에 의해 이전에 가정되지 않은 방식으로 전구체 조성에 의존한다는 것을 증명한다.
소정의 전구체 재료의 막을 열 또는 광분해 공정에 의해 비정질 막으로 변환시키는 방법은, 막의 성질에 큰 충격을 줄 수 있다. 이는 광학 굴절률을 요약하는 데이터에 도시되어 있고, 또한 예 3 및 4에 도시된 바와 같이, 유전상수의 비교에 의해 명확히 증명된다.
예 3
Chaemat Technology, Inc.에 의한 Zr(acac)4 (지르코늄(IV) 아세틸아세톤은 톨로엔에 용해되고, 이 용액은 30 초 동안 1250rpm으로 실리콘 웨이퍼 상에서 스핀되었다. 결과로 나타나는 변환되지 않은 전구체 막은 436Å의 두께를 가졌다. ZrO2로의 열 변환이 1 시간 동안 180℃에서 핫 플레이트(hot plate) 상에서 수행되었다. 연장된 열 변환이 180℃로 핫 플레이트 상에서 수행되었다. ZrO2로의 광화학 변환이 220nm 콜드 미러(cold mirror)를 갖는 Karl Suss MJB-3 마스크 정렬기를 사용하여 수행되었다. 깊은 UV(dir 0.38mW㎠)에서 마스크 정렬기의 저 강도 출력으로 인해, 부수적인 노광이 추가저인 두께 감소를 초래하는 도우즈인 것으로 밝혀졌기 때문에 5 시간의 노광 시간이 사용되었다. 두께 및 굴절률이결과로 나타나는막에 대한 파장의 함수롯 VASE를 사용하여 측정되었다. 이들 막의 측정된 두께는 다음과 같았다:
변환되지 않은 Zr(acac)4 전구체 436Å
열적으로 변환된360Å
연장된 열 변환316Å
광변환된330Å
Chemat Technology, Inc. (Northridge CA USA)로부터 입수할 수 있는 Zr(O(O)CC7H15)4(지로코늄(IV)2-에틸 헥사노에이트(hexanoate)는 헥산에서 용해되고, 용액이 30초 동안 1500rpm으로 실리콘 웨이퍼 상에서 회전되었다. 결과로 나타나는 변환되지 않은 전구체는 2335Å의 두께를 가졌다. ZrO2로의 열 변환이 3시간 동안 180℃에서 핫 플레이트 상에서 수행되었다. 3 시간의 열 변환 시간을 포함하는 전체 6시간 동안 180℃에서 핫 플레이트 상에서 연장된 열 변환이 수행되었다. ZrO2로의 광화학 변환은, 상술된 바와 같이,Zr(O(O)CC7H15)4 전구체의 저감광도 및 저노출 세기 때문에 약 30 시간의 노광 시간이 사용되었다는 것을 제외하고 ZrO(acac)2 전구체에 대해 수행되었다. 다시 각각의 결과로 나타나는 막에 대한 파장의 함수로서 두께 및 굴절률이 VASE를 사용하여 측정되었다. 이들 막의 측정된 두께는 다음과 같다:
변환되지 않은 r(O(O)CC7H15)4 2335Å
열적으로 변환된1141Å
연장된 열 변환977Å
광변환된1487Å
굴절률 결과가 도 15에 도시되는데, 여기서 Zr(acac)4 광화학적으로 변환된 것은 라인 1, Zr(acac)4 열적으로 변환된 것은 라인 2, Zr(O(O)CC7H15)4 열적으로 변환된 것은 라인 3, Zr(O(O)CC7H15)4 광화학적으로 변환된 것은 라인 4, 연장된 열 변환에 의해 변환된 Zr(O(O)CC7H15)4은 라인 5, 및 연장된 열 변환에 의해 변환된 Zr(acac)4은 라인 6이다.
결과는, 전구체의 화학 조성 및 준비되는 방법에 직접 관련된 샘플들의 각각에 대한 굴절률 성질에서 큰 차이가 있다는 것을 증명한다.
예 4
알루미늄-코팅 실리콘 웨이퍼가 헥산의 용액에서 적어도 부분적인 변환 후에, BST를 만들도록 설계된 전구체의 약 7000Å으로 스핀 코팅되었다. BST의 전구체 막은 1:0.8:1의 Ba:Sr:Ti(IV) 몰비(molar ratio)에 대응하여, 3.7g Ti(bis(아세틸아세테이트)di(이소프롭옥사이드(isopropoxide)), 2.8g 베리움 2-에틸헥사노에이트, 및 182g 헥산(hexanes)에서5.6 2-에틸헥사노에이트(2-에틸헥사노에이트 산에서 40% w/w)를 용해시켜 준비되었다. 전구체 막들은 열 처리 또는 광분해 처리에 의해 BST 막들로 변환되었다. 결과로 나타나는 얇은 BST 막들은 박막 캐패시터로 제조되고, 막의 전기적 성질이 측정되었다. 다음의 결과로부터 알 수 있는 바와 같이, 각 막의 유전상수 및 평균 컨덕턴 값이 극적으로 달랐다.
열 변환으로부터의 BST
유전상수4.66
평균 컨덕턴스 0.009992 S
광화학 변환으로부터의 BST
유전상수27.26
평균 컨덕턴스 0.04311 S
이들 결과는, 변환된 전구체가 준비되는 방법에 직접 관련된 샘플들의 각각에 대한 전기적 성질에서 큰 차이가 있다는 것을 증명한다.
예 5
용제의 선택, 예를 들어, 막 전구체의 스핀 코팅은 막의 광학적 품질에 영향을 미칠 수 있기 때문에 중요하다. 예를 들어, 이 샘플은 BST로 변환되도록 설계된 전구체를 구비하는 막이 MIBK 및 n-헵타인(heptane)의 각각에서 용액으로부터 노 광학 품질의 막을 만드는 한편, "스트리크한(streaky)" 막이 PGMEA의 용액으로부터 형성되었다.
전구체 용액들이 용제에 전구체를 용해시키거나 또는 전구체 용액에서 용제의 일부 또는 전부를 소정의 용제로서 교체하므로써 이들 세가지 용제의 각각에서 형성되었다. 각각의 용액은 스핀 코팅에 의해 알루미늄-코팅 Si 웨이퍼 상에 증착되었다. 웨이퍼는 30 초 동안 1500rpm으로 스핀되었다. 스피닝 다음에, 임의의 나머지 용제를 제거하기 위해 2 분 동안 110℃에서 핫 플레이트 상에서 베이크되었다. 전구체 막들은 1.2mW/㎠의 세기로 220nm 콜드 미러를 갖는 Karl Suss MJB-3 마스크를 사용하여 산화물로 변환되었다. 각각의 웨이퍼가 완전한 노광을 위해 1.5 시간 동안 노출되었다. 변환 후에, 현상 또는 제거 단계를 수행하여, 샘플을스핀 코팅하는데 사용되는 동일한 용제로서 린스하므로써 각각의 전구체 막의 변환되지 않고 노출되지 않은 부분들을 씻어내었다. 변환전, 즉 변환 바로 직후 및 현상 바로 직후의 변환되지 않은 막 두께를 VASE를 사용하여 측정하였다.
MIBK 및 n-헵타인으로부터 만들어진 것과 같은 고 광학 품질의 전구체 막은 반드시 피처없는(featureless) 표면을 가지고 있었고, 따라서 어떠한 도면도 이를 도시하지 않는다. 이와 대조적으로, PGMEA 용액으로부터 스핀 코팅된 BST 전구체 막은, 도 16으로부터 볼 수 있는 바와 같이 큰 스트리킹(streaking)을 나타내었다. 위의 용제들 중에서, MIBK는 가장 균일하고 재생가능한 막을 만들었다.
예 6
열 처리가 전구체 막을 소정 재료의 비정질 막으로 변환하기 위해 어떻게 사용될 수 있는지의 예에서, 일련의 배어 실리콘 웨이퍼(bare silicon wafers)가 변환시 BST를 형성하도록 설계된 전구체의 용액으로 스핀 코팅되었다. 웨이퍼들은 10분 간격으로 전체 120분 동안 160℃에서 핫 플레이트 상에서 각각 가열시켜 적어도 부분적인 변환 단계 처리되었다. 각각의 변환 간격 후에, 전구체 패턴은 변환되지 않은 전구체를 제거하기 위해 이소프롭패놀(isopropanol)로 린스하여 현상되었다. 이는 막을 열적으로 프린트하는데 필요한 시간의 결정이 IPA로의 현상 후에 남는 막의 대부분을 갖게 하게 한다. 도 17에 도시된 바와 같이, 이 시간은 열 변환 동안 약 20분인 것으로 판단되었다.
열 변환 동안, 광화학 변환을 대체하므로써 유사한 실험을 수행하였다. 이들 결과가 도 18에 도시되어 있다. 이 도면은, 막을 광화학적으로 프린트하는데필요한 시간이 30 내지 60 분 범위 내에 있다는 것을 증명한다. 광화학 변환과 열 부분 변환 또는 전처리를 결합시키도록 설계된 세 번째 실험에서, 웨이퍼들은 10분 동안 160℃의 열 전처리된 다음, 위에 설명된 광화학 변환 처리되었다. 열/광화확 프린트 결과가 도 19에 도시되어 있는데, 도 19는 결합된 열/광화학 변환 공정에 의해 변환에 필요한 시간, 즉 패턴을 형성하는데 필요한 최소 시간이 광화학 변환만을 위해 30 내지 60분에 걸쳐 약 20분으로 감소되었다는 것을 증명한다.
예 7
광 투사 시스템의 해상도는, 이미지 광의 파장을 감소시키고, 렌즈 시스템의 개구수를 증가시키므로써 향상될 수 있다. 그러나, 레지스트 전체를 통해 이미지를 초점 맞추는 능력은 그 두께가 감소함에 따라 감소한다. 초점의 깊이는 레지스트 막의 두께를 감소시키으로서 유지될 수 있지만, 최소 레지스트 두께는 패턴 전사 단계에 필요한 에칭 조건에 의해 제한된다. 초점 한계의 깊이를 완화시키기 위해, 박막 이미징을 사용하여 레지스트의 상부 층만을 패터닝하고 있다. 층 상부의 레지스트를 이미지한 후에, 패턴이 현상된 다음, 에칭 공정을 사용하여 기판으로 전사된다.
금속 복합체 전구체를 사용한 이중층 방법의 경우, 하부층과 금속 복합체 전구체를 구비하는 2 스택 막이 각각의 층으로 사용, 즉 스핀 코팅될 수 있다. 금속 복합체 전구체는 에칭 마스크의 필요성을 제공하지만, 하부층은 에칭에 의해 패턴을 기판으로 전사하는데 사용된다. 세 가지 서로 다른 하부층들이 테스트되었다. PMMA, PHOST 및 Novolacc. PHOST 및 Novolac는 금속 복합체 전구체의 스핀 코팅이전에 2시간 동안 160℃에서 핫 플레이트 상에서 하드 베이크(hard baked)되었다. 실험에 사용된 금속 복합체 전구체는 BST, PZT 또는 티타늄 이산화물(TiO2)를 형성하도록 설계되었다. BST 전구체는 예 4에 설명된 절차에 따라 준비되었다. PZT 전구체는 57.4g 헥사네(hexanes)에서 리드(II)2-에틸헥사노에이트의 18.48g을 용해시키고, 24.2g 지르코늄(IV)2-에틸헥사노에이트 및 9.5g Ti(bis(에세틸에세톤)di(이소프롭옥사이드)를 첨가하고, 다음에2.5:1:1.3의 Pb(II):Zr(IV):Ti(IV) 몰비에 대응하여 부수적인 헥사네의 327g을 첨가하여 준비하였다. 다음 표는 사용된 서로 다른 조합 및 하부층 및 금속 복합체 전구체가 어떻게 호환하는 것으로 간주되는 지를 열거한다.
-------------------------------------------------------
하부층 전구체 헥사네 캐스팅 용제를 이용한
상대 호환성
-------------------------------------------------------
PMMA BST불량
PMMA PZT불량
PHOST BST불량
PHOST PZT불량
Novolac BST양호
Novolac PZT양호
Novolac Tio2양호
-------------------------------------------------------
하드 베이크된 Novolac은 BST, PZT 및 TiO2 전구체와 호환하는 한편, 테스트된 PMMA 및 PHOST 하부 재료는 금속 복합체 층의 스핀 코팅 동안 용해되는 것을 밝혀졌다. PMMA 및 PHOST는 BST 및 PZT 전구체에 사용된 캐스팅 용제 헥사네의 조제시 용해되었다. BST 및 PZT와 하드 베이크된 Novolac의 호환성은 패턴의 패터닝 및 전사를 허용하였다.
예 8
하드 베이크된 Novolac 및 두 개의 금속 복합체들 간의 에칭 선택도는 에칭시 두께 변화를 모니터링하므로서 결정되었다. 예 7에 따라 준비된, 하드 베이크된 Novolac 및 완전히 변환된 PZT 또는 TiO2의 샘플들이 에칭 챔버에 로드되고, 적어도 120초까지 30초 간격으로 산소 플라즈마로 에칭되었다. 각각의 간격 후에 샘플 두께가 측정되었다. 각 샘플에 대한 에칭 속도는 두께 대 에칭 시간의 도면 슬로프로부터 결정되었다. 하드 베이크된 Novolac의 두께 대 에칭 시간 도면이 도 20에 도시되어 있고, 완전히 변환된 PZT 또는 TiO2를 위해 샘동일한 도면이 도 21에 도시되었다. 다음 표는 선형 최소 정방형 라인의 각각의 슬로프와 교정 계수를 열거한다.
-------------------------------------------------------
산소 에칭층 두께 대 에칭 시간 교정 계수
도면의 슬로드
-------------------------------------------------------
하드 베이크된 -76.3 0.999
Novolac
완전히 변환된 -0.1950.985
PZT
완전히 변환된 -0.0866 0.992
PZT 또는 TiO2
-------------------------------------------------------
각각의 에칭 속도 도면의 슬로프의 비로부터 에칭 선택도가 결정되었다. 하드 베이크된 Novolac 및 완전히 변환된 PZT 간의 에칭 선택도는 약 390:1이었다. 하드 베이크된 Novolac 및 완전히 변환된 TiO2 간의 에칭 선택도는 약 880:1이었다. TiO2의 에칭 선택도는 동일한 조건하에서 SiO2의 그것에 상당하다.
예 9
각 재료의 완전히 변환된 일련의 막을 노출시켜 e-빔의 도우즈를 증가시키고, 현상 후에, 막의 일부가 제로에 남는 최고 도우즈 및 남의 막의 일부가 약 1의 값에 도달하는 최저 도우즈를 앎으로서 이들 재료의 광속도를 결정하기 위해 BZT 및 PZT의 E-빔 콘트라스트를 수행하였다. PZT 및 BST에 대한 콘트라스트는 약 60 내지 100μC/㎠까지 각각에 대해 약 동일한 범위로 발생한다.
비록 본 발명이 몇몇 특정 실시예를 참조로 설명되었지만, 이 설명은 본 발명의 예시일 뿐이며 본 발명을 제한하는 것으로 간주되어서는 안된다. 기술분야의 숙련자에게는 첨부하는 특허청구의 범위에 정의된 본 발명의 참된 의도 및 범위를 일탈하지 않고 다양한 수정이 발생할 수 있다.

Claims (31)

  1. 기판 상에 하드 마스크를 형성하는 방법에 있어서,
    적어도 하나의 전구체 재료를 선택하는 단계;
    상기 기판 위에 전구체를 구비하는 층을 형성하는 단계;
    상기 전구체 층을 현상하여, 상기 전구체 층에 패턴을 형성하는 단계; 및
    상기 패턴을 상기 기판으로 전사하여, 상기 패턴을 형성하는데 포토레지스트가 사용되지 않게 하는 단계
    를 포함하는 방법
  2. 제1항에 있어서, 현상제로 상기 전구체 층의 변환되지 않은 부분(unconverted postion)을 현상하는 단계를 더 포함하는 방법.
  3. 제2항에 있어서, 상기 현상제는, 적어도 하나의 알콜과 적어도 하나의 케톤(ketone)을 구비하는 액체 현상제이고, 상기 알콜 모두의 전체 체적은 상기 알콜의 전체 체적과 상기 액체 현상제에 있는 케톤의 전체 체적의 합의 50% 이상인 방법.
  4. 제3항에 있어서, 상기 적어도 하나의 알콜은 이소프로필 알콜(isopropyl alcohol)이고, 상기 적어도 하나의 케톤은 메틸 이소부틸 케톤이고, 이소프로필 알콜:메틸 이소부틸 케톤의 비율은 체적으로 약 1:1 이상 체적으로 약 40:1 미만 범위인 방법.
  5. 제1항에 있어서, 현상제로 상기 전구체 층의 변환된 부분을 현상하는 단계를 더 포함하는 방법.
  6. 제5항에 있어서, 상기 현상제는, 적어도 하나의 알콜과 적어도 하나의 케톤(ketone)을 구비하는 액체 현상제이고, 상기 알콜 모두의 전체 체적은 상기 알콜의 전체 체적과 상기 액체 현상제에 있는 케톤의 전체 체적의 합의 50% 이상인 방법.
  7. 제6항에 있어서, 상기 적어도 하나의 알콜은 이소프로필 알콜(isopropyl alcohol)이고, 상기 적어도 하나의 케톤은 메틸 이소부틸 케톤이고, 이소프로필 알콜:메틸 이소부틸 케톤의 비율은 체적으로 약 1:1 이상 체적으로 약 40:1 미만 범위인 방법.
  8. 제1항에 있어서, acac, 카르복실아토(carboxylato), 알콕시(alkoxy), 아지드(azide), 카르보닐(carbonyl), 니트라토(nitrato), 아민, 할리드(halide), 니트로, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 리간드(ligand); 및 Li, Al, Si, Ti, V, Cr, Mn, Fe, Ni, Co, Cu, Zn, Sr, Y, Zr,Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Ba, La, Pr, Sm, Eu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Th, U, Sb, As, Ce, Mg 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 금속을 구비하는 금속 복합체(metal complex)로부터 상기 적어도 하나의 전구체 재료를 선택하는 단계를 더 포함하는 방법.
  9. 기판 상에 하드 마스크를 형성하는 방법에,
    적어도 하나의 전구체 재료를 선택하는 단계;
    선택적으로, 상기 기판 위에 보호층을 형성하는 단계;
    상기 보호층 위에 변환되지 않은 전구체를 구비하는 층을 형성하는 단계;
    상기 변환되지 않은 전구체 층의 적어도 일부를 부분적으로 변환하는 단계;
    상기 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하여 패턴을 형성하는 단계; 및
    상기 부분 변환 전구체 층의 적어도 일부를 변환하여 하드 마스크를 형성하는 단계
    를 포함하는 방법.
  10. 제9항에 있어서, 에너지원을 실질적으로 투과하는 적어도 한 부분을 구비하는 패턴화된 마스크를 통해, 광, 전자 빔 복사, 이온 빔 복사 및 그 혼합 중에서 선택된 에너지원으로 부분적으로 변환하는 단계를 더 포함하는 방법.
  11. 제9항에 있어서, 현상제를 사용하여 상기 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하는 단계를 더 포함하는 방법.
  12. 제9항에 있어서, 광, 전자 빔 복사, 이온 빔 복사, 열처리 및 그 혼합 중에서 선택된 에너지원으로 변환하므로써 상기 하드 마스크를 형성하는 더 포함하는 방법.
  13. 제9항에 있어서, acac, 카르복실아토(carboxylato), 알콕시(alkoxy), 아지드(azide), 카르보닐(carbonyl), 니트라토(nitrato), 아민, 할리드(halide), 니트로, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 리간드(ligand); 및 Li, Al, Si, Ti, V, Cr, Mn, Fe, Ni, Co, Cu, Zn, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Ba, La, Pr, Sm, Eu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Th, U, Sb, As, Ce, Mg, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 금속을 구비하는 금속 복합체(metal complex)로부터 상기 적어도 하나의 전구체 재료를 선택하는 단계를 더 포함하는 방법.
  14. 기판에 에칭된 패턴을 형성하는 방법에,
    적어도 하나의 전구체 재료를 선택하는 단계;
    상기 기판 위에 변환되지 않은 전구체를 구비하는 층을 형성하는 단계;
    상기 변환되지 않은 전구체 층의 적어도 일부를 부분적으로 변환하는 단계;
    상기 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하는 단계;
    상기 부분 변환 전구체 층의 적어도 일부를 변환하여 하드 마스크를 형성하는 단계; 및
    상기 하드 마스크에 의해 실질적으로 덮이지 않은 기판의 적어도 일부를 에칭하여 상기 기판에 적어도 하나의 패턴화된 영역을 형성하는 단계
    를 포함하는 방법.
  15. 제14항에 있어서, acac, 카르복실아토(carboxylato), 알콕시(alkoxy), 아지드(azide), 카르보닐(carbonyl), 니트라토(nitrato), 아민, 할리드(halide), 니트로, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 리간드(ligand); 및 Li, Al, Si, Ti, V, Cr, Mn, Fe, Ni, Co, Cu, Zn, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Ba, La, Pr, Sm, Eu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Th, U, Sb, As, Ce, Mg, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 금속을 구비하는 금속 복합체(metal complex)로부터 상기 적어도 하나의 전구체 재료를 선택하는 단계를 더 포함하는 방법.
  16. 패턴화된 얇은 상면을 형성하는 방법에,
    금속 복합체(metal complex)를 구비하는 적어도 하나의 전구체 재료를 선택하는 단계;
    상기 기판 상에 패턴 전사 층을 형성하는 단계;
    상기 패턴 전사 층 위에 변환되지 않은 전구체를 구비하는 층을 형성하는 단계;
    광을 실질적으로 투과하는 적어도 한 부분을 구비하는 패턴화된 마스크를 통해 상기 변환되지 않은 전구체 층의 일부를 상기 광에 노출시켜, 상기 노출된 부분을 부분적으로 변환시키는 단계;
    상기 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하는 단계;
    상기 부분 변환 전구체 층의 적어도 일부를 변환하여 하드 마스크를 형성하는 단계;
    상기 적어도 부분 변환 전구체 및 상기 패턴 전사 층의 덮이지 않은 부분을 에칭하여, 상기 덮이지 않은 패턴 전사 층으로부터 적어도 하나의 에칭된 부분을 형성하는 단계; 및
    나머지 적어도 하나의 부분 변환 전구체 층 및 패턴 전사 층을 실질적으로 제거하여, 상기 기판의 적어도 한 일부를 벗기는 단계
    를 포함하는 방법.
  17. 제16항에 있어서, 포토레지스트, 폴리이미드, 폴리(메틸 메타아크릴레이트), Novolac 및 에폭시로부터 상기 패턴 전사 층을 선택하는 단계를 더 포함하는 방법.
  18. 제16항에 있어서, acac, 카르복실아토(carboxylato), 알콕시(alkoxy), 아지드(azide), 카르보닐(carbonyl), 니트라토(nitrato), 아민, 할리드(halide), 니트로, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 리간드(ligand); 및 Li, Al, Si, Ti, V, Cr, Mn, Fe, Ni, Co, Cu, Zn, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Ba, La, Pr, Sm, Eu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Th, U, Sb, As, Ce, Mg, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 금속을 구비하는 금속 복합체(metal complex)로부터 상기 적어도 하나의 전구체 재료를 선택하는 단계를 더 포함하는 방법.
  19. 리프트오프 층(liftoff layer) 위에 패턴화된 얇은 상면을 형성하는 방법에,
    금속 복합체(metal complex)를 구비하는 적어도 하나의 전구체 재료를 선택하는 단계;
    상기 기판 상에 릴리스 층(release layer)을 형성하는 단계;
    상기 릴리스 층 위에 리프트오프 층을 형성하는 단계;
    상기 리프트오프 층 위에 변환되지 않은 전구체를 구비하는 층을 형성하는 단계;
    광을 실질적으로 투과하는 적어도 한 부분을 구비하는 패턴화된 마스크를 통해 상기 변환되지 않은 전구체 층의 일부를 상기 광에 노출시켜, 상기 노출된 부분을 부분적으로 변환시키는 단계;
    상기 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하여, 상기 리프트오프 층의 나머니 부분이 상기 부분 변환 전구체 층에 의해 덮인채 상기 리프트오프 층의 적어도 일부를 노출시키는 단계;
    상기 부분 변환 전구체 층의 적어도 일부를 변환하여 하드 마스크를 형성하는 단계;
    상기 적어도 부분 변환 전구체 및 상기 리프트오프 층의 덮이지 않은 부분을 이방성 제거 수단에 노출시켜, 상기 리프트오프 층의 상기 덮이지 않은 부분 및 상기 리프트오프 층의 상기 덮이지 않은 부분 하부의 상기 릴리스 층의 적어도 일부를 실질적으로 제거하고, 상기 기판의 적어도 일부를 노출시키는 단계;
    상기 적어도 부분 변환 전구체, 상기 리프트오프 층의 상기 덮인 부분, 및 상기 리프트오프 층의 상기 덮인 부분 밑의 상기 릴리스 층의 적어도 일부를 등방성 제거 수단에 노출시키는 단계;
    상기 노출된 기판의 상기 적어도 일부 위 및 상기 적어도 부분 변환 전구체 위에 금속의 막을 증착시키는 단계;
    상기 적어도 부분 변환 전구체 위의 상기 금속을 실질적으로 제거하는 단계; 및
    상기 나머지 적어도 부분 변환 전구체, 상기 리프트오프 층, 상기 릴리스 층을 실질적으로 제거하여, 상기 기판 위에 상기 금속의 패턴을 형성하는 단계
    를 포함하는 방법.
  20. 제19항에 있어서, acac, 카르복실아토(carboxylato), 알콕시(alkoxy), 아지드(azide), 카르보닐(carbonyl), 니트라토(nitrato), 아민, 할리드(halide), 니트로, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 리간드(ligand); 및Li, Al, Si, Ti, V, Cr, Mn, Fe, Ni, Co, Cu, Zn, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Ba, La, Pr, Sm, Eu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Th, U, Sb, As, Ce, Mg, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 금속을 구비하는 금속 복합체(metal complex)로부터 상기 적어도 하나의 전구체 재료를 선택하는 단계를 더 포함하는 방법.
  21. 리프트오프 층(liftoff layer) 위에 패턴화된 얇은 상면을 형성하는 방법에,
    금속 복합체(metal complex)를 구비하는 적어도 하나의 전구체 재료를 선택하는 단계;
    상기 기판 상에 변환되지 않은 전구체 재료를 구비하는 층을 형성하는 단계;
    상기 변환되지 않은 전구체 층의 적어도 일부를 부분적으로 변환하는 단계;
    상기 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하여, 상기 부분 변환 전구체 부분에 안쪽으로 테이퍼진 측벽 프로필(inwardly-tapering sidewall profile)을 형성하고, 상기 기판의 적어도 일부를 노출시키는 단계;
    상기 부분 변환 전구체 층의 적어도 일부를 변환시켜 하드 마스크를 형성하는 단계;
    상기 노출된 기판의 상기 적어도 일부 위 및 상기 적어도 부분 변환 전구체 위에 금속의 막을 증착시키는 단계;
    상기 적어도 부분 변환 전구체 위의 상기 금속을 실질적으로 제거하는 단계; 및
    나머지 상기 적어도 부분 변환 전구체를 실질적으로 제거하여 상기 기판 웨이 상기 금속의 패턴을 형성하는 단계
    를 포함하는 방법.
  22. 제21항에 있어서, acac, 카르복실아토(carboxylato), 알콕시(alkoxy), 아지드(azide), 카르보닐(carbonyl), 니트라토(nitrato), 아민, 할리드(halide), 니트로, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 리간드(ligand); 및 Li, Al, Si, Ti, V, Cr, Mn, Fe, Ni, Co, Cu, Zn, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Ba, La, Pr, Sm, Eu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Th, U, Sb, As, Ce, Mg, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 금속을 구비하는 금속 복합체(metal complex)로부터 상기 적어도 하나의 전구체 재료를 선택하는 단계를 더 포함하는 방법.
  23. 기판에 주입된 영역(implanted region)을 형성하는 방법에,
    적어도 하나의 전구체 재료를 선택하는 단계;
    선택적으로, 상기 기판 상에 보호층을 형성하는 단계;
    상기 보호층 위에 적어도 하나의 변환되지 않은 전구체 재료를 구비하는 층을 형성하는 단계;
    상기 변환되지 않은 전구체 층의 적어도 일부를 부분적으로 변환시켜, 상기 전구체 층의 부분적으로 변환된 부분을 형성하는 단계;
    현상제를 사용하여, 상기 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하는 단계;
    상기 부분 변환 전구체 층의 적어도 일부를 변환시켜 하드 마스크를 형성하는 단계; 및
    상기 하드 마스크에 의해 실질적으로 덮이지 않은 상기 기판의 적어도 일부에 이온을 주입하여, 상기 기판에 적어도 하나의 주입된 영역을 형성하는 단계
    를 포함하는 방법.
  24. 제23항에 있어서, 에너지원을 실질적으로 투과하는 적어도 한 부분을 구비하는 패턴화된 마스크를 통해, 광, 전자 빔 복사, 이온 빔 복사 및 그 혼합 중에서 선택된 에너지원으로 부분적으로 변환하는 단계를 더 포함하는 방법.
  25. 제23항에 있어서, 광, 전자 빔 복사, 이온 빔 복사, 열처리 및 그 혼합 중에서 선택된 에너지원으로 변환하므로써 상기 하드 마스크를 형성하는 더 포함하는 방법.
  26. 제23항에 있어서, 상기 변환되지 않은 기판을 이온 빔에 노출시켜 이온을 주입하는 단계를 더 포함하는 방법.
  27. 제23항에 있어서, acac, 카르복실라토(carboxylato), 알콕시(alkoxy), 아지드(azide), 카르보닐(carbonyl), 니트라토(nitrato), 아민, 할리드(halide), 니트로, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 리간드(ligand); 및 Li, Al, Si, Ti, V, Cr, Mn, Fe, Ni, Co, Cu, Zn, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Ba, La, Pr, Sm, Eu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Th, U, Sb, As, Ce, Mg, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 금속을 구비하는 금속 복합체(metal complex)로부터 상기 적어도 하나의 전구체 재료를 선택하는 단계를 더 포함하는 방법.
  28. 유전체 층에 이중 다마신 아키텍춰를 형성하는 방법에 있어서,
    적어도 하나의 전구체 재료를 선택하는 단계;
    기판 위에 특성 두계를 갖는 상기 유전체 층을 형성하는 단계;
    상기 유전체 층 위에 제1 변환되지 않은 전구체를 포함하는 층을 형성하는 단계;
    제1 부분 변환 수단을 사용하여, 상기 제1 변환되지 않은 전구체 층의 적어도 일부 위에 상기 제1 전구체 층의 부분적으로 변환된 부분을 형성하는 단계;
    제1 제거 수단을 사용하여 상기 적어도 부분적으로 변환된 제1 전구체 층에 의해 덮이지 않은 제1 패턴을 형성하기 위해, 상기 제1 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하여 상기 유전체 층의 적어도 일부를 노출시키기는 단계;
    제1 변환 수단을 사용하여, 상기 부분적으로 변환된 제1 전구체 층의 적어도일부 위에 하드 마스크를 형성하는 단계;
    상기 유전체 층의 노출된 부분 위 및 상기 적어도 부분적으로 변환된 제1 전구체 층 위에 스핀 평탄화 층을 형성하는 단계;
    상기 스핀 평탄화 층 위에 제2 변환되지 않은 전구체를 포함하는 층을 형성하는 단계;
    제2 부분 변환 수단을 사용하여, 상기 변환되지 않은 제2 전구체 층의 적어도 일부 위에 상기 제2 전구체의 적어도 부분적으로 변환된 부분을 형성하는 단계;
    제2 제거 수단을 사용하여 상기 적어도 부분적으로 변환된 제2 전구체 층에 의해 덮이지 않은 제2 패턴을 형성하기 위해, 상기 제2 변환되지 않은 전구체 층의 적어도 일부를 실질적으로 제거하여 상기 스핀 평탄화 층의 적어도 일부를 노출시키는 단계;
    제2 변환 수단을 사용하여, 상기 부분적으로 변환된 제2 전구체 층의 적어도 일부 위에 하드 마스크를 형성하는 단계;
    상기 유전체 층의 두께 미만이 제1 에칭 수단에 의해 제거되도록, 상기 제1 에칭 수단을 사용하여, 상기 유전체 층의 적어도 일부 및 상기 제2 하드 마스크에 의해 실질적으로 덮이지 않은 위의 스핀 평탄화 층 위에 상기 유전체 층 내의 적어도 제2 패턴화된 영역을 형성하는 단계;
    제3 제거 수단을 사용하여, 나머지 상기 적어도 부분적으로 변환된 제2 전구체 층 및 상기 스핀 평탄화 층을 실질적으로 제거하여, 상기 적어도 부분 변환 전구체 층을 노출시키는 단계;
    상기 유전체 층 두께 미만이 상기 제1 패턴화된 영역 내의 상기 제2 에칭 수단에 의해 제거되고 상기 유전체의 실질적으로 전체 두께가 상기 제2 패턴화된 영역 내의 상기 제2 에칭 수단에 의해 제거되도록, 제2 에칭 수단을 사용하여, 상기 제1 하드 마스크에 의해 실질적으로 덮이지 않은 유전체 층의 적어도 일부 위에 상기 유전체 층 내의 적어도 하나의 제1 패턴화된 영역을 형성하여, 상기 기판의 적어도 일부를 노출시키는 단계; 및
    선택적으로, 제4 제거 수단을 사용하여 나머지 상기 적어도 부분적으로 변환된 제1 전구체 층을 실질적으로 제거하는 단계
    를 포함하는 방법.
  29. 제28항에 있어서, 저 유전상수 유전체 재료로 구성된 그룹 중에서 상기 유전체 층을 선택하는 단계를 더 포함하는 방법.
  30. 제28항에 있어서, acac, 카르복실아토(carboxylato), 알콕시(alkoxy), 아지드(azide), 카르보닐(carbonyl), 니트라토(nitrato), 아민, 할리드(halide), 니트로, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 리간드(ligand); 및 Li, Al, Si, Ti, V, Cr, Mn, Fe, Ni, Co, Cu, Zn, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Ba, La, Pr, Sm, Eu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Pb, Th, U, Sb, As, Ce, Mg, 및 그 혼합물로 구성된 그룹 중에서 선택된 적어도 하나의 금속을 구비하는 금속 복합체(metal complex)로부터 상기 적어도 하나의 전구체 재료를 선택하는 단계를 더 포함하는 방법.
  31. 제28항에 있어서, 산소를 포함하는 이방성 플라즈마로서 상기 제1 및 제2 에칭 수단중의 적어도 하나를 선택하는 단계를 더 포함하는 방법.
KR1020027016665A 2000-06-06 2001-06-06 전자 재료 제조 방법 KR20030007904A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US20994700P 2000-06-06 2000-06-06
US60/209,947 2000-06-06

Publications (1)

Publication Number Publication Date
KR20030007904A true KR20030007904A (ko) 2003-01-23

Family

ID=22780987

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027016665A KR20030007904A (ko) 2000-06-06 2001-06-06 전자 재료 제조 방법

Country Status (8)

Country Link
US (1) US6566276B2 (ko)
EP (1) EP1305824A4 (ko)
JP (1) JP2004512672A (ko)
KR (1) KR20030007904A (ko)
CN (1) CN1268177C (ko)
AU (1) AU2001265390A1 (ko)
TW (1) TW513745B (ko)
WO (1) WO2001095690A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180039677A (ko) * 2013-08-22 2018-04-18 인프리아 코포레이션 유기금속 용액 기반의 고해상도 패터닝 조성물
US10782610B2 (en) 2010-06-01 2020-09-22 Inpria Corporation Radiation based patterning methods

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410453B1 (en) * 1999-09-02 2002-06-25 Micron Technology, Inc. Method of processing a substrate
US20040191423A1 (en) * 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
US20060001064A1 (en) * 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
US7074640B2 (en) * 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US7067346B2 (en) * 2000-06-06 2006-06-27 Simon Foster University Titanium carboxylate films for use in semiconductor processing
US7427529B2 (en) * 2000-06-06 2008-09-23 Simon Fraser University Deposition of permanent polymer structures for OLED fabrication
US7176114B2 (en) * 2000-06-06 2007-02-13 Simon Fraser University Method of depositing patterned films of materials using a positive imaging process
US6787198B2 (en) 2000-07-28 2004-09-07 Ekc Technology, Inc. Hydrothermal treatment of nanostructured films
TW554405B (en) * 2000-12-22 2003-09-21 Seiko Epson Corp Pattern generation method and apparatus
DE10103524A1 (de) * 2001-01-26 2002-08-22 Infineon Technologies Ag Verfahren und Halbleiteranordnung zur Ätzung einer Schicht eines Halbleitersubstrats mittels einer siliziumhaltigen Ätzmaske
US6756620B2 (en) * 2001-06-29 2004-06-29 Intel Corporation Low-voltage and interface damage-free polymer memory device
GB2379415A (en) * 2001-09-10 2003-03-12 Seiko Epson Corp Monitoring the deposition of organic polymer droplets onto a substrate
GB2385863A (en) * 2001-10-29 2003-09-03 Qinetiq Ltd High resolution patterning method
US7022287B2 (en) * 2002-05-08 2006-04-04 Sandia National Laboratories Single particle electrochemical sensors and methods of utilization
DE10240748B4 (de) * 2002-08-29 2010-04-01 Qimonda Ag Verfahren zur Planarisierung einer Halbleiterprobe
US20040048194A1 (en) * 2002-09-11 2004-03-11 International Business Machines Corporation Mehod for forming a tunable deep-ultraviolet dielectric antireflection layer for image transfer processing
JP3883004B2 (ja) * 2002-12-25 2007-02-21 Tdk株式会社 パターン化薄膜形成方法およびマイクロデバイスの製造方法
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition
US7879696B2 (en) * 2003-07-08 2011-02-01 Kovio, Inc. Compositions and methods for forming a semiconducting and/or silicon-containing film, and structures formed therefrom
US20050130076A1 (en) * 2003-12-11 2005-06-16 Haoren Zhuang Method for producing a hard mask in a capacitor device and a hard mask for use in a capacitor device
US7294449B1 (en) 2003-12-31 2007-11-13 Kovio, Inc. Radiation patternable functional materials, methods of their use, and structures formed therefrom
EP1726629B1 (en) * 2004-03-15 2011-09-07 Tokuyama Corporation Plastic lens
US7244858B2 (en) * 2004-03-25 2007-07-17 Praxair Technology, Inc. Organometallic precursor compounds
US6887785B1 (en) 2004-05-13 2005-05-03 International Business Machines Corporation Etching openings of different depths using a single mask layer method and structure
US7132360B2 (en) * 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
KR100598105B1 (ko) * 2004-06-17 2006-07-07 삼성전자주식회사 반도체 패턴 형성 방법
US20050285312A1 (en) * 2004-06-23 2005-12-29 Fury Michael A Use of PMOD materials in layered (3D) manufacturing technology
US7129177B2 (en) * 2004-10-29 2006-10-31 Hitachi Global Storage Technologies Netherlands B.V. Write head fabrication by inverting order of process steps
CN1808268B (zh) * 2005-01-18 2010-10-06 中芯国际集成电路制造(上海)有限公司 用于应变硅mos晶体管的金属硬掩模方法和结构
US7292381B1 (en) * 2005-09-08 2007-11-06 Hrl Laboratories, Llc Method for conforming a micro-electronic array to arbitrary shapes
CN100442476C (zh) * 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 用于cmos技术的应变感应迁移率增强纳米器件及工艺
US20070075628A1 (en) * 2005-10-04 2007-04-05 General Electric Company Organic light emitting devices having latent activated layers
US8048487B2 (en) * 2006-11-15 2011-11-01 Aculon, Inc. Organometallic films, methods for applying organometallic films to substrates and substrates coated with such films
CN101226899A (zh) * 2007-01-19 2008-07-23 中芯国际集成电路制造(上海)有限公司 在硅凹陷中后续外延生长应变硅mos晶片管的方法和结构
CN101364545B (zh) * 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 应变硅晶体管的锗硅和多晶硅栅极结构
JP4976977B2 (ja) * 2007-10-17 2012-07-18 株式会社東芝 半導体装置の製造方法
CN102197489B (zh) * 2008-10-23 2013-08-21 日产化学工业株式会社 图像形成用下层膜
US8168961B2 (en) * 2008-11-26 2012-05-01 Fei Company Charged particle beam masking for laser ablation micromachining
US8822347B2 (en) * 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography
WO2010128107A1 (en) * 2009-05-07 2010-11-11 Neodec B.V. Process for manufacturing conductive tracks
US8304179B2 (en) 2009-05-11 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device using a modified photosensitive layer
CN102024761A (zh) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
US8334187B2 (en) * 2010-06-28 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask for thin film resistor manufacture
JP5601286B2 (ja) * 2011-07-25 2014-10-08 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
EP2750794A4 (en) 2011-09-01 2015-07-29 Simon Trudel ELECTROCATALYTIC MATERIALS AND METHODS OF MAKING THE SAME
US8610280B2 (en) * 2011-09-16 2013-12-17 Micron Technology, Inc. Platinum-containing constructions, and methods of forming platinum-containing constructions
KR101920711B1 (ko) * 2012-01-16 2018-11-22 삼성전자주식회사 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
US8916337B2 (en) * 2012-02-22 2014-12-23 International Business Machines Corporation Dual hard mask lithography process
US8795774B2 (en) * 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
DE102013203995B4 (de) * 2013-03-08 2020-03-12 Carl Zeiss Smt Gmbh Verfahren zum Schützen eines Substrats während einer Bearbeitung mit einem Teilchenstrahl
US9296879B2 (en) * 2013-09-03 2016-03-29 Rohm And Haas Electronic Materials Llc Hardmask
CN103489766A (zh) * 2013-09-16 2014-01-01 复旦大学 一种氧化镁钛高介电常数薄膜及其制备方法和应用
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US9914995B2 (en) 2014-11-21 2018-03-13 Applied Materials, Inc. Alcohol assisted ALD film deposition
CN105070839B (zh) * 2015-07-10 2018-05-18 北京交通大学 一种聚合物太阳能电池及其制备方法
US10228618B2 (en) 2015-10-13 2019-03-12 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
JP6993982B2 (ja) 2016-03-11 2022-02-04 インプリア・コーポレイション 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス
TWI723164B (zh) * 2016-05-19 2021-04-01 日商三井化學股份有限公司 含金屬膜形成用組成物、含金屬膜形成用組成物的製造方法、半導體裝置及半導體裝置的製造方法
TWI725220B (zh) 2016-08-12 2021-04-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
WO2018173446A1 (ja) * 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
WO2018179704A1 (ja) * 2017-03-27 2018-10-04 Jsr株式会社 パターン形成方法
JP6887307B2 (ja) * 2017-05-19 2021-06-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US10943780B2 (en) 2017-11-19 2021-03-09 Applied Materials, Inc. Methods for ALD of metal oxides on metal surfaces
JP7016147B2 (ja) 2017-11-29 2022-02-04 深▲セン▼通鋭微電子技術有限公司 チップオンフィルム型半導体装置
EP3807721A4 (en) 2018-06-13 2022-04-13 Brewer Science, Inc. ADHESION LAYERS FOR EXTREME UV LITHOGRAPHY
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
CN110767683B (zh) * 2018-10-31 2022-04-15 云谷(固安)科技有限公司 显示面板、掩膜版和显示终端
EP3648161A1 (en) * 2018-11-05 2020-05-06 Heraeus Deutschland GmbH & Co KG Method of manufacturing an electromagnetic interference shielding layer
WO2020210660A1 (en) * 2019-04-12 2020-10-15 Inpria Corporation Organometallic photoresist developer compositions and processing methods
CN110643980A (zh) * 2019-09-24 2020-01-03 攀枝花学院 微米厚度二氧化钒薄膜微细图形的制备方法
CN110512196A (zh) * 2019-09-24 2019-11-29 攀枝花学院 二氧化钒薄膜微细图形的制备方法
JP2023515693A (ja) 2020-03-02 2023-04-13 インプリア・コーポレイション 無機レジストパターニング用のプロセス環境
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
US11980046B2 (en) * 2020-05-27 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming an isolation structure having multiple thicknesses to mitigate damage to a display device
US11501812B2 (en) * 2020-07-31 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including ferroelectric memory and methods of forming the same
US20220269169A1 (en) 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
US20230280644A1 (en) * 2022-03-03 2023-09-07 International Business Machines Corporation Method of making euv mask with an absorber layer
CN114664649B (zh) * 2022-05-19 2022-09-20 浙江大学杭州国际科创中心 碳化硅高深宽比槽刻蚀工艺优化方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2929682A1 (de) 1979-07-04 1981-01-15 Bbc Brown Boveri & Cie Verfahren zum aetzen von silizium- substraten und substrat zur durchfuehrung des verfahrens
US4439464A (en) 1982-05-11 1984-03-27 University Patents, Inc. Composition and method for forming amorphous chalcogenide films from solution
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US5140366A (en) 1987-05-29 1992-08-18 Canon Kabushiki Kaisha Exposure apparatus with a function for controlling alignment by use of latent images
US5178989A (en) * 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
US6072207A (en) * 1991-02-25 2000-06-06 Symetrix Corporation Process for fabricating layered superlattice materials and making electronic devices including same
US5486176A (en) 1991-03-27 1996-01-23 Smith & Nephew Richards, Inc. Angled bone fixation apparatus
US5292558A (en) * 1991-08-08 1994-03-08 University Of Texas At Austin, Texas Process for metal deposition for microelectronic interconnections
US5281447A (en) * 1991-10-25 1994-01-25 International Business Machines Corporation Patterned deposition of metals via photochemical decomposition of metal-oxalate complexes
WO1994011787A1 (en) 1992-11-19 1994-05-26 The University Court Of The University Of Dundee Method of deposition
US5272099A (en) 1992-11-27 1993-12-21 Etron Technology Inc. Fabrication of transistor contacts
DE4440230C2 (de) 1993-11-10 1999-03-18 Hyundai Electronics Ind Verfahren zur Bildung feiner Strukturen eines Halbleiterbauelements
US5637440A (en) * 1993-12-27 1997-06-10 Mitsubishi Materials Corporation Composition for forming metal oxide thin film pattern and method for forming metal oxide thin film pattern
US5460693A (en) * 1994-05-31 1995-10-24 Texas Instruments Incorporated Dry microlithography process
US5534312A (en) * 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3348564B2 (ja) * 1995-04-28 2002-11-20 ソニー株式会社 誘電体キャパシタの製造方法
US5652166A (en) 1996-01-11 1997-07-29 United Microelectronics Corporation Process for fabricating dual-gate CMOS having in-situ nitrogen-doped polysilicon by rapid thermal chemical vapor deposition
US5627087A (en) 1996-03-11 1997-05-06 United Microelectronics Corporation Process for fabricating metal-oxide semiconductor (MOS) transistors based on lightly doped drain (LDD) structure
JP3892565B2 (ja) 1997-02-28 2007-03-14 株式会社東芝 パターン形成方法
US5935762A (en) 1997-10-14 1999-08-10 Industrial Technology Research Institute Two-layered TSI process for dual damascene patterning
US6307087B1 (en) * 1998-07-10 2001-10-23 Massachusetts Institute Of Technology Ligands for metals and improved metal-catalyzed processes based thereon

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10782610B2 (en) 2010-06-01 2020-09-22 Inpria Corporation Radiation based patterning methods
US11599022B2 (en) 2010-06-01 2023-03-07 Inpria Corporation Radiation based patterning methods
US11693312B2 (en) 2010-06-01 2023-07-04 Inpria Corporation Radiation based patterning methods
US11988961B2 (en) 2010-06-01 2024-05-21 Inpria Corporation Radiation based patterning methods
KR20180039677A (ko) * 2013-08-22 2018-04-18 인프리아 코포레이션 유기금속 용액 기반의 고해상도 패터닝 조성물
KR20190045401A (ko) * 2013-08-22 2019-05-02 인프리아 코포레이션 유기금속 용액 기반의 고해상도 패터닝 조성물
KR20190112845A (ko) * 2013-08-22 2019-10-07 인프리아 코포레이션 유기금속 용액 기반의 고해상도 패터닝 조성물
KR20200143524A (ko) * 2013-08-22 2020-12-23 인프리아 코포레이션 유기금속 용액 기반의 고해상도 패터닝 조성물
KR20210135616A (ko) * 2013-08-22 2021-11-15 인프리아 코포레이션 유기금속 용액 기반의 고해상도 패터닝 조성물
US11966159B2 (en) 2013-08-22 2024-04-23 Inpria Corporation Organometallic solution based high resolution patterning compositions
US11988960B2 (en) 2013-08-22 2024-05-21 Inpria Corporation Organometallic solution based high resolution patterning compositions
US11988958B2 (en) 2013-08-22 2024-05-21 Inpria Corporation Organometallic solution based high resolution patterning compositions

Also Published As

Publication number Publication date
EP1305824A1 (en) 2003-05-02
CN1457504A (zh) 2003-11-19
US6566276B2 (en) 2003-05-20
US20020076495A1 (en) 2002-06-20
WO2001095690A1 (en) 2001-12-13
CN1268177C (zh) 2006-08-02
AU2001265390A1 (en) 2001-12-17
EP1305824A4 (en) 2007-07-25
TW513745B (en) 2002-12-11
JP2004512672A (ja) 2004-04-22

Similar Documents

Publication Publication Date Title
KR20030007904A (ko) 전자 재료 제조 방법
US7074640B2 (en) Method of making barrier layers
US7067346B2 (en) Titanium carboxylate films for use in semiconductor processing
US6696363B2 (en) Method of and apparatus for substrate pre-treatment
US6051858A (en) Ferroelectric/high dielectric constant integrated circuit and method of fabricating same
KR100516630B1 (ko) 층형상초격자재료 및 abo₃형 금속산화물을 제조하고,산소에 노출시키는 일없이 이들 재료를 포함하는전자디바이스를 제작하는 방법
TWI614364B (zh) 以氣相沉積來沉積的光阻劑以及對於此光阻劑的製造與微影系統
US20060001064A1 (en) Methods for the lithographic deposition of ferroelectric materials
JP2001110801A (ja) パターン形成方法、並びに電子素子、光学素子及び回路基板
US20040191423A1 (en) Methods for the deposition of silver and silver oxide films and patterned films
US6787198B2 (en) Hydrothermal treatment of nanostructured films
WO1997044712A1 (en) Photosensitive solutions and use thereof in making thin films
WO1998005071A1 (en) Method of fabricating an integrated circuit using self-patterned thin films
US6022669A (en) Method of fabricating an integrated circuit using self-patterned thin films
US5849465A (en) Photosensitive titanium carboxydiketonate and titanium carboxyketoester precursor solutions and method of patterning integrated circuits using the same
US7176114B2 (en) Method of depositing patterned films of materials using a positive imaging process
US11886116B2 (en) Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
Barstow et al. Direct photopatterning of metal oxide materials using photosensitive organometallic precursor films
KR20240019572A (ko) Euv를 이용한 레지스트 패턴의 형성 방법 및 이를 마스크로 이용한 패턴 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application