KR20230156842A - 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법 - Google Patents

유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법 Download PDF

Info

Publication number
KR20230156842A
KR20230156842A KR1020237038148A KR20237038148A KR20230156842A KR 20230156842 A KR20230156842 A KR 20230156842A KR 1020237038148 A KR1020237038148 A KR 1020237038148A KR 20237038148 A KR20237038148 A KR 20237038148A KR 20230156842 A KR20230156842 A KR 20230156842A
Authority
KR
South Korea
Prior art keywords
radiation
coating
metal
tin
alkyl
Prior art date
Application number
KR1020237038148A
Other languages
English (en)
Inventor
스티븐 티. 메이어스
제레미 티. 앤더슨
조셉 버튼 에드슨
카이 지앙
더글라스 에이. 케쉴러
마이클 케이. 코치슈
앨런 제이. 텔레키
브라이언 카르디네우
Original Assignee
인프리아 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=55761532&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20230156842(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 인프리아 코포레이션 filed Critical 인프리아 코포레이션
Publication of KR20230156842A publication Critical patent/KR20230156842A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Electroluminescent Light Sources (AREA)
  • Paints Or Removers (AREA)

Abstract

알킬 리간드를 갖는 주석 이온을 기초로 한 유기 금속 방사선 레지스트 조성물이 기재되어 있다. 상기 조성물 중 일부는 분지상(branched) 알킬 리간드를 갖고 있어 고도의 용액 안정성을 유지시키면서도 개선된 패터닝 콘트라스트(patterning contrast)를 제공한다. 별개의 알킬 리간드를 갖는 화합물들의 블렌드(blend)는 패터닝의 추가 개선을 제공할 수 있다. 25nm 이하의 반피치(half-pitch)를 갖고 약 4.5nm 이하의 선폭 거칠기(line width roughness, LRW)를 갖는 고해상도 패터닝이 수득될 수 있다. 금속 오염이 매우 낮은 알킬 주석 옥사이드 하이드록사이드 조성물이 형성될 수 있는 합성 기술이 개발되어 왔다.

Description

유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법{ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS AND CORRESPONDING METHODS}
관련 출원에 대한 언급
본원은 2014년 10월 23일자로 출원된 미국 가출원 제62/067,552호(Meyers et al., 발명의 명칭: "고해상도 방사선 패터닝가능한 필름, 전구체 화합물 및 용액 형성을 위한 유기 주석 화합물, 및 상응하는 방법"), 및 2015년 2월 24일자로 출원된 미국 가출원 제62/119,972호(Meyers et al., 발명의 명칭: "고해상도 방사선 패터닝가능한 필름, 전구체 제형 및 용액 형성을 위한 유기 주석 화합물, 및 상응하는 방법")를 우선권 주장하며, 이들은 모두 본원에 원용된다.
기술분야
본 발명은 유기 금속 코팅 조성물을 사용하여 물질에 패터닝을 실행하는 방사선 기반의 방법에 관한 것이다. 또한, 본 발명은 방사선을 이용하여 매우 높은 해상도로 패터닝할 수 있는 유기 금속 코팅을 형성하기 위한 증착(deposition)에 사용될 수 있는 전구체 용액, 및 코팅된 기재(substrate) 및 패터닝되기 전과 후의 전구체 용액에 의해 형성되는 코팅에 관한 것이다.
반도체 기반 장치 및 다른 전자 장치 또는 다른 복잡한 정밀 구조물의 형성을 위하여, 일반적으로 물질을 패터닝하여 구조물을 집적시킨다. 따라서, 상기 구조물은 일반적으로 각종 물질로 패턴이 형성되는 순차적 증착(deposition) 및 에칭(etching) 단계의 반복 공정을 통하여 형성된다. 이러한 방법으로, 다수의 장치가 작은 면적으로 형성될 수 있다. 기술상 진보는 장치의 차지 공간(footprint) 감소를 수반할 수 있는데, 이는 성능의 증대에 바람직할 수 있다.
유기 조성물을 방사선 패터닝되는 레지스트로서 이용하여, 상기 유기 조성물의 화학적 구조를 패턴에 부합하게 변경시키는 데에 방사선 패턴을 이용할 수 있다. 예를 들어, 반도체 웨이퍼의 패터닝 공정은 방사선 감수성 유기 물질의 박막으로부터 소정 이미지의 리소그래피 전사를 수반할 수 있다. 레지스트의 패터닝은 일반적으로 레지스트를, 예컨대 마스크를 통해, 선택된 에너지원에 노광시켜 잠상(latent image)을 기록하는 단계 및 이어서 상기 레지스트의 선택된 영역을 현상 및 제거하는 단계를 포함한 몇몇 단계를 수반한다. 포지티브 톤 레지스트(positive-tone resist)의 경우, 노광된 영역은 이러한 영역이 선택적으로 제거될 수 있도록 변형되는 반면, 네거티브 톤 레지스트(negative-tone resist)의 경우는, 비노광 영역이 더 용이하게 제거될 수 있다.
일반적으로, 패턴을 방사선, 반응성 기체 또는 액체 용액으로 현상하여 레지스트의 감수성 부분을 선택적으로 제거할 수 있는 한편, 레지스트의 다른 부분은 보호성 내에칭층(protective etch resistant layer)으로서 작용한다. 액체 현상제는 잠상 현상에 특히 효과적으로 이용될 수 있다. 기재는 보호성 레지스트 층의 나머지 영역에서 윈도우 또는 갭을 통해 선택적으로 에칭될 수 있다. 그렇지 않으면, 보호성 레지스트 층의 나머지 영역에서 현상된 윈도우 또는 갭을 통해 기저(underlying) 기재의 노광된 영역으로 소정 물질을 침착시킬 수 있다. 최종적으로, 보호성 레지스트 층을 제거한다. 공정을 반복하여 패터닝된 물질의 추가 층들을 형성할 수 있다. 작용성 무기 물질을 화학적 증기 증착, 물리적 증기 증착 또는 기타 소정의 방법을 이용하여 침착시킬 수 있다. 전도성 물질의 침착 또는 도펀트의 주입과 같은 추가 공정 단계를 이용할 수 있다. 마이크로 및 나노 제조 분야에서, 집적 회로 내의 피처(feature) 크기는 고집적 밀도를 달성하고 회로 기능을 개선하기 위하여 매우 작아져 왔다.
제1 양태에서, 본 발명은 유기 용매와 식 RSnO(3/2- x /2)(OH) x (여기서, 0 < x < 3이고, R은 3 내지 31개의 탄소원자를 갖는 알킬 그룹 또는 사이클로알킬 그룹이고, 상기 알킬 또는 사이클로알킬 그룹은 2급 또는 3급 탄소원자에서 상기 주석에 결합되어 있다)로 표시되는 제1 유기 금속 화합물을 포함하는 코팅 용액으로서, 상기 용액 중에 약 0.0025M 내지 약 1.5M의 주석을 함유하는 코팅 용액에 관한 것이다.
추가의 양태에서, 본 발명은 유기 용매, 식 RSnO(3/2- x /2)(OH) x (여기서, 0 < x < 3이고, R은 3 내지 31개의 탄소원자를 갖는 알킬 그룹 또는 사이클로알킬 그룹이고, 상기 알킬 또는 사이클로알킬 그룹은 2급 또는 3급 탄소원자에서 상기 주석에 결합되어 있다)로 표시되는 제1 유기 금속 화합물 및 상기 제1 유기 금속 화합물과는 별개이고 식 R'SnO(3/2- x /2)(OH) x (여기서, 0 < x < 3이고, R'는 선형 또는 분지상(branched) 알킬 또는 사이클로알킬 그룹이고, R과 R'는 동일하지 않다)로 표시되는 제2 유기 금속 화합물을 포함하는 코팅 용액에 관한 것이다.
또 다른 양태에서, 본 발명은 기재에 유기 금속 필름을 패터닝하는 방법에 관한 것으로, 이 방법은
상기 필름을 약 80mJ/㎠ 이하의 극자외선(extreme ultraviolet, EUV) 선량(dose)에 노출시키고;
상기 필름을 현상하여 약 25nm 이하의 반피치(half-pitch) 및 약 5nm 이하의 선폭 거칠기(line width roughness, LWR)로 피처를 형성하는 것을 포함한다.
추가의 양태에서, 본 발명은 기재에 유기 금속 필름을 패터닝하는 방법에 관한 것으로, 이 방법은
상기 유기 금속 필름을 약 15mJ/㎠ 이하의 단위겔당 선량 값(dose-to-gel value)으로 EUV 방사선에 노출시켜 적어도 약 6의 콘트라스트를 수득하는 것을 포함한다.
또한, 본 발명은 표면을 갖는 기재와 상기 표면에 연합되어 있는 코팅을 포함하는 패터닝된 구조물에 관한 것으로, 상기 코팅의 적어도 일부는 식 (R)zSnO2-z/2-x/2 (OH)x(여기서, 0 < (x+ z) < 4이고, R은 3 내지 31개의 탄소원자를 갖는 알킬 그룹 또는 사이클로알킬 그룹이고, 상기 알킬 또는 사이클로알킬 그룹은 2급 또는 3급 탄소원자에서 상기 주석에 결합되어 있다)로 표시된다.
추가의 양태에서, 본 발명은 용매와 식 RSnO(3/2- x /2)(OH) x (여기서, 0 < x < 3이고, R은 1 내지 31개의 탄소원자를 갖는 알킬, 사이클로알킬 또는 치환된 알킬 잔기이다)로 표시되는 화합물을 포함하는 용액으로서, 금속 오염물질의 각각의 농도가 약 1중량ppm 이하인 용액에 관한 것이다.
추가로, 본 발명은 식 RSnOOH 또는 RSnO(3/2- x /2)(OH) x (여기서, 0 < x < 3이고, R은 1 내지 31개의 탄소원자를 갖는 알킬 또는 사이클로알킬 잔기이다)로 표시되는 화합물의 합성 방법에 관한 것으로, 이 방법은
식 RSnX3(여기서, X는 할라이드 원자(F, Cl, Br 또는 I) 또는 아미도 그룹(들) 또는 이들의 조합이다)를 갖는 전구체 조성물을 가수분해하는 것을 포함하고, 상기 가수분해는 가수분해를 실시하기 위한 충분한 물을 사용하여 실시되고 상기 가수분해 생성물은 주석 이외의 금속의 각각의 농도가 약 1중량ppm 이하이다.
도 1은 잠상을 포함하는 방사선 패터닝된 구조물의 개략적인 투시도이다.
도 2는 도 1의 구조물의 측면도이다.
도 3은 잠상을 현상하고, 조사되지 않은(un-irradiated) 코팅 물질을 제거하여 패터닝된 구조물을 형성한 후의 도 1의 구조물의 개략적인 투시도이다.
도 4는 도 3의 패터닝된 구조물의 측면도이다.
도 5는 잠상을 현상하고, 조사된 코팅 물질을 제거하여 패터닝된 구조물을 형성한 후의 도 1의 구조물의 개략적인 투시도이다.
도 6은 도 5의 패터닝된 구조물의 측면도이다.
도 7은 하부층의 에칭 후 도 3 및 4의 패터닝된 구조물의 측면도이다.
도 8은 패터닝된 축합 코팅 물질을 에칭하여 제거한 후 도 7의 구조물의 측면도이다.
도 9는 "열 동결(thermal freeze)" 이중 패터닝 공정 흐름의 측면도이다. 도 1 내지 3에 도시된 공정이, 제1층이 제2층에 불용성이 되도록 하는 소성 후에 반복된다.
도 10은 열 중량 측정 분석(thermogravimetric analysis)에 있어서 온도의 함수로 나타낸 중량 손실 플롯이다.
도 11은 도 10의 열 중량 측정 분석과 함께 실행된, 샘플 온도의 함수로서의 질량 스펙트럼 분석 플롯이다.
도 12는 동적 광 산란 분석에서 수득된 입자 크기 분포를 나타낸 히스토그램이다.
도 13은 도 12에서와 같은 입자 크기 분포를 얻는 데 사용된 동적 광 산란 측정으로부터의 대표적인 시간 상관 함수 플롯이다.
도 14는 실시예 3에 기재된 화합물 1의 용액의 대표적인 119Sn NMR 스펙트럼이다.
도 15는 실시예 3에 기재된 화합물 1의 용액의 대표적인 1H NMR 스펙트럼이다.
도 16은 실시예 3에 기재된 화합물 1에 대한 전자분무 질량분광분석 실험의 질량 대 전하비(mass-to-charge ratio)의 함수로 나타낸 강도 플롯이다.
도 17은 별개의 알킬 리간드(n-부틸, 이소프로필 및 t-부틸)를 갖는 3개의 상이한 코팅 조성물에 대한 단위 겔당 선량(dose)의 함수로 나타낸 콘트라스트 플롯이다.
도 18은 34nm 피치 17nm 라인의 패턴을 13.5nm 파장의 EUV 방사선을 사용하여 노광 및 현상한 후의 t-부틸 주석 옥사이드 하이드록사이드로 패터닝된 실리콘 웨이퍼의 주사 전자 현미경사진(scanning electron micrograph, SEM)이다.
도 19는 +20% 바이어스를 갖는 44nm 피치의 22nm 콘택 홀(contact hole)의 명시야(bright-field) 패턴에 있어서 13.5nm 파장의 EUV 방사선을 사용한 노광 및 현상 후의 이소프로필 주석 옥사이드 하이드록사이드로 패터닝된 실리콘 웨이퍼의 주사 전자 현미경사진이다.
도 20은 34nm 피치에서 17nm 라인의 패턴을 13.5nm 파장의 EUV 방사선을 사용하여 노광 및 현상한 후에 패터닝된 이소프로필 주석 옥사이드 하이드록사이드 및/또는 t-부틸 주석 옥사이드 하이드록사이드의 다양한 조합에 의한 6개의 상이한 제형에 대한 일련의 SEM 현미경사진이다.
도 21은 도 20의 현미경사진을 얻는 데에 사용된 제형 A 내지 F에 대한 코팅 조성물의 함수로 표시한 단위 크기당 선량의 플롯이다.
도 22는 실시예 7에 기재된 대로 제조된 i-PrSn(NMe2)31H NMR 스펙트럼이다.
도 23은 실시예 7에 기재된 대로 제조된 i-PrSn(NMe2)3119Sn NMR 스펙트럼이다.
도 24는 실시예 7에 기재된 방법 1에 의해 제조된 이소프로필 주석 옥사이드 하이드록사이드 샘플의 열 중량 측정 분석에 있어서 온도의 함수로 나타낸 중량 플롯이다.
도 25는 도 24의 열 중량 측정 분석과 함께 실행된 질량 스펙트럼 분석이다.
도 26은 실시예 7의 방법 2에 의해 제조된 이소프로필 주석 옥사이드 하이드록사이드 샘플의 열 중량 측정 분석에 있어서 온도의 함수로 나타낸 중량 플롯이다.
도 27은 60mJ/㎠의 이미징 선량(imaging dose)으로 EUV 방사선에 노출시킨 후 실시예 7의 방법 1을 사용하여 합성한 이소프로필 주석 옥사이드 하이드록사이드로 패터닝된 실리콘 웨이퍼의 SEM 현미경사진으로서, 2.9nm의 선폭 거칠기(LWR)를 갖는 34nm 피치로 패터닝된 14.5nm 레지스트 라인이 수득된다.
도 28은 실시예 10의 공정을 사용하여 형성된 이소프로필 주석 옥사이드 하이드록사이드 샘플의 열 중량 측정 분석에 의한 온도의 함수로 나타낸 중량의 플롯이다.
도 29는 도 28의 열 중량 측정 분석과 함께 실행된 질량 스펙트럼 분석이다.
도 30은 실시예 11의 방법에 의해 합성된 t-아밀Sn(C≡CPh)31H NMR 스펙트럼이다.
도 31은 실시예 11의 방법에 의해 합성된 t-아밀Sn(C≡CPh)3119Sn NMR 스펙트럼이다.
도 32는 실시예 11에 기재된 대로 합성된 t-아밀 주석 옥사이드 하이드록사이드의 119Sn NMR 스펙트럼이다.
도 33은 실시예 11에 기재된 대로 합성된 t-아밀 주석 옥사이드 하이드록사이드의 1H NMR 스펙트럼이다.
도 34는 30kEV 전자 빔에 노출되고 32nm(상부) 및 28nm(하부)의 피치로 현상된 이소프로필 주석 옥사이드 하이드록사이드(오른쪽 이미지) 또는 t-부틸 주석 옥사이드 하이드록사이드(왼쪽 이미지)를 갖는 실리콘 웨이퍼의 SEM 현미경사진 세트이다.
도 35는 100nm(a) 피치 및 60nm(b) 피치를 갖는 포지티브 톤 이미지에 대한 EUV 방사선 노출 및 현상 후의 이소프로필 주석 옥사이드 하이드록사이드로 패터닝된 실리콘 웨이퍼의 2개의 SEM 현미경사진 세트이다.
알킬 그룹, 특히 분지상 알킬 그룹(사이클릭 리간드 포함)과의 결합을 갖는 유기 주석 화합물이 개선된 방사선 패터닝된 전구체 필름 형성 화합물로서 사용될 수 있는 것으로 밝혀졌다. 상기 화합물로 형성된 필름은 소정 선량의 방사선으로 패터닝하여 매우 높은 해상도의 패턴을 얻을 수 있다. 유기 주석 화합물에 대한 리간드 구조는 코팅 형성시에 양호한 전구체 용액 안정성 및 양호한 방사선 감수성을 제공한다. 분지상 알킬 리간드를 갖는 알킬 주석 화합물은 더 낮은 방사선 선량으로 특별히 개선된 패터닝을 제공하는 것으로 밝혀진 반면, 알킬 리간드 혼합물의 사용은 패터닝을 용이하게 하는, 생성된 코팅의 몇몇 피처의 가공을 통해 추가의 잠재적인 개선을 제공한다. 유기 금속 전구체 용액으로 형성된 코팅의 바람직한 피처는 패터닝된 금속 산화물 코팅의 형성을 위해 다량의 방사선 흡수 및 우수한 직접 패터닝을 제공한다. 주석과 같은 금속 또는 유기 금속 코팅 조성물을 포함하는 금속 배합물과는 별개인 금속 오염이 적은 전구체 용액은 금속 오염이 관련된 물질 및 장치에 부적합할 수 있는 적용에 유용한 코팅 제형을 제공할 수 있다. 오염물질이 적은 전구체 용액의 형성을 위한 적합한 공정 기술이 기재되어 있다. 전구체 용액은 적당한 기술을 사용하여 코팅할 수 있다. 잠상의 방사선 패터닝 및 현상을 수행하여 매우 작은 패턴 피처를 갖는, 고도의 해상도 및 낮은 선폭 거칠기를 갖는 이미지를 얻을 수 있다.
방사선 노출은 조사된 유기 금속 코팅 물질의 조성을 바꾸어 알킬 리간드에 의해 한정된 구조를 파괴하고 주위 수분과 같은 임의의 공급원으로부터 수분과의 추가의 축합 및 반응을 가능케 한다. 이러한 화학적 변화를 기초로 하여, 적합한 현상제 조성물을 선택함으로써 필름의 조사된 부분과 조사되지 않은 부분간의 용해율을 실질적으로 바꿀 수 있어, 일부 실시양태에서 동일한 코팅을 갖는 네가티브 톤 패터닝 또는 포지티브 톤 패터닝이 용이해진다. 네가티브 패터닝에서, 방사선 노출에 이은 잠재적 축합은 조사된 코팅 물질을, 조사되지 않은 코팅 물질에 비해 유기 용매계 현상제 조성물을 사용한 제거에 더 내성인 물질로 전환시킨다. 포지티브 패터닝에서, 노광은 노출된 코팅 물질의 극성을 충분히 변경시키는데, 예를 들어, 극성을 증가시켜, 노출된 코팅 물질이 수성 용매 또는 다른 충분히 극성인 용매에 의해 선택적으로 제거될 수 있다. 코팅 물질의 적어도 일부의 선택적 제거로, 코팅 영역이 제거되어 밑에 있는 기재가 노출된 패턴이 남을 수 있다. 코팅의 조사에 따른 현상 후, 우수한 패턴 해상도를 갖는 장치의 제조 공정을 용이하게 하기 위해 패터닝된 옥사이드 물질이 사용될 수 있다. 코팅 물질은 자외선광, 자외선광 및/또는 전자빔과 같은 선택된 방사선에 감수성을 갖도록 설계될 수 있다. 또한, 전구체 용액을 상업적 유통을 위해 적합한 반감기를 갖게 안정하도록 제형화할 수 있다.
일반적으로 금속 이온은 유기 리간드에 더하여, 하나 이상의 옥소-리간드, 즉, M-O 및/또는 하이드록소-리간드, 즉 M-O-H에 추가로 결합된다. 알킬 리간드 및 옥소/하이드록소 리간드는 금속 산화물 축합 공정 동안 유의한 제어를 제공하여 유의한 가공, 패터닝 및 코팅 장점을 제공함으로써 전구체 용액 및 상응하는 코팅에 바람직한 특징을 제공한다. 코팅 용액에서의 유기 용매의 사용은 용액의 안정성을 지원하는 반면, 비수성 용액 기반의 공정은 비노광된 영역에 비해 노광된 영역의 용해도의 변화로 인해 포지티브 톤 패터닝 및 네가티브 톤 패터닝 모두에 우수한 현상률(development rate) 콘트라스트를 갖는 잠상 형성 후에 얻어지는 코팅의 선택적 현상 능력을 유지한다. 용해된 알킬-안정화 금속 이온을 갖는 바람직한 전구체 용액은 간편한 용액 기반의 증착을 제공하여, 높은 방사선 감수성 및 에칭 내성에 대해 우수한 콘트라스트를 가질 수 있는 코팅을 형성함으로써 미세 구조물 형성을 가능하게 한다. 상기 전구체 조성물의 설계는 특별한 방사선 종류 및/또는 에너지/파장에 높은 감수성을 가지는 코팅 조성물의 형성을 제공할 수 있다.
전구체 유기 금속 조성물의 리간드 구조는 방사선 패터닝 기능뿐만 아니라 전구체 용액의 관찰된 바람직한 안정성을 제공하는 것으로 여겨진다. 특히, 방사선의 흡수가 금속과 유기 리간드 사이의 결합을 파괴하여 코팅 물질의 조사된 부분과 조사되지 않은 부분에서 조성물이 구별되도록 할 수 있는 것으로 여겨진다. 이러한 구별은 현상 전, 현상 후 또는 현상 전후에 노광된 필름의 적합한 가공에 의해 추가로 증폭될 수 있다. 따라서, 개선된 전구체 용액을 형성하기 위한 조성 변화는 이미지의 개선된 발전도 제공한다. 특히, 조사된 코팅 물질은 현상제에 대해 가변 반응하는 적합한 무기 금속 물질을 제공할 수 있다.
적합한 현상제 선택을 통해, 포지티브 또는 네가티브 톤 이미지가 현상될 수 있다. 일부 실시양태에서, 적합한 현상제는, 예를 들어, 2.38% TMAH, 즉 반도체 산업 표준을 포함한다. 코팅층은 코팅 물질이 현상 후 남아 있도록 의도된 영역으로부터의 코팅 물질의 제거에도 현상 동안 패턴이 소실되지 않고 얇게 만들어질 수 있다. 통상의 유기 레지스트에 비해, 본원에 기재된 물질은 상업적으로 관련된 기능성 층을 위한 다수의 에칭 화학에 대해 극히 높은 저항성을 갖는다. 이로써 마스크 기능에 관해 패터닝된 유기 레지스트를 보충하는 데 사용될 수도 있는 중간 희생 무기 패턴(intermediate sacrificial inorganic pattern) 전달층의 방지를 통한 공정 단순화가 가능하다. 또한, 코팅 물질은 편리한 이중 패터닝을 제공할 수 있다. 구체적으로, 열 처리 후, 코팅 물질의 패터닝된 부분이 추가의 전구체 용액을 포함하는 다수의 조성물과의 접촉에 대해 안정하다. 따라서, 이전에 침착된 경질 마스크 또는 레지스트 코팅 물질을 제거하지 않고도 다중 패터닝이 수행될 수 있다.
전구체 용액은 다핵 금속 옥소/하이드록소 양이온 및 알킬 리간드를 포함한다. 옥소/하이드록소 리간드는 할라이드, 아미도 또는 알키니도 리간드를 갖는 상응하는 화합물의 가수분해를 통해 도입될 수 있다. 금속 서브옥사이드(suboxide) 양이온으로도 기재되는 금속 옥소/하이드록소 양이온은 하나 이상의 금속 원자와 공유 결합된 산소 원자를 갖는 다원자 양이온이다. 퍼옥사이드계 리간드를 갖는 금속 서브옥사이드 양이온은 본원에 원용된 미국 특허 제8,415,000호(Stowers et al., 발명의 명칭: "패터닝된 무기층, 방사선 기초 패터닝 조성물 및 상응하는 방법")(이하, '000 특허라 함)에 기재되어 있다. 금속 서브옥사이드 또는 금속 하이드록사이드의 수성 용액은 겔화 및/또는 침전에 대해 불안정한 경향이 있을 수 있다. 특히, 상기 용액은 용매 제거시 불안정하고 금속 양이온과 함께 옥소-하이드록사이드 네트워크를 형성할 수 있다. 퍼옥사이드와 같은 방사선 감수성 리간드의 이러한 용액으로의 도입은 안정성을 개선시킬 수 있지만, 네트워크 형성과 연관된 백그라운드 불안정성이 지속될 수 있다. 임의의 제어되지 않은 네트워크 형성은 방사선과 독립적인 현상률 측정 경로를 제공함으로써 코팅 물질의 방사선 감수성 및/또는 현상률 콘트라스트를 효과적으로 감소시킨다. 방사선 감수성 리간드로서의 알킬 리간드의 사용은 다량의 방사선 흡수와 매우 미세한 구조물의 형성을 위한 우수한 콘트라스트를 제공하는 반면 개선된 전구체 용액 안정성을 제공하는 것으로 밝혀졌다.
본원에 기재된 바와 같이, tert-부틸 또는 이소프로필과 같은 분지상 알킬 리간드의 사용은 비분지상(unbranched) 알킬 리간드에 비해 개선된 패터닝 성능을 나타내는 것으로 밝혀졌다. 일부 실시양태에서 분지상 알킬 그룹의 사용이 바람직한 패터닝 성능을 제공하는 것으로 밝혀진 반면, 분지상 및/또는 비분지상 알킬 그룹에 결합된 Sn-C 결합을 갖는, 특히 적어도 하나의 분지상 알킬 그룹을 갖는 알킬-주석 화합물들의 적합한 혼합물이 나노리소그래픽 패터닝 성능을 추가로 개선시키기 위해 제형화될 수 있다. 알킬 리간드 구조의 혼합물에 의해 부여된 추가의 유연성으로 단일 리간드 구조 내에서 접근할 수 없는 다수의 조성물 특성, 예를 들어, 안정성, 용해도, 방사선 감수성, 크기 등을 선택할 수 있는 것으로 여겨진다. 따라서, 전구체 조성물에서의 별개의 알킬 리간드와 혼합된 금속 이온의 제형은 아래 실시예에 나타낸 바람직한 패터닝 선량 및 선폭 거칠기값을 포함한 개선된 성능 파라미터 범위에 대한 기초를 제공할 수 있다.
방사선 레지스트 코팅을 위한 유기 금속 화합물의 사용은 일반적으로 본원에 원용된 미국 특허 출원 제2015/0056542호(Meyers et al., 발명의 명칭: "유기 금속 용액 기초한 고해상도 패터닝 조성물")(이하, '542 출원이라 함)에 기재되어 있다. '542 출원은 방사선 김수성 패터닝층의 형성을 위한 n-부틸SnOOH 및 디비닐Sn(OH)2 조성물을 예시하고 있고, 주석, 인듐, 안티몬 또는 이들의 조합을 갖는 알킬 리간드 함유 화합물의 바람직함을 기재하고 있다. 이들 일반적인 조성물은 본원에 기재된 적합한 실시양태와 관련이 있다. 주석에 결합된 및 주석 결합된 탄소에서 분지된(α-탄소 분지된), tert-부틸, 이소프로필 또는 tert-아밀(1,1-디메틸프로필)과 같은 분지상 알킬 리간드가 분지되지 않은 리간드를 함유하는 것보다 더 낮은 방사선 선량으로 방사선 패터닝 레지스트로서 효과적으로 사용될 수 있다. 유사하게, 2-부틸, 사이클로헥실, 사이클로펜틸, 사이클로부틸, 사이클로프로필, 1-아다만틸 및 2-아다만틸을 포함하는, α-탄소 분지된 다른 알킬 및 사이클로알킬 리간드가 고려되고, 본원에 기재된 것과 같은 알킬 리간드를 갖는 화합물들의 혼합물과 함께 본원 기재 범위 내에 있다. 달리 말하면, 분지상 유기 리간드를 갖는 레지스트는 2급 또는 3급 탄소원자를 통해 Sn 원자에 결합된 알킬 또는 사이클로알킬 리간드, RSnO(3/2- x /2)(OH) x (여기서, 0 < x < 3이고, R은 3 내지 31개의 탄소원자를 갖는 2급 또는 3급 알킬 그룹 또는 사이클로알킬 그룹이다)를 함유한다. 그렇지 않으면, 상기 조성물은 R1R2R3CSnO(3/2- x /2)(OH) x (여기서, 0 < x < 3이고, R1 및 R2는 독립적으로 1 내지 10개의 탄소원자를 갖는 알킬 그룹이고, R3는 수소 또는 1 내지 10개의 탄소원자를 갖는 알킬 그룹이고, 여기서 사이클릭 구조라면 탄소원자 범위가 추가되는 사이클릭 탄소 구조에서 R1, R2 및 임의로 또한 R3는 사이클릭 탄소쇄를 형성할 수 있다)로서 나타내어 질 수 있다. 당업자는 R1, R2 및 R3의 배치가 필수적으로 임의적이어서 상이한 화합물에서의 그룹들의 비교는 임의적 재배치를 고려할 수 있고, 상기 화합물 또는 상기 화합물의 관련된 비교를 변화시키지 않는다는 것을 인지할 것이다. 동일한 개념으로, 상기 식이 단일 H와 R3의 연계를 지시하고 있기 때문에 R3 보다는 R1 또는 R2로의 H의 임의 할당을 통해 상기 식의 범위를 외면하지 않는다. 이론에 의해 제한되는 것은 아니지만, 이들 분지상 알킬 리간드의 구조는 노광 동안 Sn-C 결합의 분리를 용이하게 함으로써 방사선에 대한 레지스트의 감수성을 증가시키는 것으로 여겨진다.
이러한 용이성은 관련된 1급 알킬 잔기에 비해 2급 및 3급 알킬 라디칼 또는 탄소 양이온 중간체의 증가된 안정성에 기여할 수 있다. Sn-C 방사선 분해 연구에 직접 기록되어 있지는 않지만, 유사한 특성이 표로 만들어진 C-H 결합-해리 에너지에서 분명하다. 따라서, 본원에 기재된 개선된 조성물은 더 적은 방사선 가공을 통해 작은 선폭 거칠기를 갖는 고해상도 패턴을 달성함으로써 유의한 상업적 이점을 제공한다. 따라서, 작은 선폭 거칠기를 갖는 고해상도 패턴은, 위에 인용된 '000 특허에 기재된 바와 같이, 퍼옥사이드계 리간드를 갖는 금속 산화물계 포토레지스트로 달성된 유사하게 우수한 해상도 및 낮은 선폭 거칠기에 비해 공정 개선에 대해 더 낮은 방사선 선량으로 달성될 수 있다.
퍼옥사이드계 리간드를 갖는 무기 레지스트 물질에 비해 개선된 안정성을 갖고 네트워크 형성과 침전이 제어되는 새로운 전구체 용액이 제형화되어 왔다. 이 경우 방사선 감수성 리간드로서의 리간드의 특징화는 방사선이 물질의 화학적 변화를 유도하는 데에 사용될 수 있도록 하는 방사선의 흡수에 따른 금속-리간드 결합의 유연성을 일컬는다. 특히, 알킬 리간드는 물질의 가공 동안 제어를 제공하면서도 전구체 용액을 안정화시키고, 금속 이온에 대한 알킬 리간드의 비율 선택을 조절하여 용액 및 생성된 코팅의 특성을 조절할 수 있다.
상이한 알킬 리간드의 혼합물을 포함하는 전구체 조성물은 상이한 유기 리간드를 갖는 2가지의 알킬-주석 화합물, 상이한 유기 리간드를 갖는 3가지의 알킬-주석 화합물 또는 상이한 유기 리간드를 갖는 3가지를 넘는 알킬-주석 화합물의 혼합물을 포함할 수 있다. 일반적으로, 2가지 또는 3가지 혼합물의 경우, 상기 혼합물은 별개의 알킬 리간드르 갖는 각 성분을 적어도 약 8몰(mole)% 포함하고, 일부 실시양태에서는 적어도 약 12몰% 포함하고, 추가의 실시양태에서는 별개의 알킬 리간드를 갖는 각 성분을 적어도 약 25몰% 포함한다. 당업자는 위의 명시된 범위에 속하는 위의 혼합물 성분들의 추가 범위가 고려되고 본원 기재 내에 포함됨을 인지할 것이다.
알킬 리간드, 특히 분지상 알킬 리간드는 노광 부재하의 축합에 대하여 금속 양이온을 안정화시킨다. 특히, 알킬계 리간드의 적합한 농도에서, 축합된 금속 옥사이드 또는 금속 하이드록사이드 및 연관된 응집물이 자발적으로 실온에서 존재하는 경우 이들의 의도치 않은 형성은 매우 느리다. 이러한 안정화 특성의 발견을 근거로 하여, 코팅을 형성하기 위한 편리한 가공을 유지한 채 양호한 저장 안정성을 갖는 고농도의 방사선 감수성 리간드로 용액이 형성될 수 있다. 흡수된 방사선으로부터의 에너지는 금속-알킬 리간드 결합을 깰 수 있다. 이들 결합이 깨지면, 축합에 대한 상응하는 안정화가 줄어들거나 없어지고, 이론에 의해 제한되는 것은 아니지만, 불포화 원자가 상태를 갖는 반응성 금속 중심이 아마도 일시적 중간체로서 생길 수 있다. 상기 조성물은 대기중 또는 별도로 공급된 H2O와의 반응, M--OH의 형성을 통해 또는 M--O--M을 형성하는 축합을 통해 추가로 변할 수 있다(여기서, M은 금속 원자이다). 따라서, 화학적 변화는 방사선으로 제어될 수 있다. 고해상도 감수성 리간드 농도를 갖는 조성물은 의도되지 않은 자발적 가수분해, 축합 및 응집화의 방지에 대해 매우 안정적일 수 있다.
금속 이온을 위한 옥소/하이드록소 리간드에 대해, 이들 리간드는 가수분해를 통한 공정 동안 형성될 수 있다. 일부 실시양태에서, 가수분해는 염기성 수성 용액 중에서의 할라이드 리간드의 치환 또는 물에서의 아미도 리간드(-NR1R2)의 치환에 이은 침전된 가수분해물의 수집 및/또는 유기 용매로의 전달을 포함한다. 추가의 또는 대체 실시양태에서, 가수분해가능한 리간드는 코팅 및 소성(baking) 동안 전구체와 반응하는, 대기 수분으로부터 유도된 하이드록소 리간드에 의해 치환된다. 본원에 기재된 바와 같이, 낮은 금속 오염 합성법은 적합한 대체 가수분해법 및 고순도 알킬 주석 전구체로 달성될 수 있다. 이러한 3가지 접근법은 물 반응성 알킬 주석 화합물을 사용하고 주위 대기로부터, 또는 유기 용매 중에서의 가수분해를 유발하기 위한 제어된 양의 정제수의 첨가로부터, 또는 알킬 주석 할라이드와 협력하여 금속 양이온이 없는 염기의 사용으로부터 가수분해용 물을 수득하는 실시예에 기재되어 있다. 수성 또는 비수성 산 또는 염기에 의한 가수분해에 민감한 하나 이상의 대체 리간드가 반응성, 합성 용이성, 독성 및 다른 인자와 같은 가공 및 합성 고려사항에 따라 다른 실시양태에 사용될 수 있다. 일반적으로, 적합한 가수분해가능한 리간드(RSnX3에서의 X)는 알키니드 RC≡C, 알콕사이드 RO-, 아지드 N3 -, 카복실레이트 RCOO-, 할라이드 및 디알킬아미드를 포함할 수 있다.
특이적 합성 절차의 채택을 통해, 전구체 알킬 주석 옥사이드 하이드록사이드 화합물을 금속 오염이 매우 낮도록 제형화할 수 있다. 특히, 비주석 금속은 일반적으로 1중량ppm 이하로 줄일 수 있고, 알칼리 금속 및 알칼리 토금속은 약 100중량ppb 이하로 줄일 수 있다. 이에 상응하게 상기 화합물의 용액을 형성할 수 있다. 생성된 코팅은 밑에 있는 기재, 인접한 층들, 장치 및 가공 도구에 대해 낮은 금속 오염 위험성을 제공할 수 있다. 낮은 금속 오염은 특정 금속 오염, 예를 들어, 알킬리 금속 오염이 바람직하지 않은 적용을 위해 레지스트 조성물에 대한 유용성을 제공할 수 있다.
낮은 금속 오염물질 전구체의 형성이 가능한 가공 방법은 금속 오염물질을 조성물에 유입시키는 염기(예를 들어, NaOH)와 같은 반응물을 사용하지 않아도 된다. 낮은 금속 오염을 제공할 수 있는 대체 염기는, 예를 들어, 테트라메틸 암모늄 하이드록사이드 및 다른 4급 암모늄 하이드록사이드를 포함한다. 또한, 대기로부터 제공되거나 제어된 양으로 첨가된 물을 함유하는 유기 용매에서의 가수분해를 위해 물을 직접 사용할 수 있다. 보통의 양의 금속 오염물질이 도입되는 경우라도, 반도체 장치 제조에서 특정된 매우 소량의 미량 금속 수준을 고려하면(레지스트 조성물에 대해 일반적으로 < 10ppb), 제형화된 알킬 주석 옥사이드 하이드록사이드 레지스트로부터 금속 오염물질을 적당하게 제거하는 기술이 확인되지 않았다. 따라서, 적당한 가수분해가능한 리간드, 예를 들어, 할라이드 또는 아미드는 비주석 금속의 실질적 농도에 기여하지 않는 대체 가수분해 반응을 통해 옥소-하이드록소 리간드로 대체된다. 가수분해물을 정제하여 침전, 세척 및 재결정화 및/또는 적합한 용매중의 재용해와 같은 적합한 접근법을 통해 반응 부산물을 제거할 수 있다.
일반적으로, 전구체 코팅 용액은 충분한 방사선 감수성 알킬 리간드를 포함하여 상기 용액은 금속 양이온에 대한 방사선 감수성 리간드의 몰 농도 비가 약 0.1 내지 약 2일 수 있다. 이 범위의 리간드 비는 적당한 화학량론적 양의 SnX4, RSnX3 또는 R2SnX2 전구체의 가수분해, 전구체 안정성 및 용해도의 제한에 의해 생성될 수 있다. 상기 전구체 용액으로부터 형성되는 코팅은 전구체 용액 내의 이온의 리간드 구조에 의해 영향을 받으며, 건조시 금속 주위의 동등한 리간드 구조일 수 있거나, 상기 리간드 구조는 코팅 및/또는 건조 과정에서 변경될 수 있다. 일반적으로 코팅은 또한 패터닝 기능을 가능케 하는 방사선 노출에 의해 영향을 받는다. 일반적으로, 코팅은 식 (R)zSnO2-z/2-x/2(OH)x(여기서, 0 < (x+ z) < 4이고, R은 3 내지 31개의 탄소원자를 갖는 알킬 그룹 또는 사이클로알킬 그룹이고, 상기 알킬 또는 사이클로알킬 그룹은 2급 또는 3급 탄소원자에서 상기 주석에 결합되어 있다)로 표시될 수 있다. 조사되지 않은 코팅의 경우, z 값은 코팅 용액 값과 동일하거나 그에 가까운 반면, 조사되지 않은 코팅은 일반적으로 더 낮은 z 값을 갖는데, 이는, 예를 들어 패터닝 후에, 추가의 가열 및/또는 조사에 의해 0에 가깝게 유도될 수 있다. 특히, 알킬 리간드 농도는 전구체 안정성에 있어서 놀라울 정도로 큰 향상 및 일반적으로 극성 유기 용매인 유기 용매에 의해 형성되는 용액에 의한 네트워크 형성의 제어를 제공한다. 이론에 의해 제한되는 것은 아니지만, 적합한 범위 내의 방사선 감수성 저극성 리간드 농도는, 상응하는 옥소-리간드 및/또는 하이드록소-리간드와 금속 양이온의 의도치 않은 축합 및 응집을 확실히 감소시켜 용액을 안정화시킨다. 따라서, 전구체 코팅 용액은 적어도 1주일 동안, 가능한 한 달 이상의 현저히 긴 시간 동안 추가 교반 없이 고형분의 침강에 대하여 안정할 수 있다. 안정 시간이 길기 때문에, 알킬 주석 옥사이드 하이드록사이드 전구체는 상업적으로 가능한 용도에 있어서 다양성(versatility)을 증가시켰다. 전체 몰 농도는 원하는 코팅 두께 및 원하는 코팅 특성을 달성하도록 선택할 수 있고, 이로써 원하는 안정성 수준을 일관성 있게 얻을 수 있다.
소정의 방사선 흡수를 달성하기 위하여 알킬 리간드를 갖는 다원자 금속 옥소/하이드록소 양이온을 선택할 수 있다. 특히, 주석 기반의 코팅 물질은 193nm 파장의 원자외선광 및 13.5nm 파장의 극자외선광에서 양호한 흡수를 나타낸다. 표 1은 모노부틸주석 옥사이드 수화물로부터 형성되고 100℃에서 소성한 코팅 물질에 대한 선택된 파장에서의 광학 상수(n=굴절률 및 k=흡광계수)를 나타낸다.
패터닝에 일반적으로 사용되는 방사선의 고흡수를 상응하게 제공하기 위해, 전구체 용액이 Sn, In 및 Sb 금속을 포함하는 것이 바람직한데, 이들 금속이 다른 금속과 결합하여 특성, 특히 방사선 흡수를 조절하더라도 그렇다. Hf는 전자빔 물질 및 극자외선광의 양호한 흡수를 제공하고, In 및 Sb는 13.5nm에서 극자외선광의 강한 흡수를 나타낸다. 예를 들어, Ti, V, Mo 또는 W 또는 이들의 조합을 포함하는 하나 이상의 금속 조성물이 전구체 용액에 첨가되어 흡수 에지가 보다 긴 파장으로 이동된 코팅 물질을 형성하여, 예를 들어, 248nm 파장 자외선광에 대한 감수성을 제공할 수 있다. 이들 다른 금속 이온은 알칼리 리간드를 포함할 수 있거나 포함하지 않을 수 있고, 본원에 기재된 전구체 조성물에 사용하기 위한 알킬 리간드가 없는 금속 이온에 적합한 염은, 예를 들어, 코팅 전구체 용액에 가용성인 유기 또는 무기 염, 아미드, 알콕사이드 등을 포함할 수 있다. 금속 오염물질의 측정을 위해, 확실히 특정적으로 첨가된 기능적 금속이 오염물질로서 간주되지 않고, 이들 금속은 일반적으로 전구체 용액 내의 100중량ppm 이상의 수준으로 존재함으로써 확인될 수 있고, 이러한 금속은 특별한 적용에 바람직하지 않은 오염을 피하기 위해 선택될 수 있다.
일반적으로, 소정의 가수분해물이 유기 용매, 예를 들어, 알콜, 에스테르 또는 이들의 조합에 용해되어 전구체 용액을 형성할 수 있다. 코팅 용액 중 상기 종의 농도는 상기 용액의 목적한 물리적 성질이 달성되도록 선택할 수 있다. 특히, 전반적으로 더 낮은 농도는 합당한 코팅 파라미터를 사용하여 더 얇은 코팅을 달성할 수 있는, 스핀 코팅과 같은 특정한 코팅법을 위한 상기 용액의 바람직한 성질을 초래할 수 있다. 물질 경비를 줄일 뿐 아니라 초미세 패터닝을 달성하기 위해 더 얇은 코팅을 사용하는 것이 바람직할 수 있다. 일반적으로, 상기 농도는 선택된 코팅법에 적합하도록 선택할 수 있다. 코팅 특성은 아래에 추가로 기재되어 있다.
전구체 용액은 일반적으로 아래에 추가로 기재된 바와 같이 임의의 합당한 코팅 또는 인쇄 기술로 침착될 수 있다. 코팅은 일반적으로 건조시키고, 조사 전에 열을 가해 코팅을 안정화하거나 부분적으로 축합시킬 수 있다. 일반적으로, 코팅은 얇은데, 예를 들어, 10마이크론 미만의 평균 두께를 갖고, 예를 들어, 약 100nm 이하로 매우 얇은 서브마이크론 코팅이 매우 작은 피처를 패터닝하는 데에 바람직할 수 있다. 고해상도 패턴을 형성하기 위해, 방사선 감수성 유기 조성물을 사용하여 패턴을 도입하고, 상기 조성물의 일부가 현상/에칭에 내성을 갖도록 가공되어 선택적 물질 제거로 선택된 패턴을 도입할 수 있기 때문에 상기 조성물을 레지스트로 지칭할 수 있다. 건조된 코팅을 적당한 방사선, 예를 들어, 극자외선광, e-빔 또는 자외선광에 선택된 패턴 또는 네가티브 패턴으로 적용시켜 현상제 내성 영역 및 현상체 가용성 영역을 갖는 잠상을 형성할 수 있다. 적당한 방사선에 노출시킨 후 및 현상 전에, 코팅을 가열하거나 아니면 반응시켜 조사되지 않은 영역으로부터 잠상이 추가로 구별되도록 할 수 있다. 잠상을 현상제와 접촉시켜 물리적 이미지, 즉 패터닝된 코팅을 형성할 수 있다. 패터닝된 코팅은 추가로 가열하여 표면 상의 남은 패터닝된 코팅을 안정화시킬 수 있다. 패터닝된 코팅은 물리적 마스크로서 사용하여 추가 가공, 예를 들어, 기재의 에칭 및/또는 패턴에 따른 추가 물질의 침착을 수행할 수 있다. 원하는 대로 패터닝된 레지스트를 사용한 후 적당한 가공 시점에서, 패터닝된 코팅이 최종 구조물로 유입될 수 있더라도 남은 패터닝된 코팅을 제거할 수 있다. 매우 미세한 피처가 본원에 기재된 패터닝 조성물로 효과적으로 달성될 수 있다.
일부 실시양태에서, 수득된 패터닝된 물질은, 최종 장치(들)의 성분으로서, 무기 금속 옥사이드 물질로의 적어도 어느 정도의 축합을 통한 적당한 안정화 후에 상기 구조물로 도입될 수 있다. 패터닝된 무기 코팅 물질이, 예를 들어 안정한 유전층으로서의 상기 구조물로 도입되면, 방사선을 사용한 상기 물질의 직접 패터닝의 사용으로 인해 다수 단계의 가공 절차가 배제될 수 있다. 일반적으로, 단파장 전자기 조사 및/또는 전자빔을 사용하여 노출된 얇은 무기 코팅 물질을 사용하여 매우 높은 해상도 구조물이 형성될 수 있고, 선폭 거칠기가 패터닝된 개선된 구조물의 형성을 위해 매우 낮은 수준으로 저하될 수 있는 것으로 밝혀졌다.
보다 큰 용해도를 갖는 정제된 전구체 용액은 또한 기재의 방사선 노출된 부분과 비노출된 부분 사이에 보다 큰 현상률 콘트라스트 잠재성을 갖는 코팅 물질을 제공하는데, 이는 놀랍게도 포지티브 톤 패터닝 또는 네가티브 톤 패터닝과 동시에 달성될 수 있다. 구체적으로, 조사된 코팅 물질 또는 조사되지 않은 코팅 물질은 적합한 현상제 조성물에 의해 비교적 보다 쉽게 용해될 수 있다. 따라서, 개선된 조성물 및 상응하는 물질을 사용하여, 현상제의 선택을 통해 포지티브 톤 이미징 또는 네가티브 톤 이미징이 달성될 수 있다. 동시에, 인접한 소자들 사이가 적절히 단리, 일반적으로 절연(electrical isolation)되면서, 인접한 소자들간 피치가 매우 작게 만들어질 수 있다. 조사된 코팅 조성물은 후속 현상/에칭 공정에 매우 민감하여 기재 표면에 조사된 패터닝 조성물의 적당한 부분을 남기면서 상기 코팅 조성물을 선택적으로 깨끗히 제거하는 것과 관련하여 현상 공정의 효능을 손상하지 않으면서 매우 얇게 만들어 질 수 있다. 추가로 현상제에 대한 노출 시간을 단축시키는 능력은 코팅의 패터닝된 부분을 손상시키지 않으면서 얇은 코팅의 사용과 양립한다.
집적 전자 장치 등의 제작은 일반적으로 구조물 내에 물질을 개별 소자 또는 성분으로 형성하는 패터닝을 포함한다. 이러한 패터닝은 소정의 관능성을 도입하기 위해 서로 수직으로 및/또는 수평으로 계면을 이루고 있는 적층된 층의 선택된 부분을 덮는 상이한 조성물을 포함할 수 있다. 다양한 물질이 반도체를 포함할 수 있고, 이것은 도판트, 유전체, 전기 전도체 및/또는 다른 종류의 물질을 선택할 수 있다. 본원에 기재된 방사선 감수성 유기 금속 조성물은 장치 내 목적한 무기 물질 구조물의 직접 형성을 위해 및/또는 유기 레지스트를 대체할 수 있는 방사선 패터닝가능한 무기 레지스트로서 사용될 수 있다. 둘 중 어느 쪽이든, 유의한 공정 개선이 활용될 수 있고, 패터닝된 물질의 구조물도 개선될 수 있다.
전구체 용액
레지스트 코팅을 형성하기 위한 전구체 용액은 용매, 일반적으로 유기 용매 중에 적절한 알킬 안정화 리간드와 함께 주석 양이온을 포함한다. 전구체 용액 및 최종 레지스트 코팅은 금속 산화물 화학에 기초하며, 알킬 리간드를 갖는 금속 폴리양이온의 유기 용액은 우수한 레지스트 특성을 갖는 안정된 용액을 제공한다. 분지상 알킬 리간드는 개선된 패터닝 능력을 제공한다.
상기 리간드는 방사선 감수성을 제공하고, 리간드의 특별한 선택은 방사선 감수성에 영향을 미칠 수 있다. 또한, 전구체 용액은 관련 리간드뿐만 아니라 금속 양이온의 선택에 기초하여 선택된 방사선에 대하여 소정 수준의 방사선 흡수를 달성하도록 설계될 수 있다. 용액에서 리간드 안정화된(ligand stabilized) 금속 양이온의 농도는 스핀 코팅과 같은 특정 증착 방법을 위한 적합한 용액 특성을 제공하기 위해 선택될 수 있다. 전구체 용액은 매우 높은 수준의 안정성을 달성하여 시판 제품에 적절한 저장 수명을 갖도록 조제되어 왔다. 아래에서 설명하는 바와 같이, 전구체 용액은 기재 표면에 적용되고, 건조되고, 추가 처리 공정을 거쳐, 효과적인 방사선 레지스트를 형성할 수 있다. 전구체 용액은 적어도 부분적인 용매 제거로 코팅 조성물을 형성하도록 설계되는데, 궁극적으로는 조사 및/또는 열처리, 플라즈마 노출, 또는 유사 공정으로 주석 산화물이 우세한 무기 고체를 형성하도록 설계된다.
전구체 수용액은 일반적으로 하나 이상의 주석 양이온을 포함한다. 수용액에 있어서, 금속 양이온은 물 분자와의 상호작용으로 수화되며, 가수분해가 일어나 금속 이온에 산소 원자를 결합시켜 하이드록사이드 리간드 또는 옥소 결합을 형성하면서 수소 이온을 방출할 수 있다. 상기 상호작용성은 일반적으로 pH 의존적이다. 수용액에서 추가 가수분해가 일어날 때, 용액은 금속 산화물의 침전에 대하여 또는 겔화에 대하여 불안정해질 수 있다. 궁극적으로, 산화물 물질을 형성하는 것이 바람직하나, 이 과정은 알킬 리간드로 안정화된 금속 양이온(alkyl ligand stabilized metal cation) 및 유기 용매를 기반으로 한 전구체 용액을 이용하면 더 잘 제어될 수 있다. 수증기를 포함하는 대기 중에서 수행되는 경우, 용매는 용매와 접촉하는 물의 부분압과 평형이 되도록 일부 용해된 물을 포함할 수 있고, 실시예는 용해된 물을 사용하여 가수분해가능한 리간드의 제어된 가수분해를 수행하는 것을 보여준다. 알킬 안정화 리간드 및 유기 용매를 기반으로 한 전구체 용액을 사용하여, 용액을 먼저 코팅 물질로 가공한 다음 유기 리간드를 갖는 최종 금속 산화물 조성물로 가공하기 위한 절차의 일부로서 산화물로의 진행을 제어할 수 있다. 본원에 개시된 바와 같이, 용액을 효과적인 방사선 레지스트 조성물로 가공하는 데에 유의한 제어를 제공하기 위해 알킬 리간드, 특히 분지상 알킬 리간드 및/또는 알킬 리간드의 조합을 사용할 수 있다.
일반적으로, 전구체 화합물은 식 RSnO(3/2- x /2)(OH) x (여기서, 0 < x < 3이고, R은 직쇄 또는 분지상(즉, 2급 또는 3급 탄소원자에서 상기 금속이 결합되어 있는)알킬 그룹이다)로 나타낼 수 있다. R은 일반적으로 1 내지 31개의 탄소원자를 갖고 분지상 형태에 3 내지 31개의 탄소원자를 갖는다. 특히, 분지상 알킬 리간드는 상기 화합물이 다른 식 R1R2R3CSnO(3/2- x /2)(OH) x (여기서, 0 < x < 3이고, R1 및 R2는 독립적으로 1 내지 10개의 탄소원자를 갖는 알킬 그룹이고, R3는 수소 또는 1 내지 10개의 탄소원자를 갖는 알킬 그룹이다)로 나타내질 수 있는 경우 바람직하다. 일부 실시양태에서, R1 및 R2는 사이클릭 알킬 잔기를 형성할 수 있고 R3는 또한 사이클릭 잔기 내에서 다른 그룹과 연결될 수 있다. 전구체 용액은 또한 상이한 알킬 리간드를 갖는 조성물의 블렌드(blend)를 포함할 수 있다. 예시되는 분지상 알킬 리간드는 이소프로필(R1 및 R2는 메틸이고 R3는 수소이다), tert-부틸(R1, R2 및 R3는 메틸이다), sec-부틸(R1은 메틸이고, R2는 -CHCH3이고, R3는 수소이다) 및 tert-아밀(R1 및 R2는 메틸이고 R3는 -CHCH3이다)을 포함한다. 사이클릭 알킬 리간드를 사용한 예비 실험은 긍정적인 결과를 보여 주었다. 적합한 사이클릭 그룹의 예는, 예를 들어, 1-아다만틸(3급 탄소에서 금속에 결합된 -C(CH2)3(CH)3(CH2)3 또는 트리사이클로(3.3.1.13,7) 데칸) 및 2-아다만틸(2급 탄소에서 금속에 결합된 -CH(CH)2(CH2)4(CH)2(CH2) 또는 트리사이클로(3.3.1.13,7) 데칸)을 포함한다. 따라서, 금속 양이온의 용액은 추가의 가공을 위해 준비된다. 특히, 상기 용액을 주석 산화물 조성물로 준비할 수 있는 다핵 주석 옥소/하이드록소 양이온을, 전구체 용액의 추가 성분으로서 사용하는 것이 유리할 수 있다. 일반적으로, 전구체 용액은 약 0.01M 내지 약 1.4M의 금속 다핵 옥소/하이드록소 양이온, 추가의 실시양태에서 약 0.05M 내지 약 1.2M의 금속 다핵 옥소/하이드록소 양이온, 추가의 실시양태에서 약 0.1M 내지 약 1.0M의 금속 다핵 옥소/하이드록소 양이온을 포함한다. 당업자라면 위의 명시된 범위에 속하는 부가적 범위의 주석 다핵 옥소/하이드록소 양이온이 고려되고 본원 기재 내에 포함됨을 인지할 것이다.
상이한 유기 리간드의 혼합물을 포함하는 전구체 조성물은 상이한 알킬 리간드를 갖는 2개의 알킬-주석 화합물, 상이한 알킬 리간드를 갖는 3개의 알킬-주석 화합물, 또는 상이한 알킬 리간드를 갖는 3개가 넘는 알킬-주석 화합물의 혼합물을 포함할 수 있다. 일반적으로, 2가지 또는 3가지 혼합물의 경우, 상기 혼합물은 별개의 알킬 리간드르 갖는 각 성분을 적어도 약 8몰% 포함하고, 일부 실시양태에서는 적어도 약 12몰% 포함하고, 추가의 실시양태에서는 별개의 알킬 리간드르 갖는 각 성분을 적어도 약 25몰% 포함한다. 당업자는 위의 혼합물 성분들의 추가 범위가 위의 명시된 범위에 속하는 위의 혼합물 성분들의 추가 범위가 고려되고 본원 기재 내에 포함됨을 인지할 것이다.
일반적으로 금속은 방사선의 흡수에 현저한 영향을 미친다. 주석은 13.5nm에서 극자외선광을 강하게 흡수한다. 알킬 리간드와 조합하여, 양이온은 또한 193nm 파장에서 자외선광을 양호하게 흡수한다. 주석은 또한 전자빔 방사선을 양호하게 흡수한다. 흡수된 에너지는 금속-유기 상호반응에 의해 조절되는데, 이는 금속-리간드를 파괴하고 물질 특성에 소정의 제어를 제공할 수 있다.
알킬 리간드는 가수분해물의 의도치 않은 자발적 축합 및 응집에 대하여 조성물을 안정화시킨다. 특히, 비교적 높은 알킬 리간드 농도에서, 축합이 실온에서 자발적으로 일어나는 경우, 축합된 금속 산화물 또는 금속 수산화물의 형성은 매우 느리다. 이러한 안정화 특성의 발견에 기초하여, 가수분해물 용액은 고농도의 방사선 감수성 리간드와 함께 형성되어, 코팅을 형성하는 간편한 공정을 유지하면서 양호한 저장 안정성을 가질 수 있다. 방사선 감수성 리간드는 주석-탄소 결합을 형성하는 유기 잔기를 포함한다. 흡수된 방사선으로부터의 에너지는 주석-알킬 리간드 결합을 깰 수 있다. 이러한 결합이 깨지면, 축합에 대하여 상응하는 안정도가 감소되거나 소실된다. 상기 조성물은 M--OH 형성을 통해 또는 M--O--M 결합을 형성하는 축합을 통해 변할 수 있고, 상기 M은 금속 원자를 나타낸다. 따라서, 화학적 변화는 방사선으로 제어될 수 있다. 높은 방사선 감수성 리간드 농도를 갖는 조성물은 자발적인 수산화물 형성 및 축합의 방지에 있어서 매우 안정할 수 있다.
바람직한 리간드 구조를 갖는 몇몇 적합한 금속 조성물은 알파 에이서(Alfa Aesar, MA, USA) 및 TCI 아메리카(TCI America, OR, USA)와 같은 상업적 공급처로부터 구입할 수 있으며(아래 실시예 참조), 아래 개시된 바와 같이 다른 금속-리간드 조성물을 합성할 수 있다. 본원에 기재된 방법을 사용하여 낮은 금속 오염물질 전구체를 합성할 수 있다.
일반적으로, 알킬 리간드는, 예를 들어, 메틸, 에틸, 프로필, 부틸 및 분지상 알킬일 수 있다. 적합한 분지상 알킬은, 예를 들어, 이소프로필, tert-부틸, tert-아밀, 2-부틸, 사이클로헥실, 사이클로펜틸, 사이클로부틸, 사이클로프로필, 1-아다만틸 또는 2-아다만틸일 수 있다. 분지상 알킬 리간드를 사용하여 개선된 패터닝 결과를 얻었다. 그러나 리간드 선택의 더 큰 이점은 혼합된 알킬 리간드의 사용을 통해 이루어졌는데, 이는 상이한 리간드에 의해 부여되는 선량 및 선폭 거칠기와 같은 별개로 유리한 패터닝 특성이 본원의 실시예에 설명된 바와 같이 다수의 알킬 리간드의 블렌딩에 의해 본원 교시를 통해 수득될 수 있기 때문이다.
방사선 경화 선량은 각각의 개별 전구체 화합물의 방사선 선량을 기초로 하여 전구체 화합물과 상이한 알킬 리간드와의 혼합물에 대해 대략 선형으로 크기가 조절될 수 있는 것으로 밝혀졌다. 분지상 알킬 리간드로는 더 낮은 방사선 선량을 사용할 수 있어서, 일반적으로 상기 혼합물이 하나 이상의 분지상 유기 리간드를 포함하는 것이 바람직하다. 그러나 상응하게, 선폭 거칠기가 전구체 화합물과 상이한 알킬 리간드와의 혼합물로 개선될 수 있는 것으로 밝혀졌다. 이론에 의해 제한되는 것은 아니지만, 혼합물 조성물에 대해 관찰된 개선된 선폭 거칠기값은 패턴의 콘트라스트를 현저히 감소시키지 않으면서 상기 혼합물 조성물에 대한 에칭을 용이하게 할 수 있는 것이 가능하다. 본 명세서의 문맥에서, 분지상 또는 비분지상 알킬을 갖는 유기-주석 화합물의 조합을 함유하는 혼합물 조성물로 관찰이 확대될 수 있다.
본원에 기재된 바와 같이, 금속 오염을 감소시키는 공정 접근법이 개발되었다. 따라서, 매우 낮은 수준의 비-주석 금속을 함유하는 전구체 용액을 조제할 수 있다. 일반적으로, 비-주석 금속 농도는 모두 개별적으로 약 1중량ppm 이하로, 추가 실시양태에서 약 200중량ppb 이하로, 추가 실시양태에서 약 50ppb 이하로, 다른 실시양태에서 약 10중량ppb 이하로 줄일 수 있다. 일부 실시양태에서, 공정에 영향을 미치기 위해 다른 금속 원소를 첨가하는 것이 바람직할 수 있고, 일반적으로 상기 원소는 적어도 약 1중량%, 일부 실시양태에서 적어도 약 2중량% 수준으로 확인될 수 있으며, 경우에 따라 금속 오염물질과는 구별되는 것일 수 있다. 특별히 감소될 수 있는 금속 오염물질은 알칼리 금속 및 알칼리 토금속, Au, Ag, Cu, Fe, Pd, Pt, Co, Mn 및 Ni이다. 당업자는 위의 명시된 범위에 속하는 수준 내의 금속 수준의 추가 범위가 고려되고 본원 기재 내에 포함됨을 인지할 것이다.
유기 주석 옥사이드 하이드록사이드 조성물을 형성하기 위한 공정은 이전에는 수산화나트륨 염기로부터의 나트륨과 같은 유의한 비-주석 금속 오염물질을 도입하는 반응물의 사용을 포함하였다. 금속 오염물질일 수 있는 상응하는 시판중인 화합물 뿐만 아니라 시판중인 것으로 알려지 않은 화합물을 포함한, 선형 또는 분지상 알킬 리간드를 갖는 가수분해물을 제조하기 위해 본원에 기재된 대체 합성법을 사용할 수 있다. 나트륨을 충분히 낮은 수준으로 제거하기 위한 방법은 밝혀지지 않아서 대체 합성 기술이 개발되었다. 따라서, 금속 오염물질의 현저한 감소를 가능케 하는 대체 방법이 개발되었다. 특히, 유기 주석 가수분해물을 형성하기 위해 추가 염기가 필요하지 않은 고순도 수반응성 전구체 화합물이 사용될 수 있다. 가수분해물 합성은 비수성 용매 중에서 또는 생성된 화합물이 즉시 침전하는 수성 용매를 사용하여 수행할 수 있다. 일부 실시양태에서, 물은 가수분해가능한 리간드를 가수분해하여 목적한 알킬 주석 옥사이드 하이드록사이드 화합물을 형성하기에 충분한 양 정도로 도입될 수 있다.
금속 이온에 대한 옥소/하이드록소 리간드에 대하여, 이러한 리간드는 가수분해 과정 중에 형성될 수 있다. 일부 실시양태에서, 가수분해는 옥소(O) 및/또는 하이드록소(-OH) 리간드를 형성하기 위한 가수분해가능한 리간드의 치환을 포함할 수 있다. 예를 들어, 할라이드 리간드를 염기성 수용액 중에서 가수분해한 다음 유기 용매로 전달할 수 있다. 그러나, 금속 오염이 낮은 전구체 조성물의 생성을 위해, 대체 반응을 사용하여 가수분해하는 것이 바람직한 것으로 밝혀졌다. 구체적인 예는 아래에 제시하였다.
일부 실시양태에서, 유기 안정화 리간드를 갖는 주석 이온을 포함하는 조성물 및 가수분해가능한 리간드를 유기 용매에 용해시키고, 그 후 염기성 수용액과 접촉시키면, 가수분해가능한 리간드의 하이드록소 리간드로의 치환이 발생할 수 있다. 하이드록소 리간드를 형성하는 데에 충분한 시간을 제공한 후, 상기 유기 액체가 수용액에 불용성이라는 가정하에, 상기 수용액을 상기 유기상으로부터 분리할 수 있다. 일부 실시양태에서, 상기 옥소/하이드록소 리간드는 대기 중의 물로부터 가수분해를 통해 형성될 수 있다. 가수분해가능한 금속 이온 조성물은 대기 수분의 존재하에 가열되어 코팅 물질에서 직접적으로 옥소/하이드록소 리간드를 형성할 수 있으며, 이는 높은 표면적 때문에 상대적으로 용이하게 일어날 수 있다. 또한, 대기 중의 물에서의 가수분해의 예시는 아래에 나타낸다. 추가의 또는 대체 실시양태에서, 가수분해가 일어나기에 충분한 물이 가수분해가능한 리간드를 갖는 전구체 화합물과 함께 유기 용매에 용해된다.
알킬 리간드 및 가수분해가능한 리간드를 갖는 전구체 화합물을 형성하기 위해, M-C 결합이 용액상 치환 반응에서 형성될 수도 있다. Sn-C 결합을 형성하는 치환 반응으로 적절한 대표적인 반응은 다음과 같다:
n RCl + Sn→ RnSnCl4-n + 잔여물
4 RMgBr + SnCl4→ R4Sn + 4 MgBrCl
3 SnCl4 + 4R3Al→ 3 R4Sn + 4 AlCl3
R4Sn + SnCl4→ 2 R2SnCl2
여기서, R은 알킬 리간드를 나타낸다. 일반적으로, 상기 반응에서 다른 적합한 할라이드가 대체 사용될 수 있다. 상기 반응은 반응물이 적합한 용해도를 가지는 적절한 유기 용매에서 수행될 수 있다.
낮은 금속 오염물질 전구체 용액을 형성하기 위한 방법에 대해, 가수분해가능한 그룹을 갖는 알킬 주석 화합물로부터 주석 옥사이드 하이드록사이드 화합물을 형성하기 위해 가수분해 반응 동안 금속 오염물질의 도입을 방지하도록 반응물을 선택한다. 2가지의 일반적인 접근법이 실시예에서 성공적으로 사용된다. 일부 실시양태에서, 상기 가수분해는 유기 용매 중에서 전구체 화합물을 사용하여 수행하고 가수분해가 일어나기에 충분한 물이 도입된다. 가수분해가능한 리간드의 가수분해을 완료하기에 충분한 물이 주위 수증기로부터 도입되거나 유기 용매로 주입되고 혼합될 수 있다. 그렇지 않으면, 금속 오염물질을 도입하지 않은 형태의 촉매 염기가 도입된 물에서 가수분해가 수행될 수 있다. 예를 들어, 실시예에서, 반도체 산업에 사용되기에 금속 오염물질이 낮은 시판중인 수성 테트라메틸암모늄 하이드록사이드(TMAH)가 사용된다. 가수분해가능한 리간드는 실시예에 기재된 바와 같은 가수분해 반응에 사용된 특정 방근법에 적합하게 선택될 수 있다.
일반적으로, 바람직한 가수분해물 화합물은 유기 용매, 예를 들어, 알콜, 에스테르 또는 이들의 조합에 용해될 수 있다. 특히, 적합한 용매는, 예를 들어, 방향족 화합물(예를 들어, 자일렌, 톨루엔), 에테르(예를 들어, 아니솔, 테트라하이드로푸란), 에스테르(프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸 아세테이트, 에틸 락테이트), 알콜(예를 들어, 4-메틸-2-프로판올, 1-부탄올, 메탄올, 이소프로필 알콜, 1-프로판올), 케톤(예를 들어, 메틸 에틸 케톤), 이들의 혼합물 등을 포함한다. 일반적으로, 유기 용매 선택은 용해도 파라미터, 휘발성, 가연성, 독성, 점도 및 다른 공정 물질과의 잠재적인 화학적 상호작용에 의해 영향을 받을 수 있다. 용액의 성분들이 용해되고 합쳐진 후, 특히 코팅 공정 동안, 부분 수화 및 축합의 결과 화학종들의 특성이 변화될 수 있다. 본 명세서에서 용액의 조성이 언급되는 경우, 상기 언급은 용액에 첨가되는 성분들에 대한 것이며, 이는 복합 제제는 충분히 특정되지 않은 용액에서 금속 다핵 종을 생성할 수 있기 때문이다. 특정 분야에 있어서, 유기 용매는 약 10℃ 이상, 추가의 실시양태에서 약 20℃ 이상 및, 또 다른 실시양태에서 약 25℃ 이상의 발화점을 갖는 것이 바람직하며, 20℃에서 증기압은 약 10kPa 이하, 일부 실시양태에서 약 8kPa 이하, 및 추가의 실시양태에서 약 6kPa이하인 것이 바람직하다. 당업자는 위의 명시된 범위에 속하는 다른 발화점 범위 및 증기압 범위가 고려되고 본원 기재 내에 포함됨을 인지할 것이다.
일반적으로, 전구체 용액은 재료의 체적이 형성되기에 적절한 혼합장치를 이용하여 충분히 혼합된다. 적합한 여과는 오염물질 또는 적절히 용해되지 않은 다른 구성요소의 제거에 사용될 수 있다. 일부 실시양태에서, 합해져 전구체 용액을 형성할 수 있는 개별 용액들을 형성하는 것이 바람직할 수 있다. 구체적으로, 금속 다핵 옥소/하이드록소 양이온, 임의의 추가의 금속 양이온, 및 유기 리간드 중 하나 이상을 포함하는 개별 용액들을 형성할 수 있다. 다수의 금속 양이온을 도입하는 경우, 이들 다수의 금속 양이온은 동일한 용액으로 및/또는 개별 용액으로 도입할 수 있다. 일반적으로, 개별 용액 또는 배합된 용액은 잘 혼합될 수 있다. 이어서, 일부 실시양태에서, 유기계 리간드가 금속 양이온과 공액될 수 있도록 금속 양이온 용액을 유기계 리간드 용액과 혼합한다. 생성되는 용액은 안정화된 금속 양이온 용액이라 할 수 있다. 일부 실시양태에서, 안정화된 금속 양이온 용액은 안정된 리간드 형성을 제공하기에 적합한 시간 동안 반응하도록 허용되는데, 이것은 혼합된 금속 이온의 도입 유무와 관계없이 용액내 클러스터 형성을 포함하거나 포함하지 않을 수 있다. 일부 실시양태에서, 추가 공정에 앞서, 용액의 반응 또는 안정화 시간은 약 5분 이상, 다른 실시양태에서 약 1시간 이상, 및 추가 실시양태에서 약 2시간 내지 약 48시간일 수 있다. 당업자라면 추가 범위의 안정화 기간이 고려되고 본원 기재 내에 포함됨을 인지할 것이다.
전구체 용액 중의 화학종의 농도는 용액의 소정 물리적 특성을 달성하도록 선택될 수 있다. 특히, 보다 낮은 온도는 전반적으로 대체로 스핀 코팅과 같은 특정 코팅법을 위해 바람직한 특성의 용액을 제공할 수 있고, 적합한 코팅 매개변수를 이용하여 더 얇은 코팅을 달성할 수 있다. 재료 비용을 줄이기 위해서 뿐만 아니라, 극미세 패턴(ultrafine pattern)을 달성하기 위해서 더 얇은 코팅이 바람직할 수 있다. 일반적으로, 상기 농도는 선택된 코팅법에 적절하도록 선택될 수 있다. 코팅 특성에 대해서는 후술하기로 한다.
전구체 용액의 안정성이 초기 용액 대비 변화로 평가될 수 있다. 구체적으로, 큰 졸(large sol) 입자의 생성으로 상 분리가 일어나는 경우 또는 용액이 원하는 패턴을 형성하는 능력을 잃는 경우, 그 용액은 안정성을 잃었다. 본 명세서에 개시된 개선된 안정화법에 기초하여, 상기 용액은 추가의 혼합 없이 약 1주 이상, 추가의 실시양태에서 약 2주 이상, 다른 실시양태에서 약 4주 이상 안정할 수 있다. 당업자라면 추가 범위의 안정화 시간이 고려되고 본원 기재 내에 포함됨을 인지할 것이다. 용액은 적절한 저장 수명을 갖고 상업적으로 유통될 수 있기에 충분한 안정화 시간을 갖도록 조제될 수 있다.
코팅 물질
코팅 물질은 선택된 기재에 전구체 용액을 증착 및 후속 처리함으로써 형성된다. 기재는 일반적으로 코팅 물질이 침착될 수 있는 표면을 가지며, 복수의 층을 포함할 수 있는데, 이때의 표면은 최상층을 의미한다. 일부 실시양태에서, 코팅 물질의 부착을 위한 표면을 준비하기 위하여 기재 표면을 처리할 수 있다. 또한, 적절할 경우 표면을 세정 및/또는 평활화할 수 있다. 적합한 기재 표면은 임의의 적합한 물질을 포함할 수 있다. 특히 주목되는 일부 기재는 예컨대 실리콘 웨이퍼, 실리카 기재, 세라믹 물질과 같은 기타 무기 물질, 유기 중합체와 같은 중합체 기재, 이의 복합물 및 이들의 조합을 기재 표면에 걸쳐 및/또는 기재의 층 내에 포함한다. 비교적 얇은 원통형 구조와 같은 웨이퍼가 편리할 수 있으나, 임의의 적합한 형태의 구조를 사용할 수 있다. 중합체 기재 또는 비중합체 구조 위에 중합체층을 갖는 기재가 저비용 및 가요성에 기반한 특정 제품에 바람직할 수 있으며, 적당한 중합체는 본 명세서에 개시된 패터닝가능한 물질의 가공에 사용될 수 있는 비교적 낮은 가공 온도에 기초하여 선택될 수 있다. 적합한 중합체는, 예를 들어, 폴리카보네이트, 폴리이미드, 폴리에스테르, 폴리알켄, 이들의 공중합체 및 이들의 혼합물을 포함할 수 있다. 일반적으로, 이것은 편평한 표면을 갖는 기재, 특히 고해상도 제품에 바람직하다. 그러나, 특정 실시양태에서 기재는, 레지스트 코팅이 특별한 패터닝 용도를 위한 피처를 충전하거나 평면화하도록 되어 있는 실질적인 지형(substantial topography)을 가질 수 있다. 레지스트 물질의 이러한 기능은 본원에 원용된 공개된 미국 특허출원 제2015/0253667 A1호(Bristol et al., 발명의 명칭: 초고속 리소그래픽 이미징을 위한 예비-패터닝된 하드 마스크)에 기재되어 있다.
일반적으로, 임의의 적합한 코팅법을 사용하여 전구체 용액을 기재로 전달할 수 있다. 적합한 코팅법은, 예를 들어, 스핀 코팅, 스프레이 코팅, 딥 코팅, 나이프 엣지 코팅, 프린팅법, 예컨대 잉크젯 프린팅 및 스크린 프린팅 등을 포함할 수 있다. 이들 코팅법 중 일부는, 인쇄 등으로부터 현재 이용가능한 해상도가 본 명세서에 개시된 바와 같은 방사선에 기초한 패터닝으로부터 이용가능한 것보다 현저히 낮은 수준의 해상도를 갖더라도, 코팅 공정 동안 코팅 물질의 패턴을 형성한다. 코팅 물질은 코팅 공정을 더 잘 제어할 수 있도록 다수의 코팅 단계로 도포될 수 있다. 예컨대, 다중 스핀 코팅을 수행하여 원하는 최종 코팅 두께를 얻을 수 있다. 이하에 개시된 열처리는 각 코팅 단계 후 또는 복수의 코팅 단계 후 적용될 수 있다.
방사선을 이용하여 패터닝을 수행할 경우, 스핀 코팅은 엣지 효과가 있을 수 있으나 기재를 비교적 균일하게 커버하기에 바람직한 방법일 수 있다. 일부 실시양태에서, 웨이퍼는 약 500rpm 내지 약 10,000rpm, 추가의 실시양태에서 약 1000rpm 내지 약 7500rpm, 추가의 실시양태에서 약 2000rpm 내지 약 6000rpm의 속도로 스피닝될 수 있다. 스피닝 속도를 조절하여 소정의 코팅 두께를 얻을 수 있다. 스핀 코팅은 약 5초 내지 약 5분, 추가의 실시양태에서 약 15초 내지 약 2분의 시간 동안 수행될 수 있다. 예컨대 50rpm 내지 250rpm의 초기 저속 스핀을 이용하여 기재에 걸쳐 조성물의 초기 벌크 확산을 수행할 수 있다. 물 또는 엣지 비드 제거에 적당한 기타 용매로 뒷면 세정, 엣지 비드 제거 단계 등을 수행할 수 있다. 당업자라면 위의 명시된 범위에 속하는 추가 범위의 스핀 코팅 매개변수가 고려되고 본원 기재 내에 포함됨을 인지할 것이다.
코팅의 두께는 일반적으로 전구체 용액 농도, 점도 및 스핀 코팅을 위한 스핀 속도의 함수일 수 있다. 다른 코팅 방법에서, 상기 두께는 또한 일반적으로 코팅 매개변수의 선택에 의하여 조절될 수 있다. 일부 실시양태에서, 후속 패터닝 과정에 있어서 고해상도의 작은 피처의 형성을 촉진하기 위하여 얇은 코팅을 사용하는 것이 바람직할 수 있다. 예를 들어, 건조 후의 코팅 물질의 평균 두께는 약 10마이크론 이하, 다른 실시양태에서 약 1마이크론 이하, 추가의 실시양태에서 약 250나노미터(nm) 이하, 추가의 실시양태에서 약 1nm 내지 약 50nm, 다른 실시양태에서 약 2nm 내지 약 40nm, 및 일부 실시양태에서 약 3nm 내지 약 25nm일 수 있다. 당업자라면 위의 명시된 범위에 속하는 추가의 두께 범위들을 고려할 수 있고 본원 기재 내에 포함됨을 인지할 것이다. 상기 두께는 필름의 광학 특성에 기초한 타원 편광 반사법(ellipsometry) 및/또는 x-선 반사의 비접촉법을 이용하여 평가할 수 있다. 일반적으로, 코팅은 공정을 용이하게 하기 위해 비교적 균일하다. 일부 실시양태에서, 상기 코팅 두께의 변화는 평균 코팅 두께의 ±50% 이하, 추가의 실시양태에서 평균 코팅 두께의 ±40% 이하, 및 또 다른 실시양태에서 평균 코팅 두께의 ±25% 이하일 수 있다. 일부 실시양태에서, 보다 큰 기재 상의 균일성이 높은 코팅과 같은, 코팅 균일성(coating uniformity)의 평가는 가장자리 1센티미터를 제외하고 평가될 수 있는데, 즉 상기 코팅 균일성은 가장자리 1cm 이내의 코팅 부분에 대해서는 평가하지 않는다. 당업자는 위의 명시된 범위에 속하는 추가적 범위가 고려되며 본원 기재 내에 포함됨을 인지할 것이다.
많은 코팅 공정이 증발을 자극하는 용액의 이동 및/또는 큰 표면적을 갖는 액적 또는 다른 형태의 코팅 물질을 형성하므로 코팅 공정 자체로 용매의 일부가 증발될 수 있다. 용매가 손실되면 물질 중의 화학종의 농도가 증가하므로 코팅 물질의 점도가 증가되는 경향이 있다. 코팅 공정 동안의 목적은 용매를 충분히 제거하여 추가 처리를 위하여 코팅 물질을 안정화시키는 것일 수 있다. 이들 화학종은 코팅 동안 또는 후속 가열 동안 축합되어 가수분해물 코팅 물질을 형성할 수 있다. 일반적으로, 방사선 노출 전에 코팅 물질을 가열하여 용매를 더 제거하여 코팅 물질의 치밀화를 촉진할 수 있다. 건조된 코팅 물질은 일반적으로 몇몇 알킬 리간드를 추가로 갖는 금속의 옥소-하이드록소 리간드를 기반으로 한 중합체성 금속 옥소/하이드록소 네트워크, 또는 알킬 리간드를 갖는 다핵 금속 옥소/하이드록소종을 포함하는 분자 고체(molecular solid)를 형성할 수 있다.
용매 제거 공정은 코팅 물질 중에 잔존하는 특정량의 용매에 대해 정량적으로 제어할 수 있거나 제어할 수 없고, 일반적으로 생성되는 코팅 물질 특성에 대한 실험적인 평가를 수행하여 패터닝 공정에 효과적인 처리 조건을 선택하게 된다. 공정의 성공에 가열이 필요한 것은 아니나, 처리 속도를 높이고/높이거나 공정의 재현성을 증가시키기 위하여 코팅된 기재를 가열하는 것이 바람직할 수 있다. 용매를 제거하기 위하여 가열이 적용되는 실시양태에서, 코팅 물질을 약 45℃ 내지 약 250℃, 추가의 실시양태에서 약 55℃ 내지 약 225℃의 온도로 가열할 수 있다. 용매 제거를 위한 가열은 일반적으로 약 0.1분 이상 동안, 추가의 실시양태에서 약 0.5분 내지 약 30분 동안, 추가의 실시양태에서 약 0.75분 내지 약 10분 동안 수행될 수 있다. 당업자라면 위의 명시된 범위에 속하는 추가 범위의 가열 온도 및 시간이 고려되며 본원 기재 내에 포함됨을 인지할 것이다. 열처리 및 코팅 물질의 치밀화 결과, 코팅 물질은 유의한 콘트라스트 손실 없이 방사선 흡수 및 굴절률의 증가를 나타낼 수 있다.
패터닝된 노출 및 패터닝된 코팅 물질
코팅 물질은 방사선을 이용하여 미세하게 패터닝할 수 있다. 위에 개시한 바와 같이, 전구체 용액의 조성물 및 이에 따른 상응하는 코팅 물질의 농도는 소정 형태의 방사선을 충분히 흡수하도록 설계될 수 있다. 방사선의 흡수는 금속과 알킬 리간드 사이의 결합을 깰 수 있는 에너지를 제공하여 적어도 일부의 알킬 리간드는 금속의 안정화에 더 이상 이용될 수 없게 한다. 알킬 리간드 또는 단편을 포함하는 방사선분해 생성물은 공정 변수 및 상기 생성물의 종류에 따라 필름 밖으로 분산될 수도, 그렇지 않을 수도 있다. 충분한 양의 방사선의 흡수에 따라, 노출된 코팅 물질이 축합하며, 즉 강화된 금속 옥소/하이드록소 네트워크를 형성하며, 이는 주변 대기로부터 흡수된 물을 포함할 수 있다. 방사선은 일반적으로 선택된 패턴에 따라 전달될 수 있다. 방사선 패턴은 조사된 영역 및 조사되지 않은 영역을 갖는 코팅 물질 중의 해당 패턴 또는 잠상으로 전달된다. 조사된 영역은 화학적으로 변경된 코팅 물질을 포함하며, 조사되지 않은 영역은 일반적으로 형성된 대로의(as-formed) 코팅 물질을 포함한다. 아래 개시되는 바와 같이, 조사되지 않은 코팅 물질의 제거 또는 조사된 코팅 물질의 선택적 제거와 함께 코팅 물질의 현상시 매우 선명한 엣지가 형성될 수 있다.
방사선은 일반적으로 마스크를 통해 코팅된 기재로 향할 수 있거나 또는 방사선 빔은 기재를 가로 질러 제어되어 스캐닝될 수 있다. 일반적으로, 방사선은 전자기 방사선, 전자빔(베타 방사선) 또는 다른 적당한 방사선을 포함할 수 있다. 일반적으로, 전자기 방사선은 가시 방사선, 자외 방사선 또는 x-선 방사선과 같은 소정 파장 또는 파장 범위를 가질 수 있다. 방사선 패턴을 달성할 수 있는 해상도는 일반적으로 방사선 파장에 의존하며, 더 높은 해상도 패턴은 일반적으로 더 짧은 파장 방사선으로 달성될 수 있다. 따라서, 자외광, x-선 방사선 또는 전자빔을 이용하여 특히 높은 해상도 패턴을 달성하는 것이 바람직할 수 있다.
본원에 원용된 국제 표준 ISO 21348 (2007)에 따르면, 자외광은 100nm 이상 400nm 미만의 파장 범위에 존재한다. 크립톤 불화물 레이저가 248nm 자외광을 위한 공급원으로서 사용될 수 있다. 자외광 범위는 10nm 이상 121nm 미만의 극자외선(EUV) 및 122nm 이상 200nm 미만의 원자외선(FUV)과 같은 허용되는 표준하에 몇 가지 방식으로 나뉘어질 수 있다. 아르곤 불화물 레이저로부터의 193nm 선을 FUV에서의 방사선 공급원으로서 사용할 수 있다. EUV광은 13.5nm에서 리소그래피에 사용되어 왔고, 이 광은 방전 펄스(discharge pulse) 또는 고에너지 레이저를 이용하여 여기되는 Xe 또는 Sn 플라즈마 공급원으로부터 발생된다. 소프트 x-선은 0.1nm 이상 10nm 미만으로 정의된다.
전자기 방사선의 양은 노광 시간에 걸쳐 통합된 방사선 플럭스(integrated radiative flux)에 의하여 수득되는 선속(플루엔스, fluence) 또는 선량(dose)을 특징으로 할 수 있다. 적합한 방사선 선속은 약 1mJ/cm2 내지 약 150mJ/cm2, 추가의 실시양태에서 약 2mJ/cm2 내지 약 100mJ/cm2, 추가의 실시양태에서 약 3mJ/cm2 내지 약 50mJ/cm2일 수 있다. 당업자라면 위의 명시된 범위에 속하는 추가 범위의 방사선 선속이 고려되며 본원 기재 내에 포함됨을 인지할 것이다.
전자빔 리소그래피에서, 일반적으로 전자빔은 일반적으로 조사된 물질을 변성시키는 2차 전자를 유도한다. 해상도는 적어도 부분적으로 물질 중의 2차 전자 범위의 함수일 수 있으며, 일반적으로 2차 전자 범위가 짧을 수록 해상도가 높아진다고 여겨진다. 본 명세서에 개시된 무기 코팅 물질을 이용하여 전자 리소그래피로 달성될 수 있는 고해상에 기초하여, 무기 물질에서의 2차 전자의 범위는 제한된다. 전자빔은 빔 에너지에 의해 규정될 수 있으며, 적당한 에너지는 약 5V 내지 약 200kV(kilovolt) 범위, 추가의 실시양태에서 약 7.5V 내지 약 100kV 범위일 수 있다. 30kV에서 근사치 보정된 빔 용량은 약 0.1μC(microcoulombs)/cm2 내지 약 5mC/cm2, 추가의 실시양태에서 약 0.5μC/cm2 내지 약 1mC/cm2, 다른 실시양태에서 약 1μC/cm2 내지 약 100μC/cm2 범위일 수 있다. 당업자라면 본 명세서의 교시에 기초하여 다른 빔 에너지에서 해당 용량을 계산할 수 있고 위의 명시된 범위에 속하는 추가 범위의 전자빔 특성이 고려되며 본원 기재 내에 포함됨을 인지할 것이다.
코팅 물질의 디자인에 기초하여, 축합된 코팅 물질을 갖는 조사된 영역과 실질적으로 손상되지 않은 유기 리간드를 갖는 코팅 물질을 갖는 조사되지 않은 영역 사이에 물성의 큰 콘트라스트가 존재한다. 일부 실시양태에서는 조사 후(post-irradiation) 열처리 없이 만족스러운 결과가 달성될 수 있으나, 조사 후 열처리로 콘트라스트가 개선될 수 있는 것으로 밝혀졌다. 노출 후 열처리(post-exposure heat treatment)는 유기 리간드-금속 결합의 열 파괴(thermal breaking)에 기초하여 코팅 물질의 조사되지 않은 영역을 유의하게 축합하지 않고 조사된 코팅 물질을 어닐링하여 축합을 증가시키는 것으로 보인다. 조사 후 열처리가 이용되는 실시양태에서, 조사 후 열처리는 약 45℃ 내지 약 250℃, 추가의 실시양태에서 약 50℃ 내지 약 190℃, 추가의 실시양태에서 약 60℃ 내지 약 175℃의 온도에서 수행될 수 있다. 조사 후 열처리는 일반적으로 약 0.1분 이상 동안, 추가의 실시양태에서, 약 0.5분 내지 약 30분 동안, 추가의 실시양태에서 약 0.75분 내지 약 10분 동안 수행될 수 있다. 당업자라면 위의 명시된 범위에 속하는 추가 범위의 조사 후 가열 온도 및 시간이 고려되며 본원 기재 내에 포함됨을 인지할 것이다. 코팅 물질 특성에서 이렇게 높은 콘트라스트는 아래에서 개시되는 바와 같이 현상 후 패턴에서 선명한 선의 형성을 추가로 촉진한다.
방사선 노출 후, 코팅 물질은 조사된 영역 및 조사되지 않은 영역으로 패터닝된다. 도 1 및 도 2을 참조하면, 기재(102), 박막(103) 및 패터닝된 코팅 물질(104)을 포함하는 패터닝된 구조물(100)이 도시되어 있다. 패터닝된 코팅 물질(104)은 조사된 코팅 물질의 영역(110, 112, 114, 116) 및 조사되지 않은 코팅 물질의 비축합 영역(118, 120, 122)을 포함한다. 축합 영역(110, 112, 114, 116) 및 비축합 영역(118, 120, 122)에 의하여 형성된 패터닝은 코팅 물질에 잠상을 나타내며, 잠상의 현상은 아래에서 논의된다.
현상 및 패터닝된 구조물
이미지의 현상은 현상제 조성물에 잠상을 포함하는 패터닝된 코팅 물질을 접촉시켜, 네가티브 이미지를 형성하는 조사되지 않은 코팅 물질 또는 포지티브 이미지를 형성하는 조사된 코팅 물질의 어느 하나를 제거하는 것을 수반한다. 본 명세서에 개시된 레지스트 물질을 사용하여, 일반적으로 동일한 코팅을 기반으로, 적절한 현상제를 사용하여 원하는 해상도로 효과적인 네가티브 패터닝 또는 포지티브 패터닝을 수행할 수 있다. 특히, 조사된 영역이 적어도 부분적으로 축합되어 금속 산화물의 특성이 증대됨으로써 유기 용매에서 조사되지 않은 조성물은 용해되는 반면 조사된 물질은 유기 용매에 의한 용해에 내성을 가지게 된다. 축합된 코팅 물질은, 초기 물질에 대하여 상기 물질의 산화물 특성을 높이는 관점에서 적어도 부분 축합을 의미한다. 반면에, 조사되지 않은 물질은 이 물질의 소수성으로 인해 수성 약염기 또는 약산에서 덜 용해되므로, 수성 염기가 포지티브 패터닝을 위해 조사되지 않은 물질을 유지하면서 조사된 물질의 제거에 사용될 수 있다.
유기-안정화 리간드를 갖는 코팅 조성물은 본질적으로 비교적 소수성인 물질을 생성한다. 적어도 몇몇 유기 금속 결합을 깨는 조사는 상기 물질을 덜 소수성인 물질 즉, 더 친수성인 물질로 전환시킨다. 이러한 특성의 변화는 같은 레지스트 조성물에 의한 포지티브 톤 패터닝 및 네가티브 톤 패터닝을 할 수 있는 능력을 제공하는 조사된 코팅과 조사되지 않은 코팅 사이의 유의한 콘트라스트를 제공한다. 구체적으로, 조사된 코팅 물질은 더 많은 금속 산화물 조성물로 어느 정도 축합되나, 일반적으로 축합 정도는 상당한 가열이 없다면 중간 정도이므로, 조사된 물질은 상대적으로 수월하게 간편한 현상제로 현상된다.
네가티브 톤 이미징에 대하여, 도 3 및 4를 참조하면, 도 1 및 2에 도시된 구조물의 잠상이 현상제와의 접촉을 통해 현상되어 패터닝된 구조물(130)을 형성한다. 이미지의 현상 후, 기재(102)는 개구부(132, 134, 135)를 통해 최상부 표면(top surface)을 따라 노출된다. 개구부(132, 134, 135)는 각각 비축합된 부분(118, 120, 122)의 위치에 존재한다. 포지티브 톤 이미징에 대하여, 도 5 및 6을 참조하면, 도 1 및 2에 도시된 구조물의 잠상은 현상되어 패터닝된 구조물(140)을 형성한다. 패터닝된 구조물(140)은 패터닝된 구조물(130)의 복합 이미지(conjugated image)를 가진다. 패터닝된 구조물(140)은, 현상되어 개구(142, 144, 146, 148)를 형성하게 되는 조사된 부분(110, 112, 114, 116)의 위치에서 노출되는 기재(102)를 가진다.
네가티브 톤 이미징을 위해, 현상제는 전구체 용액을 형성하는데 사용되는 용매와 같은 유기 용매일 수 있다. 일반적으로, 현상제의 선택은 조사된 및 조사되지 않은 코팅 물질에 대한 용해도 파라미터 뿐만 아니라 현상제의 휘발성, 가연성, 독성, 점도 및 다른 공정 물질과의 잠재적인 화학적 상호작용에 의해 영향을 받을 수 있다. 특히, 적합한 현상제는, 예를 들어, 방향족 화합물(예를 들어, 벤젠, 자일렌, 톨루엔), 에스테르(예를 들어, 프로필렌 글리콜 모노메틸 에스테르 아세테이트, 에틸 아세테이트, 에틸 락테이트, n-부틸 아세테이트, 부티로락톤), 알콜(예를 들어, 4-메틸-2-프로판올, 1-부탄올, 이소프로판올, 1-프로판올, 메탄올), 케톤(예를 들어, 메틸 에틸 케톤, 아세톤, 사이클로헥산온, 2-헵탄온, 2-옥탄온), 에테르(예를 들어, 테트라하이드로퓨란, 디옥산, 아니솔) 등을 포함한다. 상기 현상은 약 5초 내지 약 30분, 추가의 실시양태에서 약 8초 내지 약 15분, 및 또 다른 실시양태에서 약 10초 내지 약 10분 동안 수행될 수 있다. 당업자는 위의 명시된 범위에 속하는추가의 범위가 고려되고 본원 기재 내에 포함됨을 인지할 것이다.
포지티브 톤 이미징을 위해, 일반적으로 현상제는 수성 산 또는 염기일 수 있다. 몇몇 실시양태에서, 수성 염기를 사용하여 더 선명한 이미지를 얻을 수 있다. 현상제로부터의 오염을 감소시키기 위하여, 금속 원자를 갖지 않는 현상제를 이용하는 것이 바람직할 수 있다. 따라서, 테트라에틸암모늄 하이드록사이드, 테트라프로필암모늄 하이드록사이드, 테트라부틸암모늄 하이드록사이드 또는 이들의 조합과 같은 4급 암모늄 하이드록사이드 조성물이 현상제로서 바람직하다. 일반적으로, 특히 주목되는 4급 암모늄 하이드록사이드는 식 R4NOH(여기서, R = 메틸기, 에틸기, 프로필기, 부틸기 또는 이들의 조합)로 표시될 수 있다. 본 명세서에 개시된 코팅 물질은 일반적으로 중합체 레지스트에 현재 통상적으로 사용되는 것과 동일한 현상제, 구체적으로 테트라메틸 암모늄 하이드록사이드(TMAH)로 현상될 수 있다. 시판되는 TMAH는 2.38중량%로 이용될 수 있으며, 이 농도는 본 명세서에 기재된 공정에 사용될 수 있다. 또한, 혼합된 4급 테트라알킬암모늄 하이드록사이드가 사용될 수 있다. 일반적으로, 현상제는 약 0.5 내지 약 30중량%, 추가의 실시양태에서 약 1 내지 약 25중량%, 다른 실시양태에서 약 1.25 내지 약 20중량%의 테트라알킬암모늄 하이드록사이드 또는 유사한 4급 암모늄 하이드록사이드를 포함할 수 있다. 당업자라면 위의 명시된 범위에 속하는 추가 범위의 현상제 농도가 고려되며 본원 기재 내에 포함됨을 인지할 것이다.
주요 현상제 조성물 외에도, 현상제는 현상 공정을 촉진하기 위하여 추가의 조성물을 포함할 수 있다. 적합한 첨가제는 예컨대 암모늄, d-블록 금속 양이온(하프늄(hafnium), 지르코늄, 란타늄 등), f-블록 금속 양이온(세륨, 루테늄 등), p-블록 금속 양이온(알루미늄, 주석 등), 알칼리 금속(리튬, 나트륨, 칼륨 등) 및 이들의 조합으로 이루어지는 군에서 선택된 양이온, 및 불화물, 염화물, 브롬화물, 요오드화물, 질산염, 황산염, 인산염, 규산염(silicate), 붕산염(borate), 퍼옥사이드(peroxide), 부톡사이드(butoxide), 포름산염, 옥살산염, 에틸렌디아민-테트라아세트산(EDTA), 텅스텐산염(tungstate), 몰리브덴산염(molybdate) 등 및 이들의 조합으로 이루어지는 군에서 선택된 음이온을 갖는 용해된 염을 포함한다. 기타 사용가능한 첨가제는, 예를 들어, 폴리아민, 알콜 아민, 아미노산, 카복실산 또는 이들의 조합과 같은 분자 킬레이트제(molecular chelating agent)를 포함한다. 선택적 첨가제가 존재하는 경우, 현상제는 약 10중량% 이하의 첨가제, 추가의 실시양태에서 약 5중량% 이하의 첨가제를 포함할 수 있다. 당업자라면 위의 명시된 범위에 속하는 추가 범위의 첨가제 농도가 고려되며 본원 기재 내에 포함됨을 인지할 것이다. 첨가제는 콘트라스트, 감도 및 선폭 거칠기를 개선시키도록 선택될 수 있다. 현상제 중의 첨가제는 또한 금속 산화물 입자의 형성 및 침전을 억제할 수 있다.
더 약한 현상제, 예컨대 더 낮은 농도의 수성 현상제, 희석된 유기 현상제 또는 낮은 현상률을 갖는 코팅 조성물에서는, 공정의 속도를 증가시키기 위하여 더 고온의 현상 공정을 이용할 수 있다. 더 강한 현상제로는, 현상 공정의 온도를 낮추어 속도를 감소시키고/감소시키거나 현상의 키네틱스(kinetics)를 제어할 수 있다. 일반적으로, 현상 온도는 용매의 휘발성에 따라 적절한 값 사이에서 조절될 수 있다. 또한, 현상제-코팅 계면 근처에서 용해된 코팅 물질을 갖는 현상제는 현상중 초음파로 분산될 수 있다.
현상제는 임의의 적합한 방법을 이용하여 패터닝된 코팅 물질에 도포될 수 있다. 예컨대, 현상제는 패터닝된 코팅 물질에 분무될 수 있다. 또한, 스핀 코팅이 이용될 수 있다. 자동화된 공정에서는, 정지 포맷에서 코팅 물질에 현상제를 부어 넣는 것을 수반하는 퍼들법(puddle method)이 이용될 수 있다. 필요에 따라, 스핀 세정 및/또는 건조를 이용하여 현상 공정을 완료할 수 있다. 적합한 세정 용액은, 예를 들어, 네가티브 패터닝을 위한 초순수, 메틸 알콜, 에틸 알콜, 프로필 알콜 및 이들의 조합, 및 포지티브 패터닝을 위한 초순수를 포함한다. 이미지를 현상한 후, 코팅 물질을 패턴으로서 기재에 배치한다
현상 단계의 완료 후, 코팅 물질을 열처리하여 이 물질을 추가로 축합하고 추가로 탈수, 조밀화하거나 이 물질로부터 잔류 현상제를 제거할 수 있다. 추가의 패터닝을 촉진하기 위하여 코팅 물질의 안정화가 바람직할 경우 코팅 물질이 레지스트로서 사용되고 궁극적으로 제거되는 일부 실시양태에서 열처리를 수행하는 것이 바람직할 수 있으나, 이러한 열처리는 산화물 코팅 물질이 최종 소자에 포함되는 실시양태에 특히 바람직할 수 있다. 특히, 패터닝된 코팅 물질의 소성은 패터닝된 코팅 물질이 바람직한 수준의 에칭 선택성을 나타내는 조건하에 수행될 수 있다. 일부 실시양태에서, 패터닝된 코팅 물질은 약 100℃ 내지 약 600℃, 추가의 실시양태에서 약 175℃ 내지 약 500℃, 추가의 실시양태에서 약 200℃ 내지 약 400℃의 온도로 가열될 수 있다. 가열은 약 1분 이상 동안, 다른 실시양태에서 약 2분 내지 약 1시간 동안, 추가의 실시양태에서 약 2.5분 내지 약 25분 동안 수행될 수 있다. 상기 가열은 공기, 진공, 또는 Ar 또는 N2와 같은 불활성 가스 분위기에서 이루어질 수 있다. 당업자라면 위의 명시된 범위에 속하는 추가 범위의 열처리 온도 및 시간이 고려되며 본원 기재 내에 포함됨을 인지할 것이다. 마찬가지로, 블랭킷 UV 노출 또는 O2와 같은 산화 플라즈마에 대한 노출을 포함한 비열(non-thermal) 처리가 또한 유사한 공정에 사용될 수 있다.
종래의 유기 레지스트의 경우, 구조의 종횡비(aspect ratio)(폭으로 나눈 높이)가 지나치게 커지면 구조의 패턴이 붕괴하기 쉽다. 패턴 붕괴는 높은 종횡비 구조의 기계적 불안정성과 연관될 수 있어, 가공 단계와 관련된, 예컨대 표면 장력과 같은 힘이 구조 요소를 변형시킨다. 낮은 종횡비 구조는 잠재적 변형력에 있어서 더 안정하다. 본 명세서에 개시된 패터닝가능한 코팅 물질을 사용할 경우, 더 얇은 코팅 물질층을 갖는 구조물을 효과적으로 가공하는 능력으로 인하여, 높은 종횡비의 패터닝된 코팅 물질이 필요없이 개선된 패터닝이 달성될 수 있다. 따라서, 패터닝된 코팅 물질 중의 높은 종횡비 피처에 의존하지 않고 매우 높은 해상도의 피처가 형성되었다.
생성되는 구조물은 매우 낮은 선폭 거칠기를 갖는 선명한 엣지를 가질 수 있다. 특히, 선폭 거칠기 감소능 외에도, 높은 콘트라스트는 또한 매우 잘 해상된 2차원 패턴(예컨대, 선명한 코너) 형성능 뿐만 아니라 피처들간의 공간 및 작은 피처들의 형성을 허용할 수 있다. 따라서, 일부 실시양태에서, 이웃하는 구조의 인접한 선형 세그먼트는 약 60nm(반피치 30nm) 이하, 일부 실시양태에서 약 50nm(반피치 25nm) 이하, 추가의 실시양태에서 약 34nm(반피치 17nm) 이하의 평균 피치(반피치)를 가질 수 있다.
피치는 디자인으로 평가될 수 있고 역상 이미지(top-down image)에 의해서와 같이 주사 전자 현미경(SEM)으로 확인할 수 있다. 본 명세서에서 사용된 것과 같이, 피치는 공간적 주기, 또는 반복 구조 요소의 중심-대-중심 거리를 의미하고, 당업계에서 일반적으로 사용되는 바와 같이 반피치는 피치의 반이다. 패턴의 피처 치수는 또한, 일반적으로 코너 등으로부터 떨어져서 평가된, 피처의 평균 폭으로 개시될 수 있다. 또한, 피처는 물질 요소간의 갭 및/또는 물질 요소까지의 갭을 의미할 수 있다. 일부 실시양태에서, 평균 폭은 약 25nm 이하, 추가의 실시양태에서 약 20nm 이하, 추가의 실시양태에서 약 15nm 이하일 수 있다. 평균 선폭 거칠기는 약 5nm 이하, 추가의 실시양태에서 약 4.5nm 이하, 추가의 실시양태에서 약 2.5nm 내지 약 4nm일 수 있다. 선폭 거칠기 평가는 평균 선폭으로부터 3σ 편차를 유도하는 역상 SEM 이미지의 분석으로 수행된다. 평균은 고주파수 및 저주파수 거칠기 모두, 즉 각각 짧은 상관(correlation) 길이 및 긴 상관 길이 모두를 포함한다. 유기 레지스트의 선폭 거칠기는 주로 긴 상관 길이를 특징으로 하는 반면, 본 발명의 유기 금속 코팅 물질은 현저히 더 짧은 상관 길이를 나타낸다. 패턴 전사 공정에서, 짧은 상관 거칠기는 에칭 공정 동안 평활화되어 신뢰성이 훨씬 더 높은 패턴을 생성할 수 있다. 당업자라면 위의 명시된 범위에 속하는 추가 범위의 피치, 평균폭 및 선폭 거칠기가 고려되며 본원 기재 내에 포함됨을 인지할 것이다.
패터닝된 코팅 물질의 추가 가공
패터닝된 코팅 물질의 형성 후, 이 코팅 물질을 더 가공하여 선택된 장치의 형성을 용이하게 할 수 있다. 또한, 일반적으로 추가의 물질 증착, 에칭 및/또는 패터닝을 수행하여 구조물을 완성할 수 있다. 상기 코팅 물질은 최종적으로 제거되거나 제거되지 않을 수 있다. 패터닝된 코팅 물질의 품질은 임의의 경우 더 작은 공간 치수(foot print) 등을 갖는 장치와 같은 개선된 장치의 형성에 긍정적일 수 있다.
패터닝된 코팅 물질은 도 3 및 4에 예로 도시된 바와 같이, 기저 기재에 개구부들을 형성한다. 종래의 레지스트와 같이, 패터닝된 코팅 물질은 패턴을 전사하여 밑에 있는 박막을 선택적으로 제거하는 데 이용될 수 있는 에칭 마스크를 형성한다. 도 7을 참조하면, 도 1의 기저 박막(103)은 기재(102)의 위 및 축합 영역(110, 112, 114)의 아래에 각각 피처(152, 154, 156)를 남기면서 패터닝된다. 종래의 중합체 레지스트에 비하여, 본 명세서에 개시된 물질은 현저히 더 큰 에칭 내성(resistance)을 제공할 수 있다. 유사한 공정이 대체 마스크 패턴으로부터 직접 얻어지는 패터닝된 구조물의 상응하는 시프팅(shifting)과 함께, 도 5 및 6에 제시된 마스크 패턴으로 수행될 수 있다.
선택적으로 또는 추가적으로, 마스크 패턴에 따른 추가 물질의 증착은 기저 구조의 특성을 바꿀 수 있고/있거나 기저 구조에 접촉을 제공할 수 있다. 추가의 코팅 물질은 이 물질의 바람직한 특성을 기초로 선택될 수 있다. 또한, 패터닝된 무기 코팅 물질의 밀도가 높은 주입(implant) 내성을 제공할 수 있으므로, 이온이 선택적으로 마스크 개구부를 통해 기저 구조에 선택적으로 주입될 수 있다. 일부 실시양태에서, 추가의 증착 물질은 유전체, 반도체, 도체 또는 다른 적합한 물질일 수 있다. 추가의 증착 물질은 용액을 기초로 한 방법, 화학 증기 증착(CVD), 스퍼터링, 물리적 증기 증착(PVD) 또는 다른 적합한 방법과 같은 적합한 방법을 이용하여 증착시킬 수 있다.
일반적으로, 복수의 추가층을 증착시킬 수 있다. 복수의 층의 증착과 함께, 추가의 패터닝을 수행할 수 있다. 임의의 추가의 패터닝을 수행할 경우, 본 명세서에 개시된 추가량의 코팅 물질로, 중합체계 레지스트로, 다른 패터닝법으로 또는 이들의 조합으로 수행될 수 있다.
상기 개시된 바와 같이, 패터닝 후 코팅(레지스트) 물질의 층을 제거하거나 제거하지 않을 수 있다. 상기 층을 제거하지 않을 경우, 패터닝된 코팅(레지스트) 물질이 구조물에 포함된다. 패터닝된 코팅(레지스트) 물질이 구조물에 포함된 실시양태에서, 코팅(레지스트) 물질의 특성은 구조물 내에 바람직한 패터닝 특성 및 물질의 특성을 제공하도록 선택될 수 있다.
패터닝된 코팅 물질을 제거하는 것이 바람직할 경우, 코팅 물질은 일반적인 레지스트로서 기능한다. 패터닝된 코팅 물질을 이용하여 레지스트/코팅 물질의 제거 전에 추후 침착되는 물질을 패터닝하고/하거나 축합된 코팅 물질 내 공간을 통해 기재를 선택적으로 에칭한다. 적합한 에칭 공정을 이용하여 축합 코팅 물질을 제거할 수 있다. 구체적으로, 축합된 코팅 물질을 제거하기 위하여, 예컨대 BCl3 플라즈마, Cl2 플라즈마, HBr 플라즈마, Ar 플라즈마 또는 다른 적절한 공정 가스를 이용한 플라즈마를 사용하여 건식 에칭을 수행할 수 있다. 선택적으로 또는 추가적으로, 예를 들어, HF(수성), 또는 완충된 HF(수성)/NH4F 또는 옥살산과 같은 수성 산 또는 염기를 이용한 습식 에칭을 사용하여 패터닝된 코팅 물질을 제거할 수 있다. 도 8을 참조하면, 도 8은 코팅 물질이 제거된 후의 구조물을 도시한 것이다. 에칭된 구조물(150)은 기재(102) 및 피처(152, 154, 156)를 포함한다.
금속 옥소/하이드록소계 코팅 물질은 종래의 레지스트에 대하여, 문헌(P. Zimmerman, J. Photopolym. Sci. Technol., Vol.22, No. 5, 2009, p. 625)에 통상적인 레지스트와 함께 일반적으로 기술된 바와 같이, 열 동결(thermal freeze) 공정을 이용하는 다중 패터닝을 수행하는 데 특히 편리하다. "열 동결"을 이용한 이중 패터닝 공정을 도 9에 개략적으로 도시한다. 제1 단계에서, 코팅 물질은 도 3 및 4와 관련하여 기술된 바와 같은 리소그래피 공정 및 현상을 이용하여 기재(162) 상의 패턴(160)으로 형성된다. 가열 단계(164)는 용매를 제거하기 위하여 행해지며, 코팅물질을 응축하는데, 이것은 전체 산화물 형성에 관련되거나 관련되지 않을 수 있다. 이러한 가열 단계는 위의 "현상" 관련하여 개시된 현상후 가열 단계와 동등하다. 이러한 "열 동결" 공정으로 인하여 코팅 물질은 후속되는 제2 코팅 물질층의 증착에 불용성이 된다. 제2 리소그래피 및 현상 단계(166)를 수행하여 기재(162)에 이중 패터닝 구조물(168)을 형성한다. 에칭 단계(170) 후, 생성물인 이중 패터닝 구조물(172)이 형성된다. 이 공정을 다중 코팅 및 패턴 단계로 확장하는 것이 간단한데, 이러한 확장은 고려되고 본 발명 개시 내용에 속한다. 다중 패터닝과 관련하여, 본 명세서에 개시된 무기 코팅 물질과 종래의 유기 레지스트 사이의 유의한 차이는 유기 레지스트가 열소성 후에도 종래의 레지스트 캐스팅 용매에 여전히 가용성이라는 것이다. 본 명세서에 개시된 레지스트 물질은 유기 용매에 녹지 않도록 열소성으로 응축된 후 후속 코팅층이 도포될 수 있다.
실시예
실시예 1 - t-BuSn(NEt 2 ) 3 의 가수분해물
본 실시예는 t-부틸 트리스(디에틸아미도)주석으로부터의 가수분해물 전구체 용액의 제조를 기재한다.
본원에 원용된 문헌(Haenssgen, D.; Puff, H.; Beckerman, N. Journal of Organometallic Chemistry, 293, 1985, 191-195)에 보고된 방법에 따라 t-부틸 트리스(디에틸아미도)주석(2)으로부터 식 t-BuSnO(3/2- x /2)(OH) x (여기서, 0 < x < 3)(1)의 가수분해물 옥사이드 하이드록사이드 생성물을 제조하였다. 기밀(gas-tight) 주사기를 사용하여 4.4g의 t-부틸 트리스(디에틸아미도)주석을 150mL의 DI H2O(18MΩ)에 꾸준히(~ 125μL/s) 첨가하여 즉각 침전물을 형성시키고 이를 5분 동안 정치시켰다. 생성된 슬러리를 30분 동안 교반한 다음, 1번 필터지(Whaman)를 통해 흡인 여과하였다. 생성된 고체를 각각 50mL의 DI H2O로 3회 세정하였다. 여과 및 세정 후 남은 고체를 진공(~ 5torr)하에 8시간 동안 실온에서 건조시켜 가수분해물 1의 분말 고체 1.9g을 수득하였다.
분말 샘플의 원소 분석(Microanalysis, Inc., Wilmington, DE)으로 22.43% C, 4.79% H 및 0.11% N(질량)을 수득하였다. 이 결과는 1 t-부틸: 1 Sn(예상치: 23.01% C, 4.83% H, 0.0% N)의 조성비에 일치한다. N 함량은 t-부틸 트리스(디에틸아미도)주석의 가수분해시 디에틸아민이 완전 제거되었음을 나타낸다. 동일한 절차에 의해 제조된 분말에 대해 무수(dry) 공기 중에서 수행되는 열 중량 분석-질량 분광분석은 도 10 및 11에 도시한 바와 같이 마찬가지로 가수분해물에 대한 대략적인 실험식 (C4H9)SnOOH에 일치한다. 단계적 탈수(50-150℃, ~ 96% 잔류 중량) 및 데알킬화(dealkylation)/연소(200-500℃, ~ 73%)가 예상되는 SnO2 생성물에 상응하는 최종 잔류 중량으로 관찰된다.
실시예 2 - i-PrSnCl 3 의 가수분해물
본 실시예는 i-프로필 주석 트리클로라이드로부터의 가수분해물 전구체 용액의 제조를 기재한다.
6.5g의 화합물 i-프로필 주석 트리클로라이드를 150mL의 0.5M NaOH(수성)에 격렬히 교반하면서 신속히 첨가하여 침전물을 즉시 생성시키는 i-프로필 주석 트리클로라이드(4, i-PrSnCl3, Gelest)의 가수분해물 옥사이드 하이드록사이드 생성물(i-PrSnO(3/2-x/2)(OH)x, 여기서 0 < x < 3)을 제조하였다. 생성된 혼합물을 실온에서 1시간 동안 교반한 다음, 1번 필터지(Whaman)를 통해 흡인 여과하였다. 잔류된 고체를 각각 ~ 25mL의 DI H2O로 3회 세정한 다음 실온에서 12시간 동안 진공(~ 5torr)하에 건조시켰다.
i-프로필 주석 트리클로라이드의 가수분해물인 건조된 분말의 원소 분석(18.04% C, 3.76% H, 1.38% Cl; Microanalysis, Inc., Wilmington, DE)은 i-프로필 주석 트리클로라이드의 가수분해시 클로라이드의 실질적인 제거가 일어났음을 가리키고 대략 실험식 i-PrSnO(3/2- x /2)(OH) x (여기서, x = ~ 1)의 가수분해물에 일치한다(C3H8O2Sn에 대한 계산치: 18.50% C, 4.14% H, 0.00% Cl). 이 결과는 i-프로필 주석 트리클로라이드의 가수분해물에 대한 대략적인 실험식 (C3H7)SnOOH에 일치한다.
실시예 3 - 포토레지스트 용액의 제조
본 실시예는 가수분해물 전구체로부터의 포토레지스트 용액의 제조를 기재한다.
0.1g의 무수 분말을 10mL의 메탄올(ACS, 99.8%)에 교반하면서 첨가함으로써 화합물 1(실시예 1)의 용액을 제조하여 Sn 농도가 ~ 0.05M인 혼합물을 형성하였다. 상기 혼합물을 24시간 동안 교반한 후, 상기 혼합물을 0.45㎛ PTFE 주사기 필터를 통해 여과하여 불용성 물질을 제거하였다. 뫼비우스(Moebius) 기구(Wyatt Technology)를 사용하여 수행된, 생성된 전구체 용액의 동적 광 산란(dynamic light scattering, DLS) 분석은, 도 12에 도시한 바와 같이, 평군 직경 ~1.9mm의 클러스터의 단봉 질량 칭량된 분포(unimodal mass weighted distribution)에 일치한다. 이러한 입자 크기 분포를 제공하는 대표적인 시간 상관 함수를 도 13에 나타냈다.
Bruker Prodigytm CryoProbe가 구비된 Bruker Avance-III-HD 600 MHz 분광광도계를 사용하여 d4-메탄올 중에서 제조된 유사한 용액으로 핵 자기 공명(Nuclear Magnetic Resonance, NMR) 분광법을 수행하였다. 대표적인 119Sn 스펙트럼을 도 14에 도시하였고, 대표적인 1H 스펙트럼을 도 15에 도시하였다. 양성자 공명의 2개의 주요 세트를 관찰하였는데, 각각 보다 강한(1.58, 140ppm) 공명 및 보다 약한(1.55, 1.37ppm) 공명으로 구성된다. 이들의 위치 및 집적 강도는 대략 밀폐형 도데카머 클러스터(closo-type dodecameric cluster) [(t-BuSn)12O14(OH)6]+2 또는 밀접하게 관련된 화학적 환경 내의 5-배위 및 6-배위 주석 원자에 결합된 -C(CH3)3 리간드 상의 메틸 양성자의 예상된 화학 이동에 일치한다. 3.33 및 4.90ppm에 중심이 있는 공명은 메탄올 CH3 및 OH 양성자에 의한 것이다. 인접하게 위치한 2세트의 119Sn 공명은 -333.86 및 -336.10ppm에서, 및 -520.33 및 -521.48ppm에서 관찰된다. 이러한 결과는 본원에 원용된 문헌(Eychenne-Baron, et al., Organometallics 19, 2000, 1940-1949)에 (n-BuSn)12 클러스터에 대해 기재된 바와 같이, 양이온성 도데카머 클러스터 내의 2개의 주석 환경에 대해 대략 예상된 이동과 유사하다.
전자분무 이온화 질량 분광법(Electrospray Ionization Mass Spectroscopy, ESI-MS)을 사용하여 동일한 가수분해물의 메탄올 용액을 특징화하였다. 대표적인 양이온 모드 질량 스펙트럼을 도 16에 나타낸다. 2개의 주요 양이온 종이 상기 스펙트럼에서 관찰된다. 하나는 전하에 대한 질량비(m/z) 1219에서, 두 번째 것은 m/z 2435에서 보다 강한 시그널이 관찰된다. 이들 m/z 비는 상기 가수분해물의 메탄올 용액 중의 이중 하전된([(t-BuSn)12O14(OH)6]+2 계산된 m/z = 1218) 및 단일 하전된(탈양성화됨, [(t-BuSn)12O15(OH)5]+ m/z = 2435) 양이온성 도데카머 종의 존재에 의한 것이다. m/z 2436의 피크 주변에는 다수의 쇼울더(shoulder) 및 세털라이트(satellite) 피크가 있는데 이것은 메톡소(methoxo), 및 1급 도데카머 종의 용매화되거나 수화된 유도체의 존재를 나타낼 수 있고, NMR을 통해 관찰된 밀접하게 관련된 119Sn 및 1H 공명에 상응하는 것으로 추정된다.
0.16g의 무수 분말을 10mL의 4-메틸-2-펜탄올(Alfa Aesar, 99%)에 교반하면서 첨가함으로써 화합물 3(실시예 2)의 용액을 제조하여 Sn 농도가 ~ 0.08M인 혼합물을 형성하였다. 상기 혼합물을 2시간 동안 교반한 후, 상기 혼합물을 활성화 4Å 분자체로 밤새 건조시켜 잔여 물을 제거한 다음, 0.45㎛ PTFE 주사기 필터를 통해 여과하여 불용성 물질을 제거하였다.
실시예 4- 레지스트 코팅, 필름 프로세싱, 네가티브 톤 이미징
본 실시예는 극자외선 노출을 사용한 네가티브 톤 이미징을 기초로 한 레지스트의 형성을 나타낸다. 분지상 알킬 주석 옥사이드 하이드록사이드 포토레지스트를 실리콘 웨이퍼 상에 코팅하고 EUV 방사선을 사용하여 네가티브 톤 특징적 콘트라스트를 생성한다.
네이티브 옥사이드 표면을 갖는 실리콘 웨이퍼(직경 100mm)를 박막 증착용 기재로서 사용하였다. Si 기재를 레지스트 증착 전에 헥사메틸디실라잔(HMDS) 증기 프라임으로 처리하였다. i-프로필 및 t-부틸 주석 옥사이드 하이드록사이드 포토레지스트 용액을 실시예 3에 기재한 대로 제조하고, 각각 ~ 0.06M 및 0.05M로 희석하였다. n-부틸 주석 옥사이드 하이드록사이드(n-BuSnO(3/2- x /2)(OH) x )의 전구체 용액(0.057M Sn)을 위에 인용한 '524 출원에 기재된 대로 제조하였다. 상기 전구체 용액을 Si 기재 상에 스핀 코팅하고 2분 동안 제시된 rpm/온도에서 소성하여 알킬 주석 옥사이드 하이드록사이드 레지스트 박막을 형성하였다: 1500rpm/80℃(iPr-); 1800rpm/100℃(nBu-); 2000rpm/100℃(tBu-).
직경 ~ 500㎛의 50개의 원형 패드의 직선 어레이를 EUV 광(Lawrence Berkeley National Laboratory Micro Exposure Tool, MET)을 사용하여 상기 웨이퍼에 투사하였다. 패드 노출 시간을 조절하여 EUV 증가 선량(7% 지수(exponential) 단계)이 각 패드에 적용되도록 하였다. 이어서, 레지스트와 기재를 열판(hotplate) 상에서 2분 동안 100 내지 200℃에서 노출 후 소성(post-exposure bake, PEB)시켰다. 노출된 필름을 2-헵탄온에 15초 동안 침지시키고 동일한 현상제로 추가 15초 동안 세정하여 네가티브 톤 이미지를 형성, 즉 비노출된 코팅 부분을 제거하였다. n-부틸 주석 옥사이드 하이드록사이드 레지스트 필름을 DI H2O에서 30초 동안 추가 세정하였다. 최종적으로 150℃, 2분 열판 소성을 수행하여 공정을 종결하였다. J. A. Woollam M-2000 Spectroscopic Ellipsometer를 사용하여 노출된 패드의 잔류 레지스트 두께를 측정하였다. 측정된 두께를 측정된 최대 레지스트 두께에 대해 정상화하고 노출 선량의 대수(logarithm)에 대해 플로팅하여 일련의 PEB 온도에서 각 레지스트에 대한 특징적 커브를 형성하였다. 정상화된 두께 대 log 선량 커브의 최대 기울기가 포토레지스트 콘트라스트(γ)로 정의되고, 이 지점을 통해 그려진 탄젠트 라인이 1인 선량값이 포토레지스트 단위겔 당 선량(D g )으로 정의된다. 이 방법에서 포토레지스트 특징화에 사용된 통상의 파라미터는 본원에 원용된 문헌(Mack, C. Fundamental Principles of Optical Lithography, John Wiley & Sons, Chichester, U.K; pp 271-272, 2007)과 거의 동일할 수 있다.
각각의 레지스트에 대해 γ 대 D g 를 플로팅함으로써, PEB 온도가 각각의 레지스트에 대해 증가함에 따라 감소되는 선량과 콘트라스간의 명확한 관계가 설명된다(도 17). 여기서 시험된 2개의 분지상 알킬 주석 옥사이드 하이드록사이드는 n-부틸 주석 옥사이드 하이드록사이드계 레지스트보다 우수한 콘트라스트를 갖고, 2-헵탄온에서 현상되는 경우 (PEB에 의해 조절되듯이) 보다 낮은 선량에서 동등하거나 더 우수한 콘트라스트를 보존한다.
분지상 알킬 주석 옥사이드 하이드록사이드 포토레지스트로부터 수득된 개선된 감도 및 콘트라스트를 유사하게 사용하여 EUV 방사선 노출에 의해 고해상도 패턴을 생성하였다. 실시예 3으로부터의 화합물 1의 용액을 메탄올로 ~ 0.03M Sn으로 희석한 다음, 기재에 2000rpm으로 스핀 코팅하고 열판 상에서 2분 동안 100℃에서 소성하였다. 코팅 및 소성 후에 타원 편광 반사법으로 측정한 필름 두께는 ~ 23nm이었다.
코팅된 기재를 극자외선 광선(Lawrence Berkeley National Laboratory Micro Exposure Tool)에 노출시켰다. 34nm 피치의 17nm 라인 패턴을, 13.5nm 파장 방사선, 쌍극자 조명(dipole illumination) 및 0.3의 개구수(numerical aperture) 및 43mJ/cm2의 이미징 선량을 사용하여 웨이퍼 상에 투사하였다. 이어서, 패터닝된 레지스트 및 기재를 열판 상에서 2분 동안 175℃에서 노출 후 소성(PEB)시켰다. 이어서, 노출된 필름을 2-헵탄온에 15초 동안 침지시키고 동일한 현상제로 추가 15초 동안 세정하고 최종적으로 DI H2O로 30초 동안 세정하여 네가티브 톤 이미지를 형성, 즉 비노출된 코팅 부분을 제거하였다. 현상 후에 최종적으로 150℃에서 5분 열판 소성을 수행하였다. 도 18은 4.6nm의 계산된 선폭 거칠기(LWR)를 갖는 34nm 피치로 패터닝된 생성된 15.4nm 레지스트 라인의 SEM 이미지를 나타낸다.
유사한 방식으로 i-PrSnO(3/2- x /2)(OH) x (실시예 2로부터의 화합물 3)의 용액을 사용하여 EUV 노출을 통한 고해상도 패터닝을 실현시켰다. 실시예 2로부터의 화합물 3의 용액을 4-메틸-2-펜탄올에 ~ 0.06M Sn으로 희석하고 Si 기재에 1500rpm으로 스핀 코팅하고 열판 상에서 2분 동안 80℃에서 소성하였다. 코팅 및 소성 후에 타원 편광 반사법으로 측정한 필름 두께는 ~ 19nm이었다. +20% 바이어스를 갖는 44nm 피치의 22nm 컨택 홀의 명시야 패턴을, 36mJ/cm2의 이미징 선량으로 사중극 조명광(quadrupole illumination)을 사용하여 웨이퍼 상에 투사하였다. 이어서, 패터닝된 레지스트 및 기재를 2분 동안 150℃에서 PEB에 적용하였다. 이어서, 노출된 필름을 2-옥탄온에 15초 동안 침지시키고 2-옥탄온으로 추가 15초 동안 세정하여 제거될 비노출된 코팅 부분을 갖는 네가티브 톤 이미지를 형성하여 컨택 홀 패턴을 잔류시켰다. 현상 후 최종적으로 150℃에서 5분 열판 소성하였다. 도 19는 44nm 피치로 패터닝된 생성된 22nm 홀의 SEM 이미지를 나타낸다.
실시예 5 - 혼합된 알킬 리간드를 사용한 포토레지스트 용액의 제조
본 실시예는 혼합된 알킬 리간드를 포함하는 전구체 용액 제형을 기재하고, 이러한 제형의 패터닝 표율을 아래 실시예에 기재한다.
위의 실시예 1에 기재된 방법에 따라 t-부틸 트리스(디에틸아미도)주석으로부터 t-부틸 주석 옥사이드 하이드록사이드 가수분해물(1)을 제조하였다. 기밀 주사기를 사용하여 4.4g(11mmol)의 t-부틸 트리스(디에틸아미도)주석을 150mL의 DI H2O(18MΩ)에 첨가하여 즉각 침전물을 형성시키고 이를 5분 동안 정치시켰다. 생성된 슬러리를 30분 동안 교반한 다음, 1번 필터지(Whaman)를 통해 흡인 여과하고 각각 60mL의 DI H2O로 3회 세정하였다. 여과 및 세정 후 남은 고체를 진공(~ 5torr)하에 17시간 동안 실온에서 건조시켜 가수분해물 t-부틸 주석 옥사이드 하이드록사이드(1)의 분말 고체 1.85g을 수득하였다.
위의 실시예 2에 기재된 방법과 유사하게 i-프로필 주석 옥사이드 하이드록사이드 가수분해물(3)을 제조하였다. 9.65g(36mmol)의 i-프로필 주석 트리클로라이드(i-PrSnCl3, Gelest)를 220mL의 0.5M NaOH(수성)에 격렬히 교반하면서 신속히 첨가하여 침전물을 즉시 생성시켰다. 생성된 혼합물을 실온에서 1.25시간 동안 교반한 다음, 5번 필터지(Whaman)를 통해 흡인 여과하였다. 잔류된 고체를 각각 ~ 30mL의 DI H2O로 3회 세정한 다음 실온에서 16시간 동안 진공(~ 5torr)하에 건조시켰다.
t-부틸 주석 옥사이드 하이드록사이드 가수분해물(1) 및 i-프로필 주석 옥사이드 하이드록사이드 가수분해물(3)의 개별 용액을 각각의 분말로부터 제조하였다. 1.04g의 건조된 분말 t-부틸 주석 옥사이드 하이드록사이드 가수분해물을 100mL의 메탄올(ACS, 99.8%)에 첨가하고 24시간 동안 교반하고, 상기 혼합물을 0.45㎛ PTFE 필터를 통해 주사기 여과하여 불용성 입자를 제거하였다. 700℃, 공기 중에서 잔류 고체의 용매 증발에 이은 열 분해 후 남은 샘플 덩어리는 0.035M의 초기 Sn 농도와 일치하고 이는 SnO2로의 화학량론적 전환으로 추정된다. 3.129g의 무수 분말을 교반하면서 80mL의 4-메틸-2-펜탄올(Alfa Aesar, 99%)에 첨가하여 i-프로필 주석 옥사이드 하이드록사이드 가수분해물(3)의 용액을 제조하였다. 6시간 동안 교반한 후, 상기 혼합물을 활성화 4Å 분자체로 60시간 동안 건조시킨 다음, 0.2㎛ PTFE 막 필터를 통해 여과하여 불용성 물질을 제거하였다. 이 용액의 Sn 농도는 옥사이드로의 열 분해를 통해 0.16M로 밝혀졌다.
t-부틸 주석 옥사이드 하이드록사이드 가수분해물(1)의 메탄올 용액과 i-프로필 주석 옥사이드 하이드록사이드 가수분해물(3)의 4-메틸-2-펜탄올 용액을 혼합하고 생성된 혼합물을 표 2에 특정된 용적에 따라 순수 용매로 희석하여 포토레지스트 제형 A 내지 F(표 2)를 제조하였다. 생성된 용액은 i-PrSnO(3/2-x/2)(OH)x 가수분해물과 t-BuSnO(3/2-x/2)(OH)x 가수분해물의 블렌드로서 특징화되며, 여기서 t-BuSnO(3/2-x/2)(OH)x 부분을 전체 Sn 농도에 대해 표시한다.
실시예 6 - 혼합된 알킬 리간드 전구체를 사용한 레지스트 코팅, 필름 프로세싱, 네가티브 톤 이미징
혼합된 리간드 유기 주석 옥사이드 하이드록사이드 포토레지스트를 사용하여 극자외선 광선에 노출시켜 네가티브 톤 패턴을 생성하였다. 본 실시예는 혼합된 알킬 리간드를 갖는 실시예 5로부터의 전구체 용액을 사용한 패터닝을 탐구한다.
네이티브 옥사이드 표면을 갖는 규소 웨이퍼(직경 100mm)를 박막 증착용 기재로서 사용하였다. Si 기재를 레지스트 증착 전에 헥사메틸디실라잔(HMDS) 증기 프라임으로 처리하였다. 실시예 5로부터의 레지스트 제형 A 내지 F를 2000 내지 2500rpm에서 기재 상에 스핀 코팅하고 100℃에서 2분 동안 열판 상에서 소성하였다. 코팅 및 소성 후에 타원 편광 반사법으로 측정한 필름 두께는 ~ 30nm이었다. 코팅된 기재를 극자외선 광선(Lawrence Berkeley National Laboratory Micro Exposure Tool)에 노출시켰다. 17nm 라인 및 34nm 피치 간격 패턴을, 13.5nm 파장 방사선, 쌍극자 조명 및 0.3의 개구수를 사용하여 웨이퍼 상에 투사하였다. 이어서, 패터닝된 레지스트 및 기재를 열판 상에서 2분 동안 170℃에서 노출 후 소성(PEB)시켰다. 이어서, 노출된 필름을 2-헵탄온에 15초 동안 침지시키고 동일한 현상제로 추가 15초 동안 세정하여 네가티브 톤 이미지를 형성, 즉 비노출된 코팅 부분을 제거하였다. 현상 후에 최종적으로 150℃에서 5분 열판 소성을 수행하였다.
도 20은 34nm 피치로 패터닝된 생성된 레지스트 라인의 SEM 이미지를 나타낸다. SuMMIT 분석 소프트웨어(EUV Technology Corporation)를 사용하여, 레지스트 제형 A 내지 F를 사용하여 패터닝된 17hp 라인의 SEM 이미지로부터 레지스트 임계 치수(critical dimension, CD) 및 선폭 거칠기(LWR)를 추출하였다. 각각의 제형에 대한 LWR 및 크기에 대한 선량(Esize)의 플롯을 도 21에 도시하였다. t-BuSnO(3/2-x/2)(OH)x 부분이 증가함에 따라 명확한 추세의 감소 선량(원형 점)이 제형 전반에서 관찰되었다. 게다가, 도 21에서 블렌딩된 제형들에 대한 LWR(세모 점)은 각각 순수한 t-BuSnO(3/2-x/2)(OH)x 제형 A 및 i-PrSnO(3/2-x/2)(OH)x 제형 F보다 상당히 낮다.
실시예 7 - 이소프로필 트리스(디메틸아미도)주석 전구체를 통한 이소프로필 주석 하이드록사이드 옥사이드 가수분해물의 제조
수-반응성 전구체인 이소프로필 트리스(디메틸아미도)주석(i-PrSn(NMe2)3)을 불활성 대기하에 합성한 다음 1) 대기 수분 및 2) 액체 H2O를 사용한 두 가지 방법으로 가수분해하여 i-PrSnO(3/2-x/2)(OH)x 가수분해물을 형성하였다.
아르곤하에, 1L 슈랭크(Schlenk) 환저 플라스크에 LiNMe2(81.75g, 1.6mol, Sigma-Aldrich) 및 무수 헥산(700mL, Sigma-Aldrich)을 충전하여 슬러리를 형성하였다. 큰 교반 바(bar)를 첨가하고 상기 용기를 밀봉하였다. 아르곤 정압(positive argon pressure)하의 첨가 깔대기에 주사기를 통해 i-PrSnCl3,(134.3g, 0.5mol, Gelest)를 충전하고 상기 반응 플라스크에 연결하였다. 상기 플라스크를 -78℃로 냉각시키고 i-PrSnCl3를 2시간에 걸쳐 적가하였다. 반응을 교반하면서 밤새 실온이 되도록 하고 고체가 침전되도록 하였다. 침전 후, 반응 용액을 아르곤 정압하에 인라인 캐뉼라 필터를 통해 여과하였다. 용매를 진공하에 제거하고 잔사를 감압(50-52℃, 1.4mmHg)하에 환원시켜 옅은 황색 액체를 수득하였다(110g, 75% 수율). Bruker DPX-400 (400 MHz, BBO 프로브) 분광광도계로 수집한 C6D6 용매 중 상기 증류물의 1H 및 119Sn NMR 스펙트럼을 각각 도 22 및 도 23에 도시하였다. 도 22에 도시한 바와 같이 관찰된 1H 공명(s, 2.82ppm, -N(CH3)2; d 1.26ppm, -CH3; m, 1.60ppm, -CH)은 iPrSn(NMe2)3에 대해 예상된 스펙트럼에 일치한다. 도 23에 도시한 바와 같이, -65.4ppm에서의 주요(primary) 119Sn 공명은 보고된 모노알킬 주석 아미도 화합물에 필적할만한 화학적 이동으로 단일 주석 환경을 갖는 주 생성물과 일치한다.
i-프로필 주석 옥사이드 하이드록사이드 가수분해물이 상이한 두 가지 방법을 사용한 H2O 가수분해를 통해 i-프로필 트리스(디메틸아미도)주석(i-PrSn(NMe2)3)으로부터 제조되었다.
방법 1:
기밀 주사기를 사용하여 i-프로필 트리스(디메틸아미도)주석(i-PrSn(NMe2)3)을 150mL의 n-헥산((HPLC 등급, > 99.5% 헥산, > 95% n-헥산)에 첨가하여 불투명한 현탁액을 형성하고 5분 동안 공기 중에서 교반한 다음, 동일 용적으로 150mm 직경의 페트리 디시 6개에 부었다. 상기 현탁액이 대기 수분과 반응하도록 하면서 공기 중에서 상기 용매를 1.5시간 동안 증발시켜 잔류된 조악한 고체를 수집하고, 합하고 진공하에 15시간 동안 건조시켜 15.8g의 고체 가수분해물(화합물 3, 실시예 2)을 수득하였다. 동일한 절차에 의해 제조된 가수분해물 분말의 원소 분석(UC Berkeley Microanalytical Facility)으로 18.91% C, 4.24% H 및 0.51% N(질량)의 조성이 밝혀졌고 이것은 디메틸아미도 리간드의 실질적 가수분해 및 생성된 알킬아민의 증발에 일치한다. 상기 결과는 C3H8O2Sn에 대한 계산치인 18.50% C, 4.14% H, 0.00% N 및 60.94% Sn(질량)에 일치한다. 무수 공기 중 동일 샘플의 열 중량 분석(도 24)은 500℃에서의 잔류 중량(75.9%)을 기초로 한 ~ 60%(질량)의 Sn 조성을 가리키며 이는 SnO2로의 완전한 분해로 추정된다. 동일한 분해의 질량 스펙트럼 분석(도 25)은 -C3H6의 존재를 가리킨다. 이들 결과를 하나로 합치면 i-PrSnO(3/2- x /2)(OH) x (여기서 x = ~ 1)의 실험 조성 및 소량의 디메틸 아미도의 잔류 가능성에 일치한다.
방법 2:
기밀 주사기를 사용하여 i-프로필 트리스(디메틸아미도)주석(i-PrSn(NMe2)3)을 15mL의 DI H2O(18.2MΩ)에 격렬히 교반하면서 즉시 첨가하여 슬러리를 형성하고 추가 60분 동안 교반하였다. 이어서 상기 슬러리를 0.7㎛ 필터를 통해 진공 여과하고 잔류 고체를 10mL의 DI H2O로 세척하였다. 이어서 상기 고체를 수집하고 진공하에 16시간 동안 건조시켜 고체 가수분해물 0.7g을 수득하였다. 동일한 절차에 의해 제조된 가수분해물 분말에 대해 무수 공기 중에서 수행된 열 중량 분석(도 26)은 i-PrSnO(3/2- x /2)(OH) x (여기서 x = ~ 1)의 실험 조성 3(실시예 2)에 일치한다. 단계적 탈수(50-175℃, ~95.7% 잔류 중량) 및 데알킬화/연소(200-500℃, ~77% 잔류 중량)로 인한 중량 소실은 조성 3의 SnO2로의 완전 분해를 기초로 예상한 것과 같이 관찰된다.
실시예 8 - 유기 주석 옥사이드 하이드록사이드 포토레지스트 용액의 미량 금속 분석
선행 실시예에서 방법 1에 따라 제조된 건조된 분말 15.8g을 810mL의 4-메틸-2-펜탄올(High Purity Products)에 첨가하고 24시간 동안 교반하여 레지스트 전구체 용액을 제조하였다. 교반 후, 상기 혼합물을 0.22㎛ PTFE 필터를 통해 흡인 여과하여 불용성 물질을 제거하였다. 용매 증발과 후속적인 700℃, 공기 중에서의 고체의 소성에 따른 샘플의 잔여 질량은 초기 Sn 농도 0.072M에 일치하고 이는 SnO2로 화학량론적 전환된 것으로 추정된다.
위의 레지스트 전구체 용액 중의 미량 금속 농도를, 수성 수산화나트륨 및 i-프로필 주석 트리클로라이드를 사용하여 제조된 가수분해물에 비교해 계산하였다. 위에서 제조된 0.072M 용액을 4-메틸-2-펜탄올로 추가로 0.042M(Sn)로 희석하였다. 실시예 2에 기재된 대로 수성 NaOH를 사용한 i-PrSnCl3의 가수분해로 두 번째의 i-프로필 주석 옥사이드 하이드록사이드 전구체 용액을 제조하고, 동일한 고순도의 4-메틸-2-펜탄올을 사용하여 0.42M Sn으로 희석하였다. 상기 두 용액의 일부를 유도 결합 플라즈마 질량 분광분석기(ICP-MS, Balazs Nanoanalysis, Fremont, CA)로 분석하여 10ppb(ng/g)의 검출 하한(lower detection limit, LDL)을 갖는 22종의 금속 농도를 측정하였다. 이러한 분석 결과를 표 3에 기재하였다. 두 경우 모도 나트륨(Na)을 제외하고 모든 분석된 금속의 농도는 10ppb 미만이었다. NaOH(수성)로 제조된 가수분해물을 함유하는 레지스트 용액(A)은 18MΩ DI H2O를 사용하여 3회 세척한 후에도 잔류 나트륨이 34,000ppb인 것으로 밝혀졌다. 대조적으로, i-프로필 (트리스)디메틸아미도 주석의 가수분해물로부터 제조된 레지스트 용액(B)는 알칼리 비함유 가수분해에 의해 예상되는 대로 10ppb 미만의 Na를 함유하는 것으로 밝혀졌다.
LDL이 10ppb인, ICP-MS로 측정된 i-PrSnO(3/2- x /2)(OH) x 포레지스트 전구체 용액 중의 미량 금속 농도를 표 3에 나타낸다.
금속 농도, ppb (ng/g)
레지스트
전구체 A
i-PrSnCl 3 /NaOH
레지스트
전구체 B
i-PrSn(NMe 2 ) 3 /공기
알루미늄 (Al) < 10 < 10
비소 (As) < 10 < 10
바륨 (Ba) < 10 < 10
카드뮴 (Cd) < 10 < 10
칼슘 (Ca) < 10 < 10
크롬 (Cr) < 10 < 10
코발트 (Co) < 10 < 10
구리 (Cu) < 10 < 10
(Au) < 10 < 10
(Fe) < 10 < 10
리튬 (Li) < 10 < 10
마그네슘 (Mg) < 10 < 10
망간 (Mn) < 10 < 10
니켈 (Ni) < 10 < 10
팔라듐 (Pd) < 10 < 10
칼륨 (K) < 10 < 10
(Ag) < 10 < 10
나트륨 (Na) 34,000 < 10
티탄 (Ti) < 10 < 10
텅스텐 (W) < 10 < 10
바나듐 (V) < 10 < 10
아연 (Zn) < 10 < 10
실시예 9 - 미량 금속 오염이 낮은 포토레지스트의 필름 코팅, 프로세싱 및 네가티브 톤 이미징네이티브 옥사이드 표면을 갖는 규소 웨이퍼(직경 100mm)를 박막 증착용 기재로서 사용하였다. Si 기재를 레지스트 증착 전에 헥사메틸디실라잔(HMDS) 증기 프라임으로 처리하였다. 실시예 8로부터의 0.072M 레지스트 용액을 기재 상에 0.45nm 주사기 필터를 통해 분배하고, 1500rpm에서 스핀 코팅하고, 100℃에서 2분 동안 열판 상에서 소성하였다. 코팅 및 소성 후에 타원 편광 반사법으로 측정한 필름 두께는 ~ 25nm이었다. 코팅된 기재를 극자외 광선(Lawrence Berkeley National Laboratory Micro Exposure Tool)에 노출시켰다. 17nm 라인 및 34nm 피치 간격 패턴을, 13.5nm 파장 방사선, 쌍극자 조명 및 0.3의 개구수를 사용하여 상기 웨이퍼 상에 투사하였다. 이어서 패터닝된 레지스트 및 기재를 열판 상에서 2분 동안 180℃에서 노출 후 소성(PEB)시켰다. 이어서 노출된 필름을 2-헵탄온에 15초 동안 침지시키고 동일한 현상제로 추가 15초 동안 세정하여 네가티브 톤 이미지를 형성, 즉 비노출된 코팅 부분을 제거하였다. 현상 후에 최종적으로 150℃에서 5분 열판 소성을 수행하였다. 도 27은 60mJ/㎠의 이미징 선량으로 규정된 기재 상에 생성된 라인/간격 패턴의 SEM 이미지로서 LWR이 2.9nm이고 34nm 피치로 패터닝된 14.5nm 레지스트 라인을 보여준다.
실시예 10 - 수성 유기 염기를 사용한 이소프로필 주석 트리클로라이드의 가수분해를 통한 i-프로필 주석 가수분해물의 제조
6.5g(24mmol)의 화합물 4 i-PrSnCl3를 격렬히 교반하면서 150mL의 0.5M 수성 테트라메틸 암모늄 하이드록사이드(TMAH)에 신속히 첨가하여 즉시 침전물을 만들어 i-PrSnCl3의 가수분해물을 제조하였다. TMAH는 분자식에 금속 양이온이 없어서 낮은 금속 오염으로 도입될 수 있다. 생성된 혼합물을 실온에서 1시간 동안 교반한 다음 1번 필터지(Whaman)를 통해 흡인 여과하였다. 잔류된 고체를 각각 ~ 25mL의 DI H2O로 3회 세척한 다음 실온에서 12시간 동안 진공(~ 5torr)하에 건조시켰다. 건조된 분말 가수분해물의 원소 분석(18.67% C, 4.22% H, 0.03% N, 0.90% Cl; Microanalysis, Inc.; Wilmington, DE)은 가수분해 및 세정시 클로라이드 이온의 실질적 제거에 일치하고, 또한 일반적 화학량론 i-PrSnO(3/2- x /2)(OH) x (여기서, x = ~1)에 일치하였다(C3H8O2Sn에 대한 계산치: 18.50% C, 4.14% H, 0.00% N, 0.00% Cl). 동일한 절차에 의해 제조된 가수분해물 분말의 TGA-MS 분석(무수 공기)(도 28 및 29)도 마찬가지로 동일하였다. 단계적 탈수(50-175℃, ~97.0% 잔류 중량) 및 데알킬화/연소(200-500℃, ~77.5% 잔류 중량)로 인한 중량 소실은 SnO2로의 완전 분해를 기초로 예상한 것과 같이 관찰된다. 이 실험이 특별히 낮은 금속 오염에 대한 시험은 아니지만, 이 실험은 본원의 실시예들에서 입증되는 바와 같이 생성물 t-아밀 주석 옥사이드 하이드록사이드가 낮은 금속 오염으로 합성되는 역량을 나타내도록 한 것이다.
실시예 11 - 알킬 주석 트리스(알키니드)의 수성 가수분해를 통한 알킬 주석 하이드록사이드 옥사이드 가수분해물의 제조
(1,1-디메틸프로필) 주석 트리스(페닐아세틸라이드) t-아밀Sn(C=CPh)3(화합물 7)의 수성 가수분해를 통해 t-아밀 주석 하이드록사이드 옥사이드 가수분해물 t-아밀SnO(3/2-x/2)(0 < x < 3)(화합물 6)을 제조하였다.
주석 테트라(페닐아세틸라이드) Sn(C=CPh)4(화합물 8)를 본원에 원용된 문헌(Levashov, A.S.; Andreev, A. A.; Konshin, V. V. Tetrahedron Letters, 56, 2015, 56, 1870-1872)에 보고된 대로 합성하였다. 이어서, 본원에 원용된 문헌(Jaumier P.; Jousseaume, B.; Lahcini, M. Angewandte Chemie, International Edition, 38, 1999, 402-404)의 방법을 수정하여 화합물 8의 금속교환을 통해 화합물 7을 제조하였다. 150mL 플라스크에서, Sn(C=CPh)4(9.53g/19.33mmol)를 무수 톨루엔(80mL)에 용해시켰다. 이어서 질소를 상기 용액을 통해 10분간 버블링하고, 상기 용액을 빙용에서 냉각시켰다. 이어서, 에테르(30mL/1N) 중의 1,1-디메틸프로필마그네슘 브로마이드 용액을 적가하였다. 상기 용액을 실온으로 가온하고 2시간 동안 교반하였다. 이어서 상기 반응 혼합물을 실리카를 통해 여과하고 진공하에 축합하였다. 생성된 고체를 무수 헥산 중에서 초음파처리하고, 여과하고 상청액을 진공하에 축합하였다. 이어서, 생성된 왁스 같은 고체를 -10℃에서 ~ 2시간에 걸쳐 20% 수성 메탄올(v/v)로부터 제결정화하였다. 이 방법으로 합성된 화합물 t-아밀Sn(C=CPh)3에 대한 대표적인 119Sn 및 1H NMR 스펙트럼을 도 30 및 31에 나타냈다. 도 30은 1H NMR 스펙트럼을 도시한 것이다. 도 31은 119Sn NMR 스펙트럼을 도시한 것이다.
비분지상 알킬 주석 알키니드에 대해 기재하고 있는, 본원에 원용된 문헌(Jaumier, et al., Chemical Communications, 1998, 369-370)의 방법을 적용하여 H2O를 사용한 t아밀Sn(C=CPh)3의 가수분해를 통해 tert-아밀 주석 하이드록사이드 옥사이드 t-아밀SnO(3/2-x/2)(OH)x(0 < x < 3)(화합물 6)를 제조하였다. 50mL 플라스크에서, t-아밀Sn(C=CPh)3를 테트라하이드로푸란(20mL/2% 물) 및 물 0.5mL에 용해시켰다. 상기 용액을 실온에서 2일 동안 교반하고 침전물을 수집하고 클로로포름에 용해시켰다. 생성된 용액을 0.2㎛ PTFE 필터를 통해 여과하고, 용매를 진공하에 제거하였다. 대표적인 119Sn NMR 스펙트럼(도 32) 및 1H NMR 스펙트럼(도 33)을 CDCl3에서 수집하였다. -340.65ppm 및 -489.29ppm에서 관찰된 119Sn 공명이 식 [(RSn)12O14(OH)6](OH)2 형태의 밀폐형 도데카머 클러스터 중의 각각의 5-배위 및 6-배위 주석 원자의 특징이다. 1H 공명은 또한 2가지의 화학적 환경에서의 1,1-디메틸프로필 리간드의 존재를 나타내며, 중요하게도 매우 약한 페닐 공명(7.29-7.60 ppm)이 도 32 및 33에 대하여 관찰되는데, 이는 페닐아세틸라이드 리간드의 거의 완전한 가수분해 및 제거를 나타낸다. 이 실험은 특별히 낮은 금속 오염에 대한 시험은 아니지만, 이 실험은 본원의 실시예들에서 입증되는 바와 같이 생성물 t-아밀 주석 옥사이드 하이드록사이드가 낮은 금속 오염으로 합성되도록 하는 합성 접근법을 제공한다.
실시예 12 - 전자 빔 노출을 사용한 레지스트 코팅, 필름 프로세싱, 네가티브 톤 이미징
t-BuSnO(3/2- x /2)(OH) x 및 i-PrSnO(3/2- x /2)(OH) x 레지스트 전구체 용액을 실시예 3에 기재된 대로 제조하였다. 상기 용액을 스핀 코팅하고 표 4에 요약된 공정 파라미터로 전자 빔 리소그래피를 사용하여 패터닝하였다. 네이티브 옥사이드 표면을 갖는 규소 웨이퍼(25×25mm2)를 박막 증착용 기재로서 사용하였다. 기재를 레지스트 코팅 전에 1분 동안 25W O2 플라즈마 중 15mTorr에서 애쉬(ash)화하였다. 전구체 용액을 0.45nm 주사기 필터를 통해 기재 상에 분배하고 30초 동안 제시된 rpm에서 스핀 코팅하고 제시된 온도에서 2분 동안 열판 상에서 소성하였다(적용 후 소성, post-apply bake, PAB). 코팅 및 소성 후 타원 편광 반사법으로 필름 두께를 측정하였다. 코팅된 기재를 지정된 선량에서 래스터된(rastered) 30keV 전자 빔에 노출시켜 라인/간격 패턴을 형성하였다. 이어서, 패터닝된 레지스트 및 기재를 열판 상에서 2분 동안 표 4에 나타낸 온도에서 노출 후 소성(PEB)시켰다. 이어서, 노출된 필름을 현상제에 15초 동안 침지시키고 추가 15초 동안 동일한 현상제로 세정하여 네가티브 톤 이미지를 형성, 즉 코팅의 비노출된 부분을 제거하였다. 현상 후 150℃에서 최종 5분 동안 열판 소성을 수행하였다. 도 34는 기재 상에 32nm(상부) 및 28nm(하부)의 피치로 생성된 레지스트 라인/간격 패턴의 SEM 이미지를 나타낸 것이다.
레지스트 전구체 제형 t-BuSnO (3/2-x/2) (OH) x i-PrSnO (3/2-x/2) (OH) x
용매 메탄올 4-메틸-2-펜탄올
코팅 속도 (rpm) 1500 2500
PAB 온도 (℃) 100 80
레지스트 필름 두께 (nm) 40 31
PEB 온도 (℃) 170 120
현상제 2-헵타논 2-옥타논
이미징 선량 (μC cm -2 ) 1022 696
32 / 28 nm 피치 레지스트 라인 임계 치수 (nm) 15.2 / 13.5 16.2 / 15.1
실시예 13 - EUV 노출을 사용한 포지티브 톤 이미징
분지상 알킬 주석 옥사이드 하이드록사이드 레지스트를 사용하여 EUV 방사선을 사용한 포지티브 톤 이미지를 생성하였다. 실시예 7에 기재된 방법 1을 사용하여 i-PrSnO(3/2-x/2)(OH)x 가수분해물(화합물 3)을 제조하고 4-메틸-2-펜탄올에 용해시켜 ~ 0.07M Sn 전구체 용액을 제조하였다. 네이티브 옥사이드 표면을 갖는 규소 웨이퍼(직경 100mm)를 박막 증착용 기재로서 사용하였다. 코팅 전에 헥사메틸디살라잔(HMDS) 증기 프라임을 상기 웨이퍼에서 수행하였다. 상기 전구체 용액을 피펫을 통해 상기 기재에 분배하고, 1500rpm에서 30초 동안 스핀 코팅하고 100℃에서 2분 동안 열판 상에서 소성하였다. 코팅 및 소성 후 타원 편광 반사법으로 필름 두께를 측정한 바 ~ 23nm였다. EUV 노출을 Berkeley MET에서 실시하였다. 다양한 피치의 일련의 라인 및 간격 패턴을 0.3의 개구수 및 25mJ/cm2의 이미징 선량에서 13.5nm 파장 방사선 및 환형 조명광(anuular illumination)을 사용하여 웨이퍼 상에 투사하였다. 노출 직후, 상기 레지스트 및 기재를 열판 상에서 2분 동안 150℃, 공기에서 소성시켰다.
노출된 필름을 수성 NaOH 0.52M 용액에 15초 동안 침지시키고 H2O로 15초 동안 세정하여 포지티브 톤 이미지를 형성, 즉 노출된 코팅 부분을 제거하였다. 현상 후에 최종적으로 150℃에서 5분 열판 소성을 수행하였다. 100nm(a) 및 60nm(b) 피치로 패터닝된 포지티브 톤 레지스트 라인의 SEM 이미지를 도 35에 나타낸다.
상기 실시양태들은 예시의 의도이지 제한의 의도는 아니다. 추가의 실시양태들이 본원의 청구범위에 속한다. 또한, 본 발명을 특정 실시양태들을 참고로 설명하였지만, 당업자는 본 발명의 사상과 범위를 벗어나지 않고 형태와 세부 사항이 변경될 수 있음을 알 것이다. 상기 문헌들의 참조 인용(incorporation by reference)은 본 명세서의 명시된 개시 내용에 반하는 주제가 포함되지 않도록 제한된다.

Claims (14)

  1. 표면을 갖는 기재와,
    상기 표면을 따라 선택된 영역에 존재하고 상기 표면을 따라 다른 영역에는 존재하지 않는 제1 필름 부위와,
    상기 표면을 따라 선택된 영역에 존재하고, 상기 표면을 따라 다른 영역에는 존재하지 않는 제2 필름 부위를 포함하며,
    상기 제1 필름 부위는 상기 제2 필름 부위에 비해 Sn-C 결합의 상대 농도가 높고, 상기 제1 및 제2 필름 부위는 서로 다른 에칭 성질을 갖는 것인 방사선 감수성 제품.
  2. 제1항에 있어서, 상기 제품은 선택적으로 포지티브 톤 현상 또는 네거티브 톤 현상을 거칠 수 있는 것인 방사선 감수성 제품.
  3. 제1항 또는 제2항에 있어서, 상기 제품은 액상 용액에 의해 현상될 수 있는 것인 방사선 감수성 제품.
  4. 제1항 또는 제2항에 있어서, 상기 제품은 건식 에칭으로 현상될 수 있는 것인 방사선 감수성 제품.
  5. 제4항에 있어서, 상기 건식 에칭은 할로겐계 플라즈마를 포함하는 것인 방사선 감수성 제품.
  6. 제1항 또는 제2항에 있어서, 상기 제1 필름 부위는 적어도 일부의 유기 액체에 가용성이며, 상기 제2 필름 부위는 상기 유기 액체에 불용성인 방사선 감수성 제품.
  7. 제6항에 있어서, 상기 유기 액체는 방향족 화합물, 에스테르, 알코올, 케톤, 에테르 또는 이들의 조합과, 선택적으로 10 중량% 이하의 첨가제를 포함하는 것인 방사선 감수성 제품.
  8. 제1항 또는 제2항에 있어서, 상기 제2 필름 부위는 수성 염기 또는 수성 산에 가용성인 방사선 감수성 제품.
  9. 제8항에 있어서, 상기 수성 염기는 4급 암모늄 하이드록사이드를 포함하거나, 상기 수성 산은 불화수소 또는 옥살산 조성물을 포함하며, 상기 수성 염기 또는 상기 수성 산은 선택적으로 10 중량% 이하의 첨가제를 포함하는 것인 방사선 감수성 제품.
  10. 제1항 또는 제2항에 있어서, 상기 제1 필름 부위는 금속 옥소-하이드록소 네트워크와, 금속 탄소 결합을 형성하는 유기 리간드를 갖는 금속 양이온을 구비한 유기 리간드를 포함하며,
    상기 제1 필름 부위의 상기 금속 옥소-하이드록소 네트워크는 Sn-O-H 결합, Sn-O-Sn 결합 및 방사선 감수성 Sn-C 결합을 갖는 것인 방사선 감수성 제품.
  11. 제10항에 있어서, 상기 금속 탄소 결합을 형성하는 유기 리간드는, 탄소원자 3-31개의 알킬 기 또는 사이클로알킬 기를 포함하며, 상기 알킬 기 또는 사이클로알킬 기는 2급 또는 3급 탄소원자에서 상기 주석과 결합한 것인 방사선 감수성 제품.
  12. 제1항 또는 제2항에 있어서, 상기 제1 및 제2 필름 부위는 하나 이상의 관능성 비-주석 금속을 포함하는 것인 방사선 감수성 제품.
  13. 제12항에 있어서, 상기 관능성 비-주석 금속이 In, Sb, Hf, Ti, V, Mo 및 W 중에서 하나 이상을 포함하는 것인 방사선 감수성 제품.
  14. 제1항 또는 제2항에 있어서, 상기 기재는 반도체 웨이퍼를 포함하는 것인 방사선 감수성 제품.
KR1020237038148A 2014-10-23 2015-10-22 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법 KR20230156842A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201462067552P 2014-10-23 2014-10-23
US62/067,552 2014-10-23
US201562119972P 2015-02-24 2015-02-24
US62/119,972 2015-02-24
PCT/US2015/056865 WO2016065120A1 (en) 2014-10-23 2015-10-22 Organometallic solution based high resolution patterning compositions and corresponding methods
KR1020227033571A KR102600795B1 (ko) 2014-10-23 2015-10-22 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020227033571A Division KR102600795B1 (ko) 2014-10-23 2015-10-22 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법

Publications (1)

Publication Number Publication Date
KR20230156842A true KR20230156842A (ko) 2023-11-14

Family

ID=55761532

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020217002601A KR102319630B1 (ko) 2014-10-23 2015-10-22 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
KR1020217034240A KR102450113B1 (ko) 2014-10-23 2015-10-22 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
KR1020237038148A KR20230156842A (ko) 2014-10-23 2015-10-22 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
KR1020227033571A KR102600795B1 (ko) 2014-10-23 2015-10-22 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
KR1020177013873A KR102264419B1 (ko) 2014-10-23 2015-10-22 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020217002601A KR102319630B1 (ko) 2014-10-23 2015-10-22 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
KR1020217034240A KR102450113B1 (ko) 2014-10-23 2015-10-22 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020227033571A KR102600795B1 (ko) 2014-10-23 2015-10-22 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
KR1020177013873A KR102264419B1 (ko) 2014-10-23 2015-10-22 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법

Country Status (6)

Country Link
US (4) US10642153B2 (ko)
EP (4) EP3889159A3 (ko)
JP (3) JP6784670B2 (ko)
KR (5) KR102319630B1 (ko)
TW (2) TWI715463B (ko)
WO (1) WO2016065120A1 (ko)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
KR102319630B1 (ko) * 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US10365559B2 (en) * 2015-03-04 2019-07-30 Toray Industries, Inc. Photosensitive resin composition, method for manufacturing cured resin film, and semiconductor device
GB201517273D0 (en) 2015-09-30 2015-11-11 Univ Manchester Resist composition
KR102204773B1 (ko) 2015-10-13 2021-01-18 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US10649328B2 (en) 2016-03-11 2020-05-12 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
KR20180104745A (ko) * 2016-03-24 2018-09-21 후지필름 가부시키가이샤 감활성광선성 또는 감방사선성 조성물, 감활성광선성 또는 감방사선성 조성물의 제조 방법, 패턴 형성 방법, 및 전자 디바이스의 제조 방법
EP3435159A4 (en) * 2016-03-24 2019-04-10 Fujifilm Corporation ACTINIC OR RADIATION SENSITIVE COMPOSITION, PURIFICATION METHOD AND METHOD OF MANUFACTURING THE SAME, PATTERN FORMATION METHOD, AND ELECTRONIC DEVICE MANUFACTURING METHOD
WO2017170428A1 (ja) * 2016-03-31 2017-10-05 富士フイルム株式会社 電子材料製造用薬液の製造方法、パターン形成方法、半導体デバイスの製造方法、電子材料製造用薬液、容器、及び、品質検査方法
TWI759147B (zh) * 2016-08-12 2022-03-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
EP3564751A4 (en) 2016-12-28 2020-10-14 JSR Corporation RADIATION SENSITIVE COMPOSITION, PATTERN FORMING PROCESS, METAL-CONTAINING RESIN AND ASSOCIATED MANUFACTURING PROCESS
US10082736B2 (en) 2017-01-13 2018-09-25 International Business Machines Corporation Approach to lowering extreme ultraviolet exposure dose for inorganic hardmasks for extreme ultraviolet patterning
EP3367428A1 (en) 2017-02-23 2018-08-29 IMEC vzw Method for blocking a trench portion during patterning of trenches in a dielectric material, and corresponding semiconductor structure
JPWO2018168221A1 (ja) * 2017-03-13 2020-01-16 Jsr株式会社 感放射線性組成物及びパターン形成方法
WO2018173446A1 (ja) * 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
WO2018179704A1 (ja) * 2017-03-27 2018-10-04 Jsr株式会社 パターン形成方法
US10741410B2 (en) 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
TWI788434B (zh) * 2017-10-27 2023-01-01 日商東京威力科創股份有限公司 光罩圖案形成方法、記憶媒體及基板處理裝置
US11098070B2 (en) * 2017-11-20 2021-08-24 Inpria Corporation Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
KR102226430B1 (ko) * 2017-12-19 2021-03-10 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202348612A (zh) * 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
CA3080934C (en) * 2018-04-11 2024-01-02 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10381481B1 (en) 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks
KR102207893B1 (ko) * 2018-05-25 2021-01-25 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11972948B2 (en) 2018-06-13 2024-04-30 Brewer Science, Inc. Adhesion layers for EUV lithography
TW202404985A (zh) 2018-06-21 2024-02-01 美商英培雅股份有限公司 包含溶劑與單烷基錫三烷氧化物之混合物的溶液
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
KR102307977B1 (ko) 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
WO2020102085A1 (en) * 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11312899B2 (en) * 2019-01-23 2022-04-26 Tarbiat Modares University Composite polymer/perovskite quantum dots luminescent material
US11498934B2 (en) * 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11966158B2 (en) * 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
US11720022B2 (en) 2019-02-12 2023-08-08 Samsung Electronics Co., Ltd. Resist compound, method of forming pattern using the same, and method of manufacturing semiconductor device using the same
TW202344939A (zh) * 2019-04-12 2023-11-16 美商英培雅股份有限公司 對經顯影圖案層進行處理的方法
US11327398B2 (en) * 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
US11609494B2 (en) 2019-04-30 2023-03-21 Samsung Sdi Co., Ltd. Semiconductor photoresist composition and method of forming patterns using the composition
WO2020264158A1 (en) * 2019-06-26 2020-12-30 Lam Research Corporation Photoresist development with halide chemistries
WO2020263750A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Apparatus for photoresist dry deposition
EP4004649A4 (en) 2019-07-22 2023-03-29 Inpria Corporation ORGANOMETALLIC METAL CHALCOGENIDE AGGREGATES AND APPLICATION TO LITHOGRAPHY
US11579531B2 (en) * 2019-09-25 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Organometallic cluster photoresists for EUV lithography
KR102446361B1 (ko) * 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102446360B1 (ko) * 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102446362B1 (ko) 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR102384231B1 (ko) * 2020-02-19 2022-04-07 삼성전자주식회사 레지스트 화합물, 이를 사용한 패턴 형성 방법, 및 이를 사용한 반도체 소자 제조 방법
JP2023516967A (ja) * 2020-02-27 2023-04-21 オレゴン ステイト ユニバーシティー スズベースのフォトレジスト組成物およびその作成方法
WO2021178302A1 (en) 2020-03-02 2021-09-10 Inpria Corporation Process environment for inorganic resist patterning
DE102020129681B4 (de) 2020-03-30 2023-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung einer halbleitervorrichtung
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
US11942322B2 (en) * 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
WO2022006501A1 (en) * 2020-07-03 2022-01-06 Entegris, Inc. Process for preparing organotin compounds
EP4078292A4 (en) 2020-07-07 2023-11-22 Lam Research Corporation INTEGRATED DRY PROCESSES FOR PHOTORESIN PATTERNING BY RADIATION
WO2022016126A1 (en) * 2020-07-17 2022-01-20 Lam Research Corporation Metal chelators for development of metal-containing photoresist
US20230259025A1 (en) * 2020-07-17 2023-08-17 Lam Research Corporation Dry deposited photoresists with organic co-reactants
KR102586112B1 (ko) * 2020-09-14 2023-10-05 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US20220163889A1 (en) * 2020-11-20 2022-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metallic photoresist patterning and defect improvement
US11846886B2 (en) 2020-11-23 2023-12-19 International Business Machines Corporation Photoacid generator
KR102598259B1 (ko) * 2020-12-18 2023-11-02 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11685752B2 (en) * 2021-01-28 2023-06-27 Entegris, Inc. Process for preparing organotin compounds
WO2022164280A1 (ko) 2021-01-29 2022-08-04 주식회사 엘지화학 전극
US20220269169A1 (en) 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
JPWO2022209950A1 (ko) * 2021-03-31 2022-10-06
US20220365428A1 (en) * 2021-05-14 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist materials and associated methods
EP4355752A1 (en) * 2021-06-18 2024-04-24 Entegris, Inc. Process for preparing organotin compounds
WO2023086682A1 (en) * 2021-11-15 2023-05-19 The Research Foundation For The State University Of New York Lithography compositions and methods for forming resist patterns and/or making semiconductor devices
KR102522001B1 (ko) * 2021-12-23 2023-04-20 전남대학교산학협력단 클러스터 화합물 또는 이의 염 및 이를 포함하는 포토레지스트 조성물

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385915A (en) 1966-09-02 1968-05-28 Union Carbide Corp Process for producing metal oxide fibers, textiles and shapes
US3635883A (en) * 1970-05-07 1972-01-18 Stauffer Chemical Co Stabilized styrene-acrylonitrile polymer compositions
US3949146A (en) 1973-08-24 1976-04-06 Rca Corporation Process for depositing transparent electrically conductive tin oxide coatings on a substrate
US4014858A (en) * 1975-05-12 1977-03-29 Standard Oil Company Polybutylene terephthalate
US4174346A (en) * 1976-01-30 1979-11-13 Albright & Wilson Limited Process for preparing organotin compounds
JPS5331761A (en) * 1976-09-07 1978-03-25 Toray Silicone Co Ltd Thermosetting silicone resin composition
US4104292A (en) * 1976-11-02 1978-08-01 M&T Chemicals Inc. Method for preparing organotin compounds
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US4380559A (en) 1980-09-25 1983-04-19 Murata Manufacturing Co., Ltd. Method for producing boundary layer semiconductor ceramic capacitors
JPS57123126A (en) * 1981-01-23 1982-07-31 Adeka Argus Chem Co Ltd Stabilized allyl chloride composition
US4370405A (en) 1981-03-30 1983-01-25 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4639208A (en) 1984-04-03 1987-01-27 Matsushita Electric Industrial Co., Ltd. Pulse combustion apparatus with a plurality of pulse burners
ZA852396B (en) * 1984-04-10 1985-11-27 M & T Chemicals Inc Liquid coating composition for producing high quality,high performance fluorine-doped tin oxide coating
US4601917A (en) 1985-02-26 1986-07-22 M&T Chemicals Inc. Liquid coating composition for producing high quality, high performance fluorine-doped tin oxide coatings
US5025094A (en) 1985-07-10 1991-06-18 Union Carbide Chemicals And Plastics Technology Corporation Heterogeneous alkoxylation using anion-bound metal oxides
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
DE3738634C2 (de) 1986-11-13 1996-11-14 Sunstar Engineering Inc Epoxyharzmasse mit darin dispergierten Siliconharzteilchen
JPH07733B2 (ja) * 1986-11-13 1995-01-11 サンスタ−技研株式会社 エポキシ樹脂組成物
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JP3128004B2 (ja) * 1991-03-28 2001-01-29 ジェイエスアール株式会社 放射線硬化性酸化スズ前駆体組成物
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
DE19606166A1 (de) 1996-02-20 1997-08-21 Basf Ag Verfahren zur Herstellung von Polymerisaten von Alkenen durch Suspensionspolymerisation
US5698262A (en) 1996-05-06 1997-12-16 Libbey-Owens-Ford Co. Method for forming tin oxide coating on glass
US5891985A (en) 1996-10-09 1999-04-06 E. I. Du Pont De Nemours And Company Soluble mono-alkyl stannoic acid catalyst and its use in preparing high molecular weight polyesters
US6183716B1 (en) 1997-07-30 2001-02-06 State Of Oregon Acting By And Through The State Board Of Higher Education Of Behalf Of Oregon State University Solution method for making molybdate and tungstate negative thermal expansion materials and compounds made by the method
DE19811934A1 (de) 1998-03-19 1999-09-23 Basf Ag Ethylencopolymere mit enger Comonomerverteilung
US6060380A (en) 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6020269A (en) 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6287951B1 (en) 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6197896B1 (en) 1999-07-12 2001-03-06 International Business Machines Corporation Graft polymers and use thereof
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1305824A4 (en) 2000-06-06 2007-07-25 Univ Fraser Simon METHOD FOR MANUFACTURING ELECTRONIC MATERIALS
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
WO2002054416A1 (fr) 2000-12-28 2002-07-11 Nissan Chemical Industries, Ltd. Procede de modelage des contours d'une couche d'oxyde d'etain electro-conductrice
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6521295B1 (en) 2001-04-17 2003-02-18 Pilkington North America, Inc. Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
US6592998B2 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
KR20030057133A (ko) 2001-12-28 2003-07-04 삼성전자주식회사 금속 패턴 형성용 유기금속 전구체 및 이를 이용한 금속패턴 형성방법
JP4110952B2 (ja) 2002-01-16 2008-07-02 株式会社村田製作所 誘電体薄膜の形成方法
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6946677B2 (en) 2002-06-14 2005-09-20 Nokia Corporation Pre-patterned substrate for organic thin film transistor structures and circuits and related method for making same
DE10256084A1 (de) * 2002-11-29 2004-06-17 Crompton Gmbh Katalysatoren für die Herstellung von Polyestern, insbesondere Poly(alkylenterephthalaten), deren Verwendung und Verfahren zu deren Anwendung
KR100520961B1 (ko) 2003-05-30 2005-10-17 엘지전자 주식회사 인쇄회로기판의 제조방법
US6927108B2 (en) 2003-07-09 2005-08-09 Hewlett-Packard Development Company, L.P. Solution-processed thin film transistor formation method
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
US7001821B2 (en) 2003-11-10 2006-02-21 Texas Instruments Incorporated Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device
US7773365B2 (en) 2004-04-30 2010-08-10 Hewlett-Packard Development Company, L.P. Dielectric material
US7312165B2 (en) 2004-05-05 2007-12-25 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
BR122016007793B1 (pt) * 2004-10-20 2018-11-06 Valspar Sourcing, Inc. método para preparar uma lata de alumínio para bebida
US20060088962A1 (en) 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
KR100643570B1 (ko) 2005-06-28 2006-11-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP4699140B2 (ja) 2005-08-29 2011-06-08 東京応化工業株式会社 パターン形成方法
US8969865B2 (en) 2005-10-12 2015-03-03 Hewlett-Packard Development Company, L.P. Semiconductor film composition
JP2007178452A (ja) * 2005-12-26 2007-07-12 Kao Corp 電子写真用トナーの製造方法
EP1992665B1 (en) 2006-02-16 2010-12-15 Kaneka Corporation Curable composition
JP5100646B2 (ja) * 2006-06-09 2012-12-19 本州化学工業株式会社 新規なトリス(ホルミルフェニル)類及びそれから誘導される新規な多核ポリフェノール類
JP5362176B2 (ja) 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20080055597A1 (en) 2006-08-29 2008-03-06 Jie-Wei Sun Method for characterizing line width roughness (lwr) of printed features
JP2008091215A (ja) * 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
KR101207381B1 (ko) 2006-11-01 2012-12-05 더 스테이트 오브 오레곤 액팅 바이 앤드 쓰루 더 스테이트 보드 오브 하이어 에쥬케이션 온 비해프 오브 오레곤 스테이트 유니버시티 용액 처리된 박막들 및 적층체들, 상기 박막들 및적층체들을 포함하는 장치들, 및 그들의 사용 방법 및 제조방법
TWI334177B (en) 2007-03-29 2010-12-01 Nanya Technology Corp Method for forming a semiconductor device
US7799503B2 (en) 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
KR20100084157A (ko) 2007-09-17 2010-07-23 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Gst 필름 증착용 텔루륨 전구체
US20090174036A1 (en) 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
KR100954541B1 (ko) * 2008-03-20 2010-04-23 한국화학연구원 신규의 주석 아미노알콕사이드 화합물 및 그 제조 방법
EP2123659A1 (en) * 2008-05-15 2009-11-25 Arkema France High purity monoalkyltin compounds and uses thereof
GB0811930D0 (en) 2008-06-30 2008-07-30 Imec Inter Uni Micro Electr Polymerisable compounds for making opto-electronic devices
JP2010094583A (ja) 2008-10-14 2010-04-30 Nippon Soda Co Ltd 有機薄膜の製造方法
DK2342289T3 (en) * 2008-11-07 2015-01-26 Dsm Ip Assets Bv HEAT-CARDABLE POWDER-COMPOUND COATING COMPOSITION CONTAINING HYDROQUINON
FR2940294B1 (fr) 2008-12-23 2011-02-18 Michelin Soc Tech Nouveau systeme d'amorcage pour polymerisation anionique de dienes conjugues, procede de preparation d'elastomeres dieniques.
GB2466486A (en) 2008-12-23 2010-06-30 Dow Corning Moisture curable composition
KR20110064153A (ko) 2009-12-07 2011-06-15 삼성전자주식회사 금속 유기 전구체, 이의 제조방법, 및 이를 이용한 전도성 금속막 또는 패턴 형성방법
US8366967B2 (en) 2010-02-22 2013-02-05 Inpria Corporation Metal chalcogenide aqueous precursors and processes to form metal chalcogenide films
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
EP2649135A1 (en) * 2010-12-08 2013-10-16 Dow Corning Toray Co., Ltd. Methods of modifying metal-oxide nanoparticles
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
DE102011089056A1 (de) * 2011-12-19 2013-06-20 Evonik Industries Ag Verfahren zur Herstellung von Polyestern
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9005875B2 (en) 2013-03-15 2015-04-14 Intel Corporation Pre-patterned hard mask for ultrafast lithographic imaging
US20140303283A1 (en) * 2013-03-15 2014-10-09 The Sherwin-Williams Company Curable compositions
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
JP6495025B2 (ja) * 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
WO2016043198A1 (ja) 2014-09-17 2016-03-24 Jsr株式会社 パターン形成方法
WO2016043200A1 (ja) 2014-09-17 2016-03-24 Jsr株式会社 パターン形成方法
KR102319630B1 (ko) * 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
KR102204773B1 (ko) * 2015-10-13 2021-01-18 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
JP2018017780A (ja) 2016-07-25 2018-02-01 Jsr株式会社 感放射線性組成物及びパターン形成方法
EP3564751A4 (en) 2016-12-28 2020-10-14 JSR Corporation RADIATION SENSITIVE COMPOSITION, PATTERN FORMING PROCESS, METAL-CONTAINING RESIN AND ASSOCIATED MANUFACTURING PROCESS
EP3564752A4 (en) 2016-12-28 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION, STRUCTURE FORMATION PROCESS AND METAL OXIDE
KR20190103229A (ko) 2017-01-26 2019-09-04 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
JPWO2018168221A1 (ja) 2017-03-13 2020-01-16 Jsr株式会社 感放射線性組成物及びパターン形成方法

Also Published As

Publication number Publication date
KR20170074953A (ko) 2017-06-30
KR102264419B1 (ko) 2021-06-11
JP6784670B2 (ja) 2020-11-11
KR20210013325A (ko) 2021-02-03
EP4050014A3 (en) 2022-12-14
KR20220138869A (ko) 2022-10-13
JP7227205B2 (ja) 2023-02-21
KR20210131448A (ko) 2021-11-02
TW201631377A (zh) 2016-09-01
US20200064733A1 (en) 2020-02-27
TWI715463B (zh) 2021-01-01
US20160116839A1 (en) 2016-04-28
US20220291582A1 (en) 2022-09-15
EP3865492A1 (en) 2021-08-18
EP3889159A3 (en) 2021-11-10
EP4050014A2 (en) 2022-08-31
US20210048745A1 (en) 2021-02-18
KR102600795B1 (ko) 2023-11-09
JP2023040027A (ja) 2023-03-22
EP3889159A2 (en) 2021-10-06
EP3230294A4 (en) 2018-06-27
WO2016065120A1 (en) 2016-04-28
US10642153B2 (en) 2020-05-05
US11392029B2 (en) 2022-07-19
KR102319630B1 (ko) 2021-10-29
TW202026749A (zh) 2020-07-16
US11500284B2 (en) 2022-11-15
EP3230294A1 (en) 2017-10-18
KR102450113B1 (ko) 2022-09-30
EP3230294B1 (en) 2021-06-30
TWI690766B (zh) 2020-04-11
JP2021021953A (ja) 2021-02-18
JP2018502173A (ja) 2018-01-25

Similar Documents

Publication Publication Date Title
KR102600795B1 (ko) 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
KR102204773B1 (ko) 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
JP2024063052A (ja) 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal