TWI690766B - 以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法 - Google Patents

以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法 Download PDF

Info

Publication number
TWI690766B
TWI690766B TW104134973A TW104134973A TWI690766B TW I690766 B TWI690766 B TW I690766B TW 104134973 A TW104134973 A TW 104134973A TW 104134973 A TW104134973 A TW 104134973A TW I690766 B TWI690766 B TW I690766B
Authority
TW
Taiwan
Prior art keywords
coating
alkyl
tin
radiation
organometallic compound
Prior art date
Application number
TW104134973A
Other languages
English (en)
Other versions
TW201631377A (zh
Inventor
史堤芬T 密爾斯
傑洛米 安德森
裘瑟芬 柏頓 艾德森
蔣凱
道格拉斯A 克斯爾
麥可K 科克席斯
亞倫J 托爾斯基
布萊恩 卡迪妮歐
Original Assignee
美商因普利亞公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=55761532&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TWI690766(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 美商因普利亞公司 filed Critical 美商因普利亞公司
Publication of TW201631377A publication Critical patent/TW201631377A/zh
Application granted granted Critical
Publication of TWI690766B publication Critical patent/TWI690766B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Paints Or Removers (AREA)
  • Electroluminescent Light Sources (AREA)

Abstract

本發明描述基於含烷基配位體之錫離子的有機金屬輻射抗蝕劑組合物。該等組合物中之一些具有分支鏈烷基配位體以提供經改良之圖案化對比度,同時保持高程度之溶液穩定性。含不同烷基配位體的化合物之摻合物可提供該圖案化之進一步改良。具有不超過25nm之半間距的高解析度圖案化可藉由不超過約4.5nm之線寬粗糙度達成。已研發出使得形成具有極低金屬污染的烷基錫氧化物氫氧化物組合物之合成技術。

Description

以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法 相關申請案之交叉引用
本申請案主張名為「用於形成高解析度輻射可圖案化膜、前驅體化合物及溶液之有機錫化合物及相對應方法」的Meyers等人2014年10月23日申請之美國臨時申請案第62/067,552號及名為「用於形成高解析度輻射可圖案化膜、前驅體調配物及溶液之有機錫化合物及相對應方法」的Meyers等人2015年2月24日申請之美國臨時申請案第62/119,972號之優先權,兩者皆以引用的方式併入本文中。
本發明係關於使用有機金屬塗層組合物對材料進行圖案化之基於輻射之方法。本發明進一步係關於前驅體溶液,其可經沈積以形成有機金屬塗層,該有機金屬塗層可經輻射圖案化而具有極高解析度,且關於圖案化前後用前驅體溶液所形成之塗佈基板及塗層。
對於基於半導體之裝置以及其他電子裝置或其他複雜精細結構之形成,一般使材料圖案化以整合該結構。因此,結構一般經由連續沈積及蝕刻步驟之重複方法形成,經由該方法由各種材料形成圖案。以此方式,大量裝置可形成於小區域內。此項技術中之一些進步可涉及減少裝置之佔據面積,其對於提高效能可為合乎需要的。
有機組合物可用作經輻射圖案化之抗蝕劑,使得輻射圖案用於改變與圖案相對應之有機組合物的化學結構。舉例而言,半導體晶圓之圖案化方法可能需要對來自有機輻射敏感材料之薄膜的所需影像進行平板轉印。抗蝕劑之圖案化一般涉及若干步驟,其包括諸如經由遮罩使抗蝕劑曝露於所選能量源以記錄潛像,及隨後顯影且移除抗蝕劑之所選區域。對於正型色調抗蝕劑,曝露區經轉化以使得該等區域可選擇性地得到移除,同時對於負型色調抗蝕劑,未曝露區可更易於移除。
一般而言,圖案可經由輻射、反應氣體或液體溶液顯影以移除抗蝕劑之選擇性敏感部分同時抗蝕劑之其他部分充當保護性抗蝕刻層。液體顯影劑可對潛像顯影尤其有效。基板可選擇性地經由保護性抗蝕劑層之其餘區域中的窗或空隙蝕刻。或者,所需材料可經由保護性抗蝕劑層之其餘區域中所顯影之窗或空隙沈積於底層基板之曝露區中。最終,保護性抗蝕劑層得到移除。可重複進行該方法以形成經圖案化之材料的額外層。可使用化學氣相沈積、物理氣相沈積或其他所需方法來沈積功能性無機材料。可使用額外加工步驟,諸如沈積導電材料或植入摻雜劑。在微製造及奈米製造領域中,積體電路之特徵尺寸已變為極小以達成高積體密度且改良電路功能。
在第一態樣中,本發明係關於包含有機溶劑及由式RSnO(3/2-x/2)(OH)x(其中0<x<3)表示的第一有機金屬化合物之塗佈溶液,其中在溶液中含有0.0025M至約1.5M錫,其中R為含有3-31個碳原子之烷基或環烷基,其中烷基或環烷基在第二或第三碳原子處鍵結於錫。
在另一態樣中,本發明係關於包含有機溶劑、第一有機金屬化合物及第二有機金屬化合物之塗佈溶液,該第一有機金屬化合物由式RSnO(3/2-x/2)(OH)x(其中0<x<3)表示,其中R為含有3-31個碳原子之 烷基或環烷基,其中烷基或環烷基在第二或第三碳原子處鍵結於錫,且第二有機金屬化合物不同於第一有機金屬化合物且由式R'SnO(3/2-x/2)(OH)x(其中0<x<3)表示,其中R'為直鏈或分支鏈烷基或環烷基且其中R及R'並不相同。
在另一態樣中,本發明係關於用於在基板上對膜進行圖案化之方法,該方法包含:使膜曝露於不超過約80mJ/cm2之EUV劑量;及使膜顯影以形成半間距不超過約25nm且線寬粗糙度不超過約5nm之特徵。
在另一態樣中,本發明係關於在基板上使有機金屬膜圖案化之方法,該方法包含:以不超過約15mJ/cm2之膠凝劑量(dose-to-gel)值使有機金屬膜曝露於EUV輻射中以獲得至少約6之對比度。
此外,本發明係關於包含具有表面及與表面相關聯之塗層之基板的圖案化結構,其中塗層之至少部分由式(R)zSnO2-z/2-x/2(OH)x(0<(x+z)<4)表示,其中R為含有3-31個碳原子之烷基或環烷基,其中烷基或環烷基在第二或第三碳原子處鍵結於錫。
在另一態樣中,本發明係關於包含溶劑及化合物之溶液,該化合物由式RSnO(3/2-x/2)(OH)x(其中0<x<3)表示,其中R為具有1至31個碳原子之烷基、環烷基或經取代之烷基部分,溶液具有按重量計不超過約1ppm之污染物金屬的個別濃度。
此外,本發明係關於用於合成由式RSnOOH或RSnO(3/2-x/2)(OH)x(0<x<3)表示之化合物之方法,其中R為具有1至31個碳原子之烷基或環烷基部分,該方法包含:水解具有式RSnX3之前驅體組合物,其中X表示鹵原子(F、Cl、Br或I)或醯胺基或其組合,其中用足量水進行水解以實現水解,其中 水解產物具有按重量計不超過約1ppm之除錫以外金屬的個別濃度。
100‧‧‧圖案化結構
102‧‧‧基板
103‧‧‧薄膜
104‧‧‧圖案化塗料
110‧‧‧受照射塗料之區域/受照射區域/縮合區域
112‧‧‧受照射塗料之區域/受照射區域/縮合區域
114‧‧‧受照射塗料之區域/受照射區域/縮合區域
116‧‧‧受照射塗料之區域/受照射區域/縮合區域
118‧‧‧未受照射塗料之未縮合區域
120‧‧‧未受照射塗料之未縮合區域
122‧‧‧未受照射塗料之未縮合區域
130‧‧‧圖案化結構
132‧‧‧開口
134‧‧‧開口
135‧‧‧開口
140‧‧‧圖案化結構
142‧‧‧開口
144‧‧‧開口
146‧‧‧開口
148‧‧‧開口
150‧‧‧蝕刻結構
152‧‧‧特徵
154‧‧‧特徵
156‧‧‧特徵
160‧‧‧圖案
162‧‧‧基板
164‧‧‧加熱步驟
166‧‧‧顯影步驟
168‧‧‧雙重圖案化結構
170‧‧‧蝕刻步驟
172‧‧‧雙重圖案化結構
圖1為含有潛像之經輻射圖案化的結構之示意性透視圖。
圖2為圖1結構之側視平面圖。
圖3為在使潛像顯影來移除未受照射塗料以形成圖案化結構之後圖1結構之示意性透視圖。
圖4為圖3之圖案化結構的側視圖。
圖5為在使潛像顯影來移除受照射塗料以形成圖案化結構之後圖1結構之示意性透視圖。
圖6為圖5之圖案化結構的側視圖。
圖7為底層蝕刻後圖3及圖4之圖案化結構的側視平面圖。
圖8為蝕刻以移除經圖案化、經縮合之塗料後圖7結構之側視平面圖。
圖9為「熱冷凍」雙重圖案化方法流程之側視平面圖。圖1-圖3中所示之方法在使得第一層不溶於第二層之烘烤後重複進行。
圖10為熱解重量分析中重量損失隨溫度變化之圖。
圖11為與圖10之熱解重量分析組合進行的質譜分析隨樣本溫度變化之圖。
圖12為顯示自動態光散射分析所獲得之粒度分佈的直方圖。
圖13為來自用於獲得粒度分佈,諸如圖12之粒度分佈的動態光散射量測之代表性時間相關函數之圖。
圖14為如實例3中所述化合物1之溶液的代表性119Sn NMR光譜圖。
圖15為如實例3中所述化合物1之溶液的代表性1H NMR光譜圖。
圖16為關於如實例3中所述之化合物1的強度隨電噴質譜分析實驗之質荷比(mass-to-charge ratio)變化之圖。
圖17為關於具有不同烷基配位體(正丁基、異丙基及第三丁基)之三種不同塗層組合物的對比度隨膠凝劑量變化之圖。
圖18為以34nm間距使用17nm線之13.5nm波長EUV輻射圖案曝露後及顯影後,經第三丁基錫氧化物氫氧化物圖案化之矽晶圓的掃描電子顯微照片。
圖19為使用13.5nm波長EUV輻射在22nm接觸孔之明視野圖案中以44nm間距及+20%偏差曝露後及顯影後,經異丙基錫氧化物氫氧化物圖案化之矽晶圓的掃描電子顯微照片。
圖20為6種具有各種不同之異丙基錫氧化物氫氧化物及/或第三丁基錫氧化物氫氧化物組合的不同調配物使用具有34nm間距的17nm線之13.5nm波長EUV輻射圖案曝露後及顯影後圖案化之一系列SEM顯微照片。
圖21為標繪劑量規模(dose-to-size)隨用以獲得圖20中之顯微照片的調配物A-F之塗層組合物而變化之曲線圖。
圖22為如實例7中所述製備之i-PrSn(NMe2)31H NMR光譜圖。
圖23為如實例7中所述製備之i-PrSn(NMe2)3119Sn NMR光譜圖。
圖24為由實例7中之方法1所製備之異丙基錫氧化物氫氧化物之樣本的熱解重量分析中重量隨溫度變化之曲線圖。
圖25為與圖24之熱解重量分析結合進行之質譜分析。
圖26為由實例7中之方法2所製備之異丙基錫氧化物氫氧化物之樣本的熱解重量分析中重量隨溫度變化之圖。
圖27為曝露於60mJ cm-2之成像劑量的EUV輻射後,經使用實例7之方法1所合成之異丙基錫氧化物氫氧化物圖案化之矽晶圓的SEM顯微照片,所得14.5nm抗蝕劑線以34nm間距圖案化且具有2.9nm之LWR。
圖28為使用實例10之方法所形成之異丙基錫氧化物氫氧化物之樣本的熱解重量分析中重量隨溫度變化之圖。
圖29為與圖28之熱解重量分析結合進行之質譜分析。
圖30為藉由實例11之方法所合成之第三戊基Sn(C≡CPh)31H NMR光譜。
圖31為藉由實例11之方法所合成之第三戊基Sn(C≡CPh)3119Sn NMR光譜。
圖32為如實例11中所述合成之第三戊基錫氧化物氫氧化物的119Sn NMR光譜。
圖33為如實例11中所述合成之第三戊基錫氧化物氫氧化物的1H NMR光譜。
圖34為異丙基錫氧化物氫氧化物(右側影像)或第三丁基錫氧化物氫氧化物(左側影像)曝露於30-kEV電子束且以32nm(頂部)及28nm(底部)之間距顯影的矽晶圓的一組SEM顯微照片。
圖35為曝露於EUV輻射且顯影為具有100nm(a)間距及60nm(b)間距之正型色調影像後經異丙基錫氧化物氫氧化物圖案化之矽晶圓的一組兩個SEM顯微照片。
已發現,含鍵結於烷基之鍵,尤其分支鏈烷基(包括環狀配位體)之有機錫化合物可用作經改良之輻射圖案化的形成前驅膜之化合物。可用所需劑量之輻射使化合物形成之膜圖案化以達成極高解析度圖案。形成塗層後,有機錫化合物之配位體結構提供良好前驅體溶液穩定性及良好輻射敏感度。儘管已發現含分支鏈烷基配位體之烷基錫化合物以較低輻射劑量尤其提供經改良之圖案化,但使用烷基配位體之混合物經由改造促進圖案化之所得塗層的若干特徵以提供進一步潛在改良。用有機金屬前驅體溶液所形成之塗層的所需特徵提供大輻射吸 收及用於形成經圖案化之金屬氧化物塗層之優良的直接圖案化。包含有機金屬塗層組合物之具有不同於例如錫之金屬或金屬組合的低金屬污染之前驅體溶液提供適用於塗覆之塗層形成,其中金屬污染可能不適合相關聯之材料及裝置。將對用於形成低污染物前驅體溶液之合適處理技術加以描述。可使用合適技術塗佈前驅體溶液。可進行輻射圖案化及潛像顯影以達成具有高程度之解析度及低線寬粗糙度及極小圖案特徵的影像。
曝露於輻射改變受照射有機金屬塗料之組成,其破壞藉由烷基配位體所界定之結構且准許與來自任何來源之濕氣,諸如環境濕氣進一步縮合且反應。基於此等化學變化,溶解速率可經選擇合適的顯影劑組合物實質上在膜之輻射及未受輻射部分之間變化,在一些實施例中用相同塗層促進負型色調圖案化或正型色調圖案化。在負型圖案化中,曝露於輻射及潛在後續縮合將受輻射塗料轉化為相對於未受輻射塗料更抗基於有機溶劑之顯影劑組合物移除的材料。在正型圖案化中,曝露足以改變曝露塗料之極性,例如提高極性,使得可用水性溶劑或其他足夠極性之溶劑選擇性地移除曝露塗料。選擇性移除塗料之至少一部分可留下圖案,其中已移除塗佈區域以使底層基板曝露。照射後使塗層顯影之後,圖案化氧化物材料可用於以極佳圖案解析度促進裝置形成之加工。塗料可設計為對所選輻射,諸如遠紫外光、紫外光及/或電子束敏感。此外,前驅體溶液可調配為穩定的而對商業配送具有合適存放期。
除有機配位體以外,金屬離子一般亦進一步鍵結於一或多種側氧基配位體,亦即M-O及/或羥基配位體,亦即M-O-H。藉由在縮合過程中提供顯著控製得到具有所得顯著加工、圖案化及塗層優點之金屬氧化物,烷基配位體及側氧基/羥基配位體為前驅體溶液及相對應之塗層提供所需特徵。在塗佈溶液中使用有機溶劑支持溶液之穩定 性,同時對於歸因於曝露區相對於未曝露區之溶解性方面的變化而正型色調圖案化及負型色調圖案化,在以極佳顯影速率對比度形成潛影後,基於非水溶液之加工維持使所得塗層選擇性地顯影之能力。具有經溶解經烷基穩定之金屬離子的所需前驅體溶液提供基於適宜溶液之沈積以形成可具有關於抗蝕刻性之高輻射敏感度及極佳對比度的塗層以使得形成精細結構。前驅體組合物之設計可提供形成塗層組合物,該塗層組合物對特定輻射類型及/或能量/波長高度敏感。
咸信前驅體有機金屬組合物之配位體結構提供所觀測之前驅體溶液的所需穩定性以及輻射圖案化功能。特定言之,咸信吸收輻射可提供金屬與有機配位體之間的鍵之破壞以使組合物在經塗佈之材料的受照射及未受照射部分處產生差異。可藉由在顯影之前、顯影之後或顯影前後適當加工曝露膜進一步放大此差異。因此,形成經改良之前驅體溶液的組成變化亦提供經改良之影像顯影。特定言之,受照射塗料可產生對顯影劑具有可調反應之穩定的無機金屬氧化物材料。
經由恰當選擇顯影劑,正型或負型色調影像可得以顯影。在一些實施例中,適合之顯影劑包括例如2.38% TMAH,亦即半導體工業標準。在無圖案缺失之情況下,可在顯影期間由將塗料自顯影後意欲保留塗料之區域移除而使得塗層變薄。相比於習知有機抗蝕劑,本文所述之材料對商業上相關功能層之諸多蝕刻化學反應具有極高抗性。此經由回避中間犧牲無機圖案轉移層而使得加工簡化,否則的話該等層將根據遮罩功能用於補充經圖案化之有機抗蝕劑。此外,塗料可提供適宜的雙重圖案化。具體言之,熱處理後,塗料之圖案化部分在與包括其他前驅體溶液之諸多組合物接觸方面為穩定的。因此,可進行多次圖案化而無需移除此前經沈積之硬遮罩或抗蝕劑塗料。
前驅體溶液包含多核金屬側氧基/羥基陽離子及烷基配位體。可經由用鹵化物配位體、醯胺基配位體或醯炔基(alkynido)配位體水解 相對應之化合物來引入側氧基/羥基配位體。金屬側氧基/羥基陽離子,亦描述為金屬次氧化物陽離子,為具有一或多個金屬原子及共價鍵結氧原子之多原子陽離子。具有以過氧化物為主配位體之金屬次氧化物陽離子描述於Stowers等人之名為「經圖案化無機層、基於輻射之圖案化組合物及相對應的方法」的美國專利8,415,000('000專利)中,其以引用的方式併人本文中。金屬次氧化物或金屬氫氧化物之水溶液可傾向於對膠凝及/或沈澱物不穩定。特定言之,溶液在溶劑移除後為不穩定的且可形成具有金屬陽離子之側氧基氫氧化物網狀物。將諸如過氧化物之輻射敏感配位體併入此類溶液中可改良穩定性,但可能保持與網狀物形成相關聯之背景不穩定性。任何不受控制之網狀物形成藉由提供與照射無關之顯影速率確定之路徑,有效降低經塗佈之材料的輻射敏感度及/或顯影速率對比度。已發現使用烷基配位體作為輻射敏感配位體提供經改良之前驅體溶液穩定性,同時提供大輻射吸收量及極佳對比度用於形成極精細之結構。
如本文所述,已發現使用分支鏈烷基配位體,諸如第三丁基或異丙基展現相對於非分支鏈烷基配位體的經改良之圖案化效能。儘管已發現使用分支鏈烷基提供令人想要的圖案化效能,但在一些實施例中,可調配具有鍵結於分支鏈及/或非分支鏈烷基之Sn-C鍵,尤其具有至少一個分支鏈烷基的烷基錫化合物之適合混合物以進一步改良奈米微影圖案化效能。咸信藉由烷基配位體結構之混合物得到之額外靈活性使得可選擇不大可能在單個配位體結構內獲得之多種組合物特性:例如穩定性、溶解性、輻射敏感度、大小等。因此前驅體組合物中之具有不同烷基配位體之混合金屬離子的調配物可為一系列經改良之效能參數提供基礎,包括後續實例中所說明之所需圖案化劑量及線寬粗糙度值。
抗輻射塗層之有機金屬化合物之用途一般描述於Meyers等人之 名為「以有機金屬溶液為主之高分辨率圖案化組合物」之公開的美國專利申請案2015/0056542(「'542申請案」)中,其以引用的方式併入本文中。'542申請案舉例說明用於形成輻射敏感性圖案化層之正丁基SnOOH(n-butylSnOOH)及二乙烯基Sn(OH)2(di-vinylSn(OH)2)組合物,且描述烷基配位體之合意性,涉及含錫、銦、銻或其組合之化合物。此等一般組合物與本文所述之合適實施例相關。已發現,分支鏈烷基配位體,諸如連接錫及在錫連接之碳處分支(α-碳分支)之第三丁基、異丙基或第三戊基(1,1-二甲基丙基)可有效用作輻射圖案化抗蝕劑,該等抗蝕劑具有比含有非分支配位體之彼等抗蝕劑低的輻射劑量。類似地,在α-碳處分支之其他烷基及環烷基配位體,包括2-丁基、環己基、環戊基、環丁基、環丙基、1-金剛烷基(1-adamantyl)及2-金剛烷基,以及如本文中所述具有烷基配位體之化合物之混合物涵蓋在內且在本發明之範疇內。換言之,具有分支鏈有機配位體之抗蝕劑含有經由第二或第三碳原子鍵結於Sn原子之烷基或環烷基配位體RSnO(3/2-x/2)(OH)x(0<x<3),其中R為具有3至31個碳原子之第二或第三烷基或環烷基。或者,此組合物可表示為R1R2R3CSnO(3/2-x/2)(OH)x(0<x<3),其中R1及R2獨立地為具有1-10個碳原子之烷基,R3為氫或具有1-10個碳原子之烷基,其中R1、R2可形成環碳鏈以及R3亦視情況位於環碳結構中,其中若為環結構,則碳原子之範圍具有加合性。一般熟習此項技術者應認識到R1、R2及R3之次序基本上為任意的,使得不同化合物中基團之比較可考慮任意重新排序且並不改變化合物或化合物之相關比較。在同一概念中,化合物不會經由將H任意指定為R1或R2而非R3而回避此式之範疇,因為該式指示單個H與R3相關。儘管不希望受理論限制,但咸信此等分支鏈烷基配位體之結構在曝露期間促進Sn-C鍵斷裂,因此提高抗輻射之敏感度。此促進可歸因於第二及第三烷基或碳陽離子中間體相對於相關第一烷基部分之增加的穩定性。儘 管未在Sn-C輻射分解研究中直接記錄,相似特性在表列C-H鍵解離能中顯而易見。因此,本文所述之經改良之組合物經由較低輻射加工以達成具有低線寬粗糙度之高解析度圖案而提供顯著商業優點。如上文所引用之'000專利中所述,相對於用具有以過氧化物為主之配位體的基於金屬氧化物之光阻劑所達成之相似優良解析度及低線寬粗糙度,可因此以用於加工改良之較低輻射劑量來達成具有低線寬粗糙度之高解析度圖案。
相對於具有以過氧化物為主之配位體的無機抗蝕劑材料,新穎前驅體溶液已經調配而具有經改良之穩定性且控制網狀物形成及沈澱。表徵配位體在此情況下為輻射敏感的係指吸收輻射後金屬配位體鍵之不穩定性,使得可使用輻射來引起材料中之化學變化。特定言之,烷基配位體使前驅體溶液穩定,同時亦提供對整個材料之加工的控制,且可調整選擇烷基配位體與金屬離子之比以控制溶液及所得塗層之特性。
包含具有不同烷基配位體之混合物的前驅體組合物可包含具有不同有機配位體之兩種烷基錫化合物、具有不同有機配位體之三種烷基錫化合物、或具有不同烷基配位體之大於三種烷基錫化合物的混合物。一般而言,對於二元或三元混合物,混合物包含至少約8莫耳百分數之具有不同烷基配位體之各組分,在一些實施例中,至少約12莫耳百分數且在其他實施例中,至少約25莫耳百分數之具有不同烷基配位體之各組分。一般熟習此項技術者應認識到上述明確範圍內之混合物組分之其他範圍係涵蓋在內且其在本發明內。
在不存在曝露之情況下,烷基配位體,尤其分支鏈烷基配位體關於縮合使金屬陽離子穩定。特定言之,在以烷基為主的配位體之合適濃度下,若縮合金屬氧化物或金屬氫氧化物之非預期形成及相關聚結完全在室溫下自發進行,則其為極其緩慢的。基於發現此穩定特 性,可用具有良好存放穩定性之高濃度輻射敏感性配位體形成溶液,同時保持適宜加工以形成塗層。來自吸收之輻射之能量可破壞金屬-烷基配位體鍵。當此等鍵受到破壞時,關於縮合之相對應的穩定化降低或消失,且可產生可能呈瞬態中間體之具有不飽和價態之反應性金屬中心,儘管吾入並不欲受理論限制。組合物可經由與大氣或單獨供應之H2O反應,形成M--OH或經由縮合形成M--O--M鍵而進一步變化,其中M表示金屬原子。因此,可用輻射控制化學變化。具有高輻射敏感性配位體濃度之組合物在避免非預期自發性水解、縮合及聚結方面可為高度穩定的。
就金屬離子之側氧基/羥基配位體而言,此等配位體可在加工期間經由水解形成。在一些實施例中,水解可涉及置換鹼性水溶液中之鹵化物配位體或置換水中之醯胺基配位體(-NR1R2),隨後收集沈澱水解產物及/或轉移至有機溶劑中。在額外或替代性實施例中,可水解配位體可由羥基配位體置換,該羥基配位體衍生自塗佈及烘烤期間大氣濕氣與前驅體反應。如本文所述,低金屬污染合成方法可藉由合適的替代性水解方法及高純度烷錫前驅體完成。三種該等方法描述於實例中:利用水反應性烷錫化合物且自環境氛圍獲得用於水解之水,或在有機溶劑中添加控制量之純化水以實現水解,或共同使用不含金屬陽離子之鹼及烷錫鹵化物。視方法及合成考慮因素,諸如反應性、易於合成性、毒性及其他因素而定,在其他實施例中可使用對藉由酸或鹼的水溶液或非水溶液之水解敏感的一或多種替代性配位體。一般而言,適合之可水解配位體(RSnX3中之X)可包括炔化物(alkynide)RC≡C、烷氧化物RO-、疊氮化物N3 -、羧酸酯RCOO-、鹵化物及二烷基醯胺。
經由採用特定合成程序,前驅體烷基錫氧化物氫氧化物可經調配具有極低金屬污染。特定言之,非錫金屬按重量計一般可降低至不 超過百萬分之一(ppm),且鹼金屬及鹼土金屬按重量計可降低至不超過約十億分之一百(ppb)。可相對應形成化合物之溶液。可製得對底層基板、鄰近層、裝置及加工工具提供低金屬污染風險的所得塗層。低金屬污染可提供用於塗覆用之抗蝕劑組合物的效用,其中某些金屬污染為不合需要的,例如鹼金屬污染。
使得低金屬污染性前驅體形成之加工方法避免使用諸如鹼(例如NaOH)之反應物,其將污染性金屬引入組合物中。可提供低金屬污染之替代性鹼包括例如氫氧化四甲銨(tetramethyl ammonium hydroxide)及其他氫氧化四級銨(quaternary ammonium hydroxide)。此外,水可直接用於有機溶劑中之水解,其中水自大氣提供或以控制量添加。假設半導體裝置製造中指定極低痕量金屬含量(一般為抗蝕劑組合物之<10ppb),若即便引入最少量污染性金屬,尚未識別出技術來將污染性金屬自經調配之烷基錫氧化物氫氧化物抗蝕劑充分移除。因此,用側氧基-羥基配位體經由替代性水解反應置換適當可水解配位體,例如鹵化物或醯胺,該等水解反應並未增加非錫金屬之實質性濃度。可經由合適方法,諸如沈澱、洗滌及再結晶及/或在適合溶劑中再溶解來純化水解產物以移除反應副產物。
一般而言,前驅體塗佈溶液可包含充足輻射敏感性烷基配位體,以使得溶液具有約0.1至約2之輻射敏感性配位體與金屬陽離子之莫耳濃度比。此範圍中之配位體比率可藉由水解呈合適化學計量之SnX4、RSnX3或R2SnX2前驅體(受制於前驅體穩定性及溶解性)來製備。由前驅體溶液所形成之塗層受前驅體溶液中之離子的配位體結構影響,且可為乾燥後金屬周圍之等效配位體結構,或配位體結構可在塗佈及/或乾燥過程期間發生改變。塗層一般亦藉由曝露於實現圖案化功能之輻射而受到影響。一般而言,塗層可由式(R)zSnO2-z/2-x/2(OH)x(0<(x+z)<4)表示,其中R為具有3-31個碳原子之烷基或環烷 基,其中烷基或環烷基在第二或第三碳原子處鍵結於錫。對於未受照射之塗層,z值可與塗佈溶液值相同或接近,而受照射塗層一般具有較低z值,其可藉由諸如在圖案化後進一步加熱及/或照射趨近為0。特定言之,烷基配位體濃度在前驅體穩定性方面提供出人意料的較大改良,且用有機溶劑,一般極性有機溶劑所形成之溶液控制網狀形成物。儘管不希望受理論限制,合適範圍中之輻射敏感性、低極性配位體濃度明顯降低含相對應的側氧基配位體及/或羥基配位體之金屬陽離子之非預期縮合作用及聚結而使溶液穩定。因此,前驅體溶液可相對於固體沈降為穩定的,無需進一步攪拌至少一週且可能顯著更長時間段,諸如大於一個月。歸因於長久穩定時間,烷基錫氧化物氫氧化物前驅體具有關於潛在商業用途的提高之變通性。可選擇總莫耳濃度以達成所需塗層厚度及所需塗層特性,獲得之特性與所需穩定性程度一致。
可選擇含烷基配位體之多原子金屬側氧基/羥基陽離子以達成所需輻射吸收。特定言之,基於錫之塗料展現對193nm波長之遠紫外光及13.5nm波長之極紫外光的良好吸收性。表1列舉針對由水合單丁基氧化錫(monobutyltin oxide hydrate)所形成且在100℃下烘烤之塗料在所選波長下的光學常數(n=折射率且k=消光係數)。
Figure 104134973-A0202-12-0014-1
為相對應地提供一般用於圖案化之高輻射吸收性,期望在前驅體溶液中包括Sn、In及Sb金屬,但此等金屬可與其他金屬組合以調整 特性,尤其輻射吸收性。Hf提供對電子束材料及極UV輻射之良好吸收性,且In及Sb提供對13.5nm之極紫外光之強吸收性。舉例而言,可將包含Ti、V、Mo或W或其組合之一或多種金屬組合物添加至前驅體溶液以形成具有移動至較長波長之吸收邊緣的塗料,以提供例如對於248nm波長紫外光之敏感性。此等其他金屬離子可能或可能不涉及烷基配位體,且用於本文所述之前驅體組合物中之不含烷基配位體之金屬離子的適合之鹽可包括例如有機或無機鹽、醯胺、烷氧化物、或可溶於塗佈前驅體溶液之溶劑中的類似者。對於金屬污染物之測定,所明確特定添加之功能性金屬並不視為污染物,且此等金屬一般可以前驅體溶液中按重量計大於100ppm的存在量識別,且可針對具體應用對該等金屬進行選擇以避免不希望之污染。
一般而言,所需水解產物可溶解於例如醇、酯或其組合之有機溶劑中以形成前驅體溶液。可選擇塗佈溶液中的物質之濃度以達成溶液之所需物理特性。特定言之,較低總濃度可產生針對諸如旋塗之某些塗佈方法的溶液所需特性,該等塗佈方法可使用合理塗佈參數達成更薄塗層。使用更薄塗層以達成超細圖案化且降低材料成本可為合乎需要的。一般而言,可選擇濃度以適合於所選擇之塗佈方法。塗層特性進一步描述於下文。
一般可用如下文進一步描述之任何合理的塗佈或印刷技術來沈積前驅體溶液。塗層一般經過乾燥,且可在照射之前施加熱量以使塗層穩定或部分縮合。一般而言,塗層為薄的,諸如具有小於10微米之平均厚度,且例如不超過約100奈米(nm)之極薄的次微米級塗層可合乎使極小特徵圖案化的要求。為形成高解析度圖案,可使用輻射敏感性有機組合物引入圖案,且組合物可稱為抗蝕劑,因為組合物之部分經加工而對顯影/蝕刻具有抗性以使得可使用選擇性材料移除來引入所選擇之圖案。可用所選擇之圖案或圖案之負片使乾燥塗層經受適合 之輻射,例如遠紫外光、電子束或紫外光,以形成具有抗顯影劑區域及可溶於顯影劑之區域的潛像。曝露於適合之輻射之後,且在顯影之前,可加熱塗層或以其他方式反應以將潛像與未受照射區進一步區分出來。使潛像與顯影劑接觸以形成物理影像,亦即經圖案化之塗層。可進一步加熱經圖案化之塗層以使表面上經圖案化之其餘塗層穩定。經圖案化之塗層可用作物理遮罩以進行進一步加工,例如根據圖案蝕刻基板及/或沈積額外材料。在按需要使用經圖案化之抗蝕劑之後的加工之適合點處,可移除殘餘經圖案化之塗層,但可將經圖案化之塗層併入至最終結構中。使用本文所述之圖案化組合物可有效實現極其精細之特徵。
在一些實施例中,在無機金屬氧化物材料內經由至少一定縮合作用進行適當穩定化後,可將所得經圖案化之材料作為最終裝置之組件併入結構中。若經圖案化之無機塗料例如作為穩定介電層併入結構中,則可經由用輻射將材料直接圖案化而去除加工程序之諸多步驟。一般而言,已發現,可使用用短波長電磁輻射及/或電子束曝露之薄無機塗料來形成極高解析度結構,且線寬粗糙度可降低至用於形成經改良之圖案化結構的極低水準。
具有更大穩定性之改進前驅體溶液亦提供在基板之輻射曝露部分及未曝露部分之間可能具有更大顯影速率對比度的塗料,其出人意料地可用正型色調圖案化或負型色調圖案化同時達成。具體言之,受照射之塗料或未受照射之塗料可相對更容易由適合之顯影劑組合物溶解。因此,伴隨經改良之組合物及相對應之材料,可經由顯影劑之選擇達成正型或負型色調影像。同時,可經適當隔離使得鄰近元件之間的間距極小,一般鄰近元件之間的電隔離。受照射之塗層組合物可對後續顯影/蝕刻過程極其敏感,使得可將塗層組合物製成極薄的而不損害關於選擇性及乾淨移除塗層組合物之顯影過程之功效,同時將受 照射之圖案化組合物之適合部分保留於基板表面上。進一步縮短曝露於顯影劑之時間的能力與使用薄塗層而不損壞塗層之圖案化部分一致。
積體電子裝置及類似者之形成一般涉及材料之圖案化以形成結構內之獨立元件或組件。此圖案化可涉及不同組合物,該等組合物覆蓋彼此垂直及/或水平接合的堆疊層之所選擇之部分以引起所需功能性。各種材料可包含可具有所選擇之摻雜劑的半導體、介電質、電導體及/或其他類型之材料。本文所述之輻射敏感性有機金屬組合物可用於在裝置內直接形成所需無機材料結構及/或用作替代有機抗蝕劑之輻射可圖案化無機抗蝕劑。在任一情況下,可利用顯著加工改良,且亦可改良經圖案化之材料的結構。
前驅體溶液
用於形成抗蝕劑塗層之前驅體溶液在溶劑,一般有機溶劑中一般包含具有適合之烷基穩定化配位體之錫陽離子。前驅體溶液及最終抗蝕劑塗層係基於金屬氧化物化學,且含烷基配位體之金屬聚陽離子的有機溶液提供具有良好抗蝕劑特性之穩定溶液。分支鏈烷基配位體尤其提供經改良之圖案化能力。配位體提供輻射敏感性,且配位體之特定選擇可影響輻射敏感性。此外,可基於金屬陽離子以及相關配位體之選擇設計前驅體溶液以達成針對所選擇之輻射之輻射吸收的所需程度。可選擇溶液中經配位體穩定之金屬陽離子的濃度以提供針對諸如旋塗之特定沈積方法的適合溶液特性。調配前驅體溶液來達成極高水準之穩定性以使得前驅體溶液具有針對商業產品之合適儲存壽命。如以下部分中所述,前驅體溶液可塗覆至基板表面,乾燥且進一步經加工以形成有效輻射抗蝕劑。設計前驅體溶液以在至少部分移除溶劑後形成塗層組合物,且最終在照射及/或熱處理,曝露於電漿,或類似加工後形成藉由錫氧化物佔優勢之無機固體。
前驅體溶液一般包含一或多種錫陽離子。在水溶液中,金屬陽離子歸因於與水分子相互作用而水合,且可發生水解以將氧原子鍵結於金屬離子來形成氫氧化物配位體或側氧基鍵,相應釋放氫離子。相互作用之性質一般為pH依賴性的。當在水溶液中發生額外水解時,溶液可關於金屬氧化物之沈澱或關於膠凝而變得不穩定。最終,期望形成氧化物材料,但此進程可較佳用基於含有經烷基配位體穩定之金屬陽離子的有機溶劑之前驅體溶液控制。若溶劑置放於具有水蒸氣之大氣之上,則其可包含一定溶解水,該溶解水與接觸溶劑之水的分壓處於平衡,且實例說明使用溶解水來實現對可水解配位體之控制水解。伴隨基於烷基穩定化配位體及有機溶劑之前驅體溶液,可控制氧化進程作為用於將溶液首先加工成塗料且隨後加工成含有機配位體之最終金屬氧化物組合物的程序之部分。如本文所述,烷基配位體,尤其分支鏈烷基配位體及/或烷基配位體之組合,可用於提供對溶液加工成有效輻射抗蝕劑組合物的顯著控制。
一般而言,前驅體化合物可由式RSnO(3/2-x/2)(OH)x(0<x<3)表示,其中R為直鏈或分支鏈(亦即在金屬鍵結碳原子處為第二或第三)烷基。R一般具有1至31個碳原子,其中分支鏈實施例具有3至31個碳原子。特定言之,分支鏈烷基配位體在化合物可在另一表達式中由R1R2R3CSnO(3/2-x/2)(OH)x(0<x<3)表示的情況下為所需的,其中R1及R2獨立地為具有1-10個碳原子之烷基,且R3為氫或具有1-10個碳原子之烷基。在一些實施例中,R1及R2可形成環烷基部分,且R3亦可連接環狀部分中之其他基團。前驅體溶液亦可包含具有不同烷基配位體之組合物的摻合物。例示性分支鏈烷基配位體包括異丙基(R1及R2為甲基且R3為氫)、第三丁基(R1、R2及R3為甲基)、第二丁基(R1為甲基,R2為-CHCH3,且R3為氫)及第三戊基(R1及R2為甲基且R3為-CHCH3)。環烷基配位體之初步實驗已顯示有希望之結果。適合之環基之實例包 括例如1-金剛烷基(-C(CH2)3(CH)3(CH2)3或在第三碳原子處鍵結於金屬之三環(3.3.1.13,7)癸烷)及2-金剛烷基(-CH(CH)2(CH2)4(CH)2(CH2)或在第二碳原子處鍵結於金屬之三環(3.3.1.13,7)癸烷)。因此,金屬陽離子之溶液準備就緒用於進一步加工。特定言之,將多核錫側氧基/羥基陽離子用作前驅體溶液之附加組分可為合乎需要的,其可準備將溶液進一步形成錫氧化物組合物。一般而言,前驅體溶液包含約0.01M至約1.4M金屬多核側氧基/羥基陽離子,在其他實施例中,約0.05M至約1.2M,且在額外實施例中約0.1M至約1.0M。一般熟習此項技術者應認識到上述明確範圍內之錫多核側氧基/羥基陽離子之其他範圍係涵蓋在內且其在本發明內。
包含具有不同有機配位體之混合物的前驅體組合物可包含具有不同烷基配位體之兩種烷基錫化合物、具有不同烷基配位體之三種烷基錫化合物、或具有不同烷基配位體之大於三種烷基錫化合物的混合物。一般而言,對於二元或三元混合物,混合物包含至少約8莫耳百分數之具有不同烷基配位體之各組分,在一些實施例中,至少約12莫耳百分數且在其他實施例中,至少約25莫耳百分數之具有不同烷基配位體之各組分。一般熟習此項技術者應認識到上述明確範圍內之混合物組分之其他範圍係涵蓋在內且其在本發明內。
金屬一般顯著影響輻射之吸收。錫提供對13.5nm之極紫外光之強吸收。結合烷基配位體,陽離子亦提供對193nm波長之紫外光的良好吸收。錫亦提供對電子束輻射之良好吸收。所吸收之能量藉由金屬-有機物相互作用調變,其可產生金屬-配位體之破裂及對材料特性之所需控制。
烷基配位體關於水解產物之非預期自發縮合及聚結使組合物穩定。特定言之,在烷基配位體之高相對濃度下,若縮合作用完全在室溫下自發進行,則縮合金屬氧化物或金屬氫氧化物之形成為極其緩慢 的。基於發現此穩定特性,可用具有良好存放穩定性之高濃度輻射敏感性配位體形成水解產物溶液,同時保持適宜加工以形成塗層。輻射敏感性配位體包括形成錫-碳鍵之烷基部分。來自吸收輻射之能量可破壞錫-烷基配位體鍵。當此等鍵受到破壞時,關於縮合之相對應的穩定化降低或消失。組合物可經由形成M--OH或經由縮合形成M--O--M鍵而變化,其中M表示金屬原子。因此,可用輻射控制化學變化。具有高輻射敏感性配位體濃度之組合物在避免自發形成氫氧化物及縮合方面可為高度穩定的。
具有所需配位體結構之一些適合之金屬組合物可購自商業來源,諸如Alfa Aesar(MA,USA)及TCI America(OR,USA),參見以下實例,且其他金屬配位體組合物可如下所述進行合成。使用本文所述之方法合成低金屬污染性前驅體組合物。
一般而言,烷基配位體可例如為甲基、乙基、丙基、丁基及分支鏈烷基。適合之分支鏈烷基配位體可例如為異丙基、第三丁基、第三戊基、2-丁基、環己基、環戊基、環丁基、環丙基、1-金剛烷基或2-金剛烷基。使用分支鏈烷基配位體獲得經改良之圖案化結果。但配位體選擇之更全面優點經由使用混合烷基配位體達成,因為各別有利的圖案化特性,諸如藉由不同配位體所賦予之劑量及線寬粗糙度,可經由本文中之教示經由如所提供之實例中所示之多種烷基配位體之摻合獲得。
已發現,輻射固化劑量可基於相應獨立前驅體化合物之輻射劑量大致按線性比例調整用於具有不同烷基配位體之前驅體化合物的混合物。歸因於可與分支鏈烷基配位體一起使用之較低輻射劑量,混合物一般需要包含至少一個分支鏈有機配位體。但相對應地,已發現可用具有不同有機配位體之前驅體化合物的混合物改良線寬粗糙度。儘管不希望受理論限制,但在未顯著降低圖案中之對比度之情況下,混 合物組合物之所觀測到的經改良之線寬粗糙度值可有可能歸因於混合物組合物的經促進之蝕刻。在此情形下,可將觀察結果擴展至含有帶有分支鏈或非分支鏈烷基之有機錫化合物之組合的混合物組合物。
如本文所述,已研發出提供減少金屬污染之加工方法。因此,可調配之前驅體溶液具有極低含量之非錫金屬。一般而言,非錫金屬濃度可均獨立地降低至按重量計不超過約百萬分之一(ppm),在其他實施例中,按重量計不超過約十億分之兩百(ppb),在額外實施例中不超過50ppb,且在其他實施例中不超過約10ppb的值。在一些實施例中,適當時,可能需要添加其他金屬元素來影響加工,且一般而言,可藉由至少約1重量%且在一些實施例中至少約2重量%之含量識別此等金屬元素,且可因此區別於污染金屬。待降低之金屬污染物尤其包括鹼金屬及鹼土金屬、Au、Ag、Cu、Fe、Pd、Pt、Co、Mn及Ni。一般熟習此項技術者應認識到上述明確含量內之金屬含量之其他範圍係涵蓋在內且其在本發明內。
形成有機錫氧化物氫氧化物組合物之加工此前已涉及使用反應物,該等反應物引入大量非錫金屬污染物,諸如來自氫氧化鈉鹼之鈉。本文所述之替代性合成方法可用於製備具有直鏈或分支鏈烷基配位體之水解產物,包括待市售之未知化合物以及相對應的可具有金屬污染物之市售化合物。尚未發現將鈉移除至充分低之量的方法,因此研發出替代性合成技術。因此,研發出替代性方法使得金屬污染顯著減少。特定言之,可使用無需添加鹼而形成有機錫水解產物的高純度水反應性前驅體化合物。可在非水性溶劑中或用水性溶劑進行水解產物合成,其中產物化合物立即沈澱。在一些實施例中,可引入剛好充足量之水來水解可水解配位體以形成所需烷基錫氧化物氫氧化物。
就金屬離子之側氧基/羥基配位體而言,此等配位體可在加工期間經由水解形成。在一些實施例中,水解可涉及置換可水解配位體以 形成側氧基(O)及/或羥基(-OH)配位體。舉例而言,可在鹼性水溶液中水解鹵化物配位體,隨後轉移至有機溶劑中。然而,對於產生具有低金屬污染之前驅體組合物而言,已發現需要使用替代性反應進行水解。特定實例呈現於下文中。
在一些實施例中,將包含具有有機穩定化配位體及可水解配位體之錫離子的組合物溶解於有機溶劑中,隨後使其與鹼性水溶液接觸,接著可用羥基配位體取代可水解配位體。在提供形成羥基配位體之充足時間之後,假定有機液體不可溶於水液中,則可將水溶液與有機相分離。在一些實施例中,可經由自大氣水水解形成側氧基/羥基配位體。可在大氣濕氣存在下加熱可水解金屬離子組合物,使得側氧基/羥基配位體直接形成於塗料中,歸因於高表面區域其可相對容易。自大氣水水解之實例亦描述於下文中。在額外或替代性實施例中,將實現水解之足量水溶解於有機溶劑以及具有可水解配位體之前驅體化合物中。
為形成具有烷基配位體及可水解配位體之前驅體化合物,亦可在溶液相取代反應中形成M-C鍵。以下反應為針對形成Sn-C鍵之取代反應的代表性適合之反應:n RCl+Sn → RnSnCl4-n+殘留物
4 RMgBr+SnCl4 → R4Sn+4 MgBrCl
3 SnCl4+4R3Al → 3 R4Sn+4 AlCl3
R4Sn+SnCl4 → 2 R2SnCl2
其中R表示烷基配位體。一般而言,不同適合之鹵化物可在上述反應中被取代。反應可在適合之有機溶劑中進行,其中反應物具有合理溶解性。
就用於形成低金屬污染性前驅體溶液之方法而言,選擇反應物來避免水解反應期間引入金屬污染物以自含可水解基團之烷基錫化合 物形成錫氧化物氫氧化物。實例中成功使用兩種一般方法。在一些實施例中,在有機溶劑中用前驅體化合物進行水解且引入實現水解之足量水。完成可水解配位體之水解的足量水可引自環境水蒸氣或注射於有機溶劑中且混合。或者,可在水中進行水解,其中以不引入金屬污染物之形式引入催化鹼。舉例而言,在實例中,使用市售氫氧化四甲銨(TMAH)水溶液,歸因於半導體工業中之使用其具有低金屬污染。可針對用於如實例中所述的水解反應之特定方法恰當選擇可水解配位體。
一般而言,所需水解產物化合物可溶解於有機溶劑中,例如醇、酯或其組合。特定言之,適合之溶劑包括例如,芳族化合物(例如,二甲苯、甲苯)、醚(苯甲醚、四氫呋喃)、酯(丙二醇單甲醚乙酸酯(propylene glycol monomethyl ether acetate)、乙酸乙酯、乳酸乙酯)、醇(例如,4-甲基-2-丙醇、1-丁醇、甲醇、異丙醇、1-丙醇)、酮(例如,甲基乙基酮)、其混合物及其類似者。一般而言,有機溶劑選擇可受到溶解性參數、揮發性、可燃性、毒性、黏度及與其他加工材料之可能化學相互作用的影響。溶液之組分溶解且合併之後,物質特性可由於部分水合作用及縮合作用發生變化,尤其在塗佈加工期間。當在本文中提及溶液之組合物時,該提及針對添加至溶液時之組分,因為複雜調配物可在溶液中產生可能無法很好表徵之金屬多核物質。對於某些應用,需要有機溶劑具有不低於約10℃之閃點,在其他實施例中不低於約20℃,且在其他實施例中不低於約25℃,及20℃下不超過約10kPa,在一些實施例中,不超過約8kPa,且在其他實施例中不超過約6kPa之蒸氣壓。一般熟習此項技術者應認識到上述明確範圍內之閃點及蒸氣壓之其他範圍涵蓋在內且其在本發明內。
一般而言,使用適用於形成材料體積之合適的混合設備充分混合前驅體溶液。適合之過濾可用於移除任何污染物或未恰當溶解之其 他組分。在一些實施例中,可能需要自組合形成獨立溶液,該等溶液可經合併形成前驅體溶液。具體言之,可形成包含以下各者中之一或多者的獨立溶液:金屬多核側氧基/羥基陽離子、任何其他金屬陽離子及有機配位體。若引入多種金屬陽離子,則可將多種金屬陽離子引入同一溶液及/或獨立溶液中。一般而言,可充分混合獨立溶液或經合併之溶液。在一些實施例中,隨後將金屬陽離子溶液與以有機物為主之配位體溶液混合,以使得以有機物為主之配位體可與金屬陽離子相共軛。所得溶液可稱為穩定金屬陽離子溶液。在一些實施例中,使得穩定金屬陽離子溶液反應適合之時間段以提供穩定配位體形成,其可能或亦可能不涉及在溶液中形成叢聚物,無論是否引入混合金屬離子。在一些實施例中,在其他加工之前,溶液之反應或穩定化時間可持續至少約5分鐘,在其他實施例中至少約1小時,且在其他實施例中約2小時至約48小時。一般熟習此項技術者應認識到穩定化時段之其他範圍涵蓋在內且其在本發明內。
可選擇前驅體溶液中的物質之濃度以達成溶液之所需物理特性。特定言之,較低總濃度可產生用於諸如旋塗之某些塗佈方法的溶液之所需特性,該等塗佈方法可使用合理塗佈參數達成更薄塗層。使用更薄塗層以達成超細圖案化且降低材料成本可為合乎需要的。一般而言,可選擇濃度以適合於所選擇之塗佈方法。塗層特性進一步描述於下文。
可在相對於初始溶液之變化方面評估前驅體溶液之穩定性。具體言之,若發生相分離伴隨產生大溶膠粒子,或若溶液失去其形成所需圖案之能力,則溶液失去穩定性。基於本文所述之經改良之穩定化方法,在不存在另外混合的情況下,溶液可穩定至少約一週,在其他實施例中至少約2週,在其他實施例中至少約4週。一般熟習此項技術者應認識到穩定化時間之其他範圍涵蓋在內且其在本發明內。溶液經 調配具有充足穩定化時間,可伴隨合適之儲存壽命而在商業上分配該等溶液。
塗料
在所選基板上經由前驅體溶液之沈積及後續加工形成塗料。基板一般呈現上面可沈積塗料之表面,且基板可包含多層,其中表面係關於最上層。在一些實施例中,可處理基板表面以製備用於黏著塗料之表面。此外,可適當時對表面進行清潔及/或平滑化。適合之基板表面可包含任何合理的材料。一些備受關注之基板包括例如矽晶圓、二氧化矽基板、諸如陶瓷材料之其他無機材料、聚合物基板,諸如遍及表面及/或基板層中之有機聚合物、其複合物及其組合。晶圓,諸如相對薄的圓柱形結構可為適宜的,但可使用任何合理的成形結構。聚合物基板或在非聚合物結構上含聚合物層之基板基於其低成本及可撓性對某些應用可為合乎需要的,且可基於可用於加工本文所述之可圖案化材料的相對較低加工溫度選擇適合之聚合物。適合之聚合物可包括例如聚碳酸酯、聚醯亞胺、聚酯、聚烯烴、其共聚物及其混合物。一般而言,基板需要具有平坦表面,尤其針對高解析度應用。然而,在具體實施例中,基板可具有實質性表面形貌,其中意欲使抗蝕劑塗層填充或平面化特定圖案化應用之特徵。抗蝕劑材料之此類功能描述於Bristol等人之名為「超高速微影成像之預圖案化硬式遮罩」之公開的美國專利申請案2015/0253667A1中,其以引用的方式併入本文中。
一般而言,可使用任何適合之塗佈法將前驅體溶液遞送至基板。適合之塗佈方法可包括例如旋塗、噴塗、浸塗、刀口塗佈、印刷方法,諸如噴墨印刷及網版印刷,及其類似者。此等塗佈方法中之一些在塗佈過程期間形成塗料之圖案,但當前可自印刷或其類似者獲得之解析度具有比如本文所述之可自基於輻射之圖案化獲得之解析度顯 著較低之水準。可將塗料以多個塗佈步驟施用以提供對塗佈過程之更佳控制。舉例而言,可進行多次旋塗以產生所需最終塗佈厚度。可在各塗佈步驟之後或在複數個塗佈步驟之後施用描述於下文之熱加工。
若使用輻射進行圖案化,則旋塗可為相對均勻覆蓋基板之合意方法,但可能存在邊緣效應。在一些實施例中,可以約500rpm至約10,000rpm之速率旋轉晶圓,在其他實施例中約1000rpm至約7500rpm,且在額外實施例中約2000rpm至約6000rpm。可調整旋轉速度以獲得所需塗佈厚度。旋塗可進行約5秒至約5分鐘之時間且在其他實施例中約15秒至約2分鐘。可使用初始低速度旋轉,例如以50rpm至250rpm旋轉初始將組合物整體塗敷遍及基板。可用水或其他適合之溶劑進行背面沖洗、邊緣珠粒移除步驟或其類似者以移除任何邊緣珠粒。一般熟習此項技術者應認識到,上述明確範圍內之旋塗參數之其他範圍涵蓋在內且其在本發明內。
塗佈厚度一般可為前驅體溶液濃度、黏度及旋塗之旋轉速度的函數。對於其他塗佈法,一般亦可經由選擇塗佈參數來調整厚度。在一些實施例中,使用薄塗層可為合乎需要的以促進在後續圖案化過程中形成較小及高度解析特徵。舉例而言,塗料在乾燥之後可具有不超過約10微米之平均厚度,在其他實施例中不超過約1微米,在其他實施例中不超過約250奈米(nm),在額外實施例中約1奈米(nm)至約50nm,在其他實施例中約2nm至約40nm,且在一些實施例中約3nm至約25nm。一般熟習此項技術者應認識到上述明確範圍內之厚度之其他範圍涵蓋在內且其在本發明內。可使用x射線反射及/或基於膜之光學特性的橢圓偏振測量法(ellipsometry)之非接觸方法來評估厚度。一般而言,塗層為相對均勻的以有助於加工。在一些實施例中,塗層厚度之變化以不超過平均塗層厚度之±50%而變化,在其他實施例中不超過±40%,且在額外實施例中,不超過相對於平均塗層厚度之約 ±25%。在一些實施例中,諸如較大基板上之高均勻性塗層,可以排除1公分邊緣評估塗層均勻性,亦即,並未評估邊緣之1公分內的塗層部分之塗層均勻性。一般熟習此項技術者應認識到上述明確範圍內之其他範圍涵蓋在內且其在本發明內。
塗佈法自身可引起溶劑之一部分蒸發,因為諸多塗佈法形成液滴或具有較大表面積的塗料之其他形式及/或刺激蒸發之溶液移動。當材料中之物質的濃度增加時,溶劑損失往往會增加塗料之黏度。塗佈過程期間之目標可為移除足量溶劑以使塗料穩定用於其他加工。此等物質可在塗佈或連續加熱期間縮合以形成水解產物塗料。一般而言,可在輻射曝露之前加熱塗料以進一步去除溶劑且促進塗料之緻密化。乾燥塗料可一般形成基於連接至金屬之側氧基-羥基配位體的聚合性金屬側氧基/羥基網狀物,其中金屬亦具有一些烷基配位體,或形成由含烷基配位體之多核金屬側氧基/羥基物質構成之分子固體。
溶劑移除過程可能會或可能不會在塗料中殘餘之溶劑之具體量方面得以定量控制,且一般可對所得塗料特性進行經驗評估以選擇對圖案化過程有效之加工條件。儘管成功應用方法並不需要加熱,但加熱塗佈基板以加速加工及/或提高方法之再現性可為合乎需要的。在施加熱以移除溶劑之實施例中,可將塗料加熱至約45℃至約250℃之溫度,且在其他實施例中約55℃至約225℃。用於溶劑移除之加熱可一般進行至少約0.1分鐘,在其他實施例中約0.5分鐘至約30分鐘,且在額外實施例中約0.75分鐘至約10分鐘。一般熟習此項技術者應認識到上述明確範圍內之加熱溫度及時間之其他範圍涵蓋在內且其在本發明內。由於塗料之熱處理及緻密化,塗料可展現折射率及輻射吸收度增加而無對比度顯著損失。
經圖案化之曝露及經圖案化之塗料
可使用輻射對塗料進行精細地圖案化。如上文所指出,可設計 前驅體溶液之組合物及因此相對應的塗料用於充分吸收所需輻射形式。輻射之吸收產生可破壞金屬與烷基配位體之間的鍵之能量,使得烷基配位體中之至少一些可不再用以使材料穩定。輻射分解產物,包括烷基配位體或片段是否可自膜當中擴散出視加工變數及該等產物之身分而定。伴隨吸收足量輻射,曝露塗料縮合,亦即,形成增加之金屬側氧基/羥基網狀物,其可涉及自環境大氣所吸收之水。一般可根據所選圖案傳遞輻射。輻射圖案轉變為在含受照射區域及未受照射區域之塗料中相對應的圖案或潛影。受照射區域包含以化學方式改變之塗料,且未受照射區域一般包含成形塗料。如下文所指出,可在塗料顯影後藉由移除未受照射塗料或者藉由選擇性移除受照射塗料而形成極清晰邊緣。
一般可經由遮罩將輻射引導至塗佈基板或輻射束可經控制掃描遍及基板。一般而言,輻射可包含電磁輻射、電子束(β輻射)或其他適合之輻射。一般而言,電磁輻射可具有所需波長或波長範圍,諸如可見光輻射、紫外輻射或x射線輻射。輻射圖案可達成之解析度一般視輻射波長而定,且一般更短波長輻射達成更高解析度圖案。因此,使用紫外光、x射線輻射或電子束達成特定高解析度圖案可為合乎需要的。
遵循以引用的方式併入本文中之國際標準ISO 21348(2007),將紫外光延伸至大於或等於100nm至小於400nm之波長之間。氟化氪雷射(krypton fluoride laser)可用作248nm紫外光之來源。可在接受標準下用若干方式細分紫外範圍,諸如大於或等於10nm至小於121nm之極紫外(EUV)及大於或等於122nm至小於200nm之遠紫外(FUV)。來自氟化氬雷射(argon fluoride laser)之193nm線可用作FUV中之輻射源。EUV光已用於在13.5nm處光影,且由使用高能量雷射或放電脈波激發之Xe或Sn電漿源產生此光。軟x射線可定義在大於或等於0.1 nm至小於10nm。
可藉由在曝露時間內由整合輻射通量所獲得之通量或劑量來表徵電磁輻射量。適合之輻射通量可為約1mJ/cm2至約150mJ/cm2,在其他實施例中約2mJ/cm2至約100mJ/cm2,且在其他實施例中約3mJ/cm2至約50mJ/cm2。一般熟習此項技術者應認識到,上述明確範圍內之輻射通量之其他範圍涵蓋在內且其在本發明內。
伴隨電子束光影,電子束一般誘導二次電子,該等二次電子一般調節受照射材料。解析度至少部分可為材料中之二次電子範圍之函數,其中一般咸信較高解析度由二次電子之較短範圍產生。基於可使用本文所述之無機塗料用電子光影達成的高解析度,無機材料中之二次電子之範圍為受限制的。電子束可藉由束能量表徵,且適合之能量可在約5V至約200kV(千伏)範圍內,且在其他實施例中約7.5V至約100kV。在30kV下之經近接校正之束劑量可在約0.1微庫侖/平方公分至約5毫庫侖/平方公分(mC/cm2)範圍內,在其他實施例中約0.5μC/cm2至約1mC/cm2,且在其他實施例中約1μC/cm2至約100μC/cm2。一般熟習此項技術者可基於本文中之教示計算其他束能量下相對應的劑量,且應認識到上述明確範圍內之電子束特性之其他範圍涵蓋在內且其在本發明內。
基於塗料之設計,在具有縮合塗料之受照射區域與具有實質上完整有機配位體之未受照射塗料之間存在較大材料特性對比度。已發現,照射後熱處理可改良在既定劑量下之對比度,但在一些實施例中,不經照射後熱處理亦可達成令人滿意之結果。曝露後熱處理似乎使受照射塗料退火以提高其縮合作用,而不會基於有機配位體-金屬鍵之熱斷裂而顯著縮合塗料之未受照射區域。對於其中使用照射後熱處理之實施例,可在約45℃至約250℃之溫度下進行照射後熱處理,在額外實施例中在約50℃至約190℃,且在其他實施例中在約60℃至 約175℃。曝露後加熱可一般進行至少約0.1分鐘,在其他實施例中約0.5分鐘至約30分鐘,且在額外實施例中約0.75分鐘至約10分鐘。一般熟習此項技術者應認識到上述明確範圍內之照射後加熱溫度及時間之其他範圍涵蓋在內且其在本發明內。如以下部分中所述顯影後,材料特性之高對比度進一步促進圖案中之清晰線形成。
用輻射曝露後,塗料受照射區域及未受照射區域圖案化。參看圖1及圖2,圖案化結構100顯示包含基板102、薄膜103及圖案化塗料104。圖案化塗料104包含受照射塗料之區域110、112、114、116及未受照射塗料之未縮合區域118、120、122。藉由縮合區域110、112、114、116及未縮合區域118、120、122所形成之圖案表示塗料中之潛影,且潛影之顯影論述於以下部分中。
顯影及圖案化結構
影像之顯影涉及使包括潛影之圖案化塗料接觸顯色劑組合物以移除未照射塗料從而形成負像或移除受照射塗層從而形成正像。使用本文所述之抗蝕劑材料,可使用合適顯影溶液以所需解析度進行有效負型圖案化或正型圖案化,一般基於同一塗層。特定言之,至少部分縮合受照射區域來提高金屬氧化物特性,使得受照射材料對有機溶劑之溶解具有抗性,同時未受照射組合物保持可溶於有機溶劑。提及縮合塗料,係指在相對於原始材料提高材料之氧化物特性意義上之至少部分縮合。另一方面,未受照射材料歸因於材料之疏水性不太溶於弱鹼水溶液或弱酸水溶液,使得可使用鹼水溶液移除受照射材料同時維持未受照射材料用於正型圖案化。
含有機穩定化配位體之塗層組合物產生本身具有相對疏水性的材料。照射使有機金屬鍵中之至少一些斷裂將材料轉化成較低疏水性的,亦即,較親水性的材料。此特性之變化提供受照射塗層與未受照射塗層之間的顯著對比度,該對比度提供用同一抗蝕劑組合物進行正 型色調圖案化及負型色調圖案化之能力。具體言之,照射塗料在一定程度上縮合成更多金屬氧化物組合物,然而,在不顯著加熱的情況下縮合程度一般為中度,使得受照射材料相對直接地用適宜之顯影劑顯影。
對於負型色調影像,參看圖3及圖4,圖1及圖2中所示的結構之潛影已經由與顯影劑接觸而顯影形成圖案化結構130。影像顯影之後,基板102經由開口132、134沿頂面曝露。開口132、134、135分別位於未縮合區域118、120、122之位置處。對於正型色調影像,參看圖5及圖6,圖1及圖2中所示結構之潛影已顯影而形成圖案化結構140。圖案化結構140具有圖案化結構130之共軛影像。圖案化結構140具有曝露於受照射區域110、112、114、116之位置處之基板102,該等區域經顯影而形成開口142、144、146、148。
對於負型色調影像,顯影劑可為有機溶劑,諸如用於形成前驅體溶液之溶劑。一般而言,顯影劑選擇可受到關於塗料之溶解性參數、照射及未照射以及顯影劑揮發性、可燃性、毒性、黏度及與其他加工材料之可能存在之化學相互作用的影響。特定言之,適合之顯影劑包括例如芳族化合物(例如,苯、二甲苯、甲苯)、酯((例如,丙二醇單甲醚乙酸酯、乙酸乙酯、乳酸乙酯、乙酸正丁酯、丁內酯(butyrolactone))、醇(例如,4-甲基-2-丙醇、1-丁醇、異丙醇、1-丙醇、甲醇)、酮(例如,甲基乙基酮、丙酮、環己酮、2-庚酮、2-辛酮)、醚(例如,四氫呋喃、二噁烷、苯甲醚(anisole))及其類似者。顯影可進行約5秒至約30分鐘,在其他實施例中約8秒至約15分鐘,且在其他實施例中約10秒至約10分鐘。一般熟習此項技術者應認識到上述明確範圍內之其他範圍涵蓋在內且其在本發明內。
對於正型色調影像,顯影劑一般可為酸或鹼的水溶液。在一些實施例中,可使用鹼水溶液獲得較清晰影像。為降低來自顯影劑之污 染,使用不具有金屬原子之顯影劑可為合乎需要的。因此,氫氧化四級銨組合物,諸如氫氧化四乙銨(tetraethylammonium hydroxide)、氫氧化四丙銨(tetrapropylammonium hydroxide)、氫氧化四丁銨(tetrabutylammonium hydroxide)或其組合作為顯影劑為合乎需要的。一般而言,備受關注之氫氧化四級銨可以式R4NOH表示,其中R=甲基、乙基、丙基、丁基或其組合。一般可用相同顯影劑使本文所述之塗料顯影,該顯影劑目前通常用於聚合物抗蝕劑,尤其氫氧化四甲銨(TMAH)。可利用呈2.38重量%之市售TMAH,且此濃度可用於本文所述之加工。此外,可使用經混合之氫氧化四級四烷基銨(quaternary tetraalkyl-ammonium hydroxide)。一般而言,顯影劑可包含約0.5重量%至約30重量%,在其他實施例中約1重量%至約25重量%,且在其他實施例中約1.25重量%至約20重量%氫氧化四烷基銨或類似氫氧化四級銨。一般熟習此項技術者應認識到上述明確範圍內之顯影劑濃度之其他範圍係涵蓋在內且其在本發明內。
除主要顯色劑組合物以外,顯影劑可包含其他組合物以促進顯影過程。適合之添加劑包括例如含選自由以下組成之群之陽離子的溶解鹽:銨、d區金屬陽離子(鉿(hafnium)、鋯(zirconium)、鑭(lanthanum)或其類似者)、f區金屬陽離子(鈰(cerium)、鎦(lutetium)或其類似者)、p區金屬陽離子(鋁、錫或其類似者)、鹼金屬(鋰、鈉、鉀或其類似者)及其組合;及含選自由以下組成之群之陰離子的溶解鹽:氟離子、氯離子、溴離子、碘離子;硝酸根、硫酸根、磷酸根、矽酸根、硼酸根、過氧陰離子、丁醇根、甲酸根、草酸根(oxalate)、乙二胺四乙酸(ethylenediamine-tetraacetic acid;EDTA)、鎢酸根(tungstate)、鉬酸根(molybdate)或其類似者及其組合。其他可能適用之添加劑包括例如分子螯合劑,諸如多元胺、醇胺、胺基酸、羧酸或其組合。若存在視情況選用之添加劑,則顯影劑可包含不超過約10重 量%添加劑,且在其他實施例中不超過約5重量%添加劑。一般熟習此項技術者應認識到上述明確範圍內之添加劑濃度之其他範圍涵蓋在內且其在本發明內。可選擇添加劑以改良對比度、敏感度及線寬粗糙度。顯影劑中之添加劑亦可抑制金屬氧化物粒子形成及沈澱。
在較弱顯影劑之情況下,例如較低濃度含水顯影劑、經稀釋之有機顯影劑或其中塗層具有較低顯影速率之組合物,可使用較高溫度顯影過程來提高過程速率。在較強顯影劑之情況下,可降低顯影過程之溫度來降低顯影之速率及/或控制顯影之動力學。一般而言,顯影溫度可在符合溶劑之揮發性的合適值之間進行調整。此外,在溶解塗料接近顯影劑-塗層界面之情況下可在顯影期間用超音波處理分散顯影劑。
可使用任何合理方法將顯影劑塗覆於圖案化塗料。舉例而言,可將顯影劑噴塗於圖案化塗料上。此外,可使用旋塗。對於自動加工,可使用覆液法,其涉及將顯影劑倒於呈靜止形式之塗料上。若需要,可使用旋轉沖洗及/或乾燥完成顯影過程。適合之沖洗溶液包括例如用於負型圖案化之超純水、甲醇、乙醇、丙醇及其組合及用於正型圖案化之超純水。影像顯影之後,將塗料作為圖案安置於基板上。
完成顯影步驟之後,可熱處理塗料以進一步縮合材料且進一步脫水、緻密化或將殘餘顯影劑自材料移除。對於其中氧化物塗料併入至最終裝置中之實施例,可尤其合乎需要此熱處理,但若需要塗料之穩定以促進進一步圖案化,則可能需要對其中塗料用作抗蝕劑且最終得到移除之一些實施例進行熱處理。特定言之,可在其中圖案化塗料展現所需水準之蝕刻選擇性的條件下對圖案化塗料進行烘烤。在一些實施例中,可將圖案化塗料加熱至約100℃至約600℃之溫度,在其他實施例中約175℃至約500℃,且在額外實施例中約200℃至約400℃。加熱可進行至少約1分鐘,在其他實施例中約2分鐘至約1小時,在其 他實施例中約2.5分鐘至約25分鐘。加熱可在空氣、真空或諸如Ar或N2之惰性氣體環境中進行。一般熟習此項技術者應認識到上述明確範圍內之熱處理溫度及時間之其他範圍涵蓋在內且其在本發明內。同樣,非熱處理,包括毯覆式UV曝露或曝露於諸如O2之氧化電漿亦可用於類似目的。
在適宜之有機抗蝕劑的情況下,若結構之縱橫比--高度除以寬度變得過大,則結構對圖案崩潰敏感。圖案崩潰可與高縱橫比結構之機械不穩定性相關,使得力,例如與加工步驟相關聯之表面張力使結構元件變形。低縱橫比結構關於潛在變形力更穩定。在本文所述之可圖案化塗料的情況下,歸因於能夠有效加工具有更薄層塗料之結構,經改良之圖案化可無需高縱橫比圖案化塗料即可完成。因此,在不借助圖案化塗料中之高縱橫比特徵的情況下已形成極高解析度特徵。
所得結構可具有清晰邊緣及極低線寬粗糙度。特定言之,除能夠降低線寬粗糙度以外,高對比度亦使得形成較小特徵及特徵之間的空間且能夠形成極佳解析二維圖案(例如尖角)。因此,在一些實施例中,相鄰結構之鄰近線性段可具有不超過約60nm(30nm半間距)之平均間距(半間距),在一些實施例中,不超過約50nm(25nm半間距),且在其他實施例中不超過約34nm(17nm半間距)。可藉由設計評估間距且用掃描電子顯微術(SEM),諸如用自上而下影像確定間距。如本文所用,間距係指空間間隔,或重複結構元件之中心-中心距離,且如此項技術中一般所用,半間距為間距之一半。亦可關於特徵之平均寬度描述圖案之特徵尺寸,其一般遠離角或其類似者進行評估。特徵亦可指材料元件之間的空隙及/或至材料元件之空隙。在一些實施例中,平均寬度可不超過約25nm,在其他實施例中不超過約20nm,且在額外實施例中不超過約15nm。平均線寬粗糙度可不超過約5nm,在一些實施例中,不超過約4.5nm,且在其他實施例中約2.5nm至約4 nm。藉由分析自上而下之SEM影像對線寬粗糙度進行評估以由平均線寬得到3σ偏差。平均值分別包含高頻粗糙度及低頻粗糙度,亦即,短相關長度及長相關長度。有機抗蝕劑之線寬粗糙度主要藉由長相關長度表徵,而本發明有機金屬塗料展現明顯更短之相關長度。在圖案轉移過程中,可在蝕刻過程期間使短相關粗糙度平滑化,產生高得多之保真性圖案。一般熟習此項技術者應認識到上述明確範圍內之間距、平均寬度及線寬粗糙度之其他範圍涵蓋在內且其在本發明內。
圖案化塗料之進一步加工
形成圖案化塗料之後,可進一步加工塗料以促進形成所選裝置。此外,一般可進行進一步之材料沈積、蝕刻及/或圖案化以完成結構。最終可能或可能不移除塗料。可在任何情況下推進圖案化塗料之品質用於形成經改良之裝置,諸如含較小底面積(foot print)之裝置及類似者。
圖案化塗料形成底層基板之開口,如圖3及圖4中之實例所示。如同習知抗蝕劑,圖案化塗料形成蝕刻遮罩,該蝕刻遮罩可用於轉移圖案以選擇性地移除底層薄膜。參看圖7,圖6中之底層薄膜103經圖案化,在基板102上縮合區域110、112、114下分別留下特徵152、154、156。與習知聚合物抗蝕劑相比,本文所述之材料可提供明顯更大之抗蝕刻性。可用圖5及圖6中所示之遮罩圖案進行類似加工,其中相應地自替代性遮罩圖案轉移緊接著之圖案化結構。
或者或另外,根據遮罩圖案之其他材料之沈積可改變底層結構之特性及/或提供與底層結構之接觸。可基於材料之所需特性選擇其他塗料。此外,可經由遮罩中之開口將離子選擇性地植入底層結構內,因為圖案化無機塗料之密度可提供高抗植入性。在一些實施例中,其他沈積材料可為介電質、半導體、導體或其他適合之材料。可使用適合之方法沈積其他沈積材料,諸如基於溶液之方法、化學氣相 沈積(CVD)、濺鍍、物理氣相沈積(PVD)或其他適合之方法。
一般而言,可沈積複數個額外層。結合多層沈積,可進行額外圖案化。若進行任何額外圖案化,則可用額外量之本文所述之塗料,用以聚合物為主之抗蝕劑,用其他圖案化方法或其組合進行。
如上文所指出,可移除或不移除圖案化後塗佈(抗蝕劑)材料之層。若不移除層,則將圖案化塗佈(抗蝕劑)材料併入結構中。對於其中將圖案化塗佈(抗蝕劑)材料併入結構中之實施例,可選擇塗佈(抗蝕劑)材料之特性以提供所需圖案化特性且亦提供結構內材料之特性。
若需要移除圖案化塗料,則塗料充當習知抗蝕劑。圖案化塗料用於在移除抗蝕劑/塗料之前對後續沈積材料進行圖案化及/或經由縮合塗料中之空間用於選擇性地蝕刻基板。可使用適合之蝕刻過程移除縮合塗料。具體言之,為移除縮合塗料,可用例如BCl3電漿、Cl2電漿、HBr電漿、Ar電漿或含其他合適處理氣體之電漿進行乾式蝕刻。或者或另外,可例如用酸或鹼的水溶液,諸如HF(水溶液)或緩衝HF(水溶液)/NH4F或草酸進行濕式蝕刻來移除圖案化塗料。參看圖8,圖8顯示在移除塗料之後的結構。蝕刻結構150包含基板102及特徵152、154、156。
基於金屬側氧基/羥基之塗料尤其宜於使用熱冷凍過程實施多種圖案化,如P.Zimmerman,J.Photopolym.Sci.Technol.,第22卷,第5期,2009,第625頁中一般以習知抗蝕劑描述。含「熱冷凍」之雙重圖案化過程概述於圖9中。第一步中,如關於圖3及圖4所述使用微影過程及顯影使塗料在基板162上形成圖案160。進行加熱步驟164以移除溶劑且縮合塗料,其可能或可能不涉及完全氧化物形成。此加熱步驟等效於上文顯影部分中所述之顯影後加熱步驟。此「熱冷凍」過程使得塗料不溶於塗料之第二層之後續沈積物。進行第二微影及顯影步驟166以在基板162上形成雙重圖案化結構168。在蝕刻步驟170之後,形 成產物雙重圖案化結構172。應注意,直接擴展此過程以成倍增加塗佈及圖案化步驟,且該等擴展涵蓋在內且在本發明內。在多重圖案化方面,本文所述之無機塗料與習知有機抗蝕劑之間的明顯差異為即使在熱烘烤之後,有機抗蝕劑仍然可溶於習知抗蝕劑塗佈溶劑。可用熱烘烤縮合本文所述之抗蝕劑材料,使得其不溶於有機溶劑且可塗覆後續塗層。
實例 實例1-t-BuSn(NEt 2 ) 3 之水解產物
此實例描述由第三丁基參(二乙醯胺基)錫(t-butyl tris(diethylamido)tin)製備水解產物前驅體溶液。
由第三丁基參(二乙醯胺基)錫(2)製備具有標稱式t-BuSnO(3/2-x/2)(OH)x(其中0>x<3)(1)之水解產物氧化物氫氧化物產物,其根據Hänssgen,D.;Puff,H.;Beckerman,N.Journal of Organometallic Chemistry,293,1985,191-195中所報導之方法合成,該文獻以引用的方式併入本文中。使用氣密注射器將4.4g第三丁基參(二乙醯胺基)錫穩定(約125μL/s)添加至150mL DI H2O(18MΩ)中,形成之即用沈澱物允許靜置5分鐘。攪拌所得漿液30分鐘且隨後經由1號濾紙(Whatman)抽吸過濾。用50mL一份之DI H2O將所得固體沖洗3次。在真空中(約5托)在室溫下乾燥過濾及沖洗之後所保留之固體8小時,產生1.9g水解產物1之粉末狀固體。
由Microanalysis,Inc.,Wilmington,DE對粉末樣本進行元素分析而產生22.43% C、4.79% H及0.11% N(質量)。此等結果符合1第三丁基:1錫之組成比(預期:23.01% C、4.83% H、0.0% N)。N含量指示在第三丁基參(二乙醯胺基)錫水解後完全移除二乙胺。在乾燥空氣中對藉由同一程序所製備之粉末進行熱解重量-質譜分析,該等分析同樣符合如圖10及圖11中所示水解產物之近似經驗公式(C4H9)SnOOH。逐步 脫水(50℃-150℃,約96%殘餘重量)及脫烷基化/燃燒(200℃-500℃,約73%)觀測到與預期SnO2產物相對應之最終殘餘重量。
實例2-i-PrSnCl 3 之水解產物
此實例描述由異丙基三氯化錫(i-propyltin trichloride)製備水解產物前驅體溶液。
藉由將6.5g化合物異丙基三氯化錫快速添加至150mL 0.5-M NaOH(水溶液)中且劇烈攪拌,即刻產生沈澱物來製備異丙基三氯化錫(4,i-PrSnCl3,Gelest)之水解產物氧化物氫氧化物產物(i-PrSnO(3/2-x/2)(OH)x,其中0<x<3)(3)。在室溫下攪拌所得混合物1小時且隨後經由1號濾紙(Whatman)抽吸過濾。用約25mL一份之DI H2O將所保留之固體洗滌3次且隨後在真空中(約5托)在室溫下乾燥12小時。
乾燥粉末,即異丙基三氯化錫之水解產物之元素分析(18.04% C、3.76% H、1.38% Cl;Microanalysis,Inc.;Wilmington,DE)指示在異丙基三氯化錫之水解後發生氯離子之實質性移除及近似水解產物經驗公式i-PrSnO(3/2-x/2)(OH)x(其中x
Figure 104134973-A0202-12-0038-45
1)(C3H8O2Sn計算值:18.50% C、4.14% H、0.00% Cl)。結果符合異丙基三氯化錫之水解產物之近似經驗公式(C3H7)SnOOH。
實例3-光阻劑溶液之製備
此實例描述由水解產物前驅體製備光阻劑溶液。
藉由將0.1g乾燥粉末添加至10mL甲醇(ACS,99.8%)中同時攪拌以形成具有約0.05M之Sn濃度的混合物來製備化合物1之溶液(實例1)。攪拌24小時之後,經由0.45μm PTFE針筒過濾器過濾混合物以移除不溶性材料。使用Möbius儀器(Wyatt Technology)所進行的所得前驅體溶液之動態光散射(DLS)分析符合如圖12中所示之具有約1.9nm平均直徑之叢聚物的單峰質量加權分佈。提供此類粒度分佈之代表性時間相關函數顯示於圖13中。
使用配備有Bruker Prodigytm低溫探針之Bruker Avance-III-HD 600MHz光譜儀對d4-甲醇中所製備之類似溶液進行核磁共振(NMR)光譜分析。代表性119Sn光譜顯示於圖14中,且代表性1H光譜顯示於圖15中。觀測到兩組主要的質子共振,各組由較強(1.58,140ppm)及較弱(1.55,1.37ppm)共振組成。此等位置及積分強度大致與閉合型十二聚叢聚物[(t-BuSn)12O14(OH)6]+2或緊密相關化學環境中鍵結於五配位及六配位錫原子之-C(CH3)3配位體上之甲基質子的預期化學位移一致。以3.33及4.90ppm為中心之共振歸因於甲醇CH3及OH質子。在-333.86及-336.10ppm及在-520.33及-521.48ppm處觀測到兩組緊密的119Sn共振。此等結果同樣接近於陽離子十二聚叢聚物中之兩個錫環境的預期位移,如藉由Eychenne-Baron等人,Organometallics 19,2000,1940-1949針對(n-BuSn)12叢聚物所述,其以引用的方式併入本文中。
使用電噴霧電離質譜分析(ESI-MS)表徵同一水解產物之甲醇溶液。代表性正離子模式質譜呈現於圖16中。在譜中觀測到兩種主要陽離子物質。一種為1219之質荷比(m/z),且另一種為m/z=2435之更強信號。此等m/z比歸因於在水解產物之甲醇溶液中存在雙重帶電([(t-BuSn)12O14(OH)6]+2計算值m/z=1218)及單獨帶電(去質子化,[(t-BuSn)12(O15(OH)5]+ m/z=2435)陽離子十二聚物質。若干肩峰及衛星峰包圍m/z 2436之峰,其可能表示存在甲氧基(methoxo)及主要十二聚物質之溶合或水合衍生物,且推測對應於經由NMR所觀測到之緊密相關119Sn及1H共振。
藉由將0.16g乾燥粉末添加至10mL 4-甲基-2-戊醇(Alfa-Aesar,99%)中同時攪拌以形成具有約0.08M之Sn濃度的混合物來製備化合物3之溶液(實例2)。攪拌2小時之後,用活化4Å分子篩隔夜乾燥混合物以移除殘餘水,且隨後經由0.45μm PTFE針筒過濾器過濾以移除不溶性材料。
實例4-抗蝕劑塗佈、膜加工、負型色調成像
此實例說明基於用極紫外線輻射曝露負型色調影像形成抗蝕劑圖案。將分支鏈烷基錫氧化物氫氧化物光阻劑塗佈於矽晶圓上且使用EUV輻射生成負型色調特徵性對比度曲線。
具有原生氧化物表面之矽晶圓(100mm直徑)用作用於薄膜沈積之基板。在抗蝕劑沈積之前用六甲基二矽氮烷(hexamethyldisilazane;HMDS)蒸氣底塗劑(vapor prime)處理Si基板。如實例3中所述製備異丙基及第三丁基錫氧化物氫氧化物光阻劑溶液,且分別稀釋至約0.06及0.05M。如上文所引用之'524申請案中所述製備正丁基錫氧化物氫氧化物(n-BuSnO(3/2-x/2)(OH)x)抗蝕劑溶液(0.057M Sn)之前驅體溶液。將前驅體溶液旋塗於Si基板上且在以下指定rpm/溫度下烘烤2分鐘以形成烷基錫氧化物氫氧化物抗蝕劑薄膜:1500rpm/80℃(iPr-);1800rpm/100℃(nBu-);2000rpm/100℃(tBu-)。
使用EUV光(勞倫斯伯克利國家實驗室微型曝露工具(Lawrence Berkeley National Laboratory Micro Exposure Tool),MET)將直徑約500μm的50個圓墊之線性陣列投影於晶圓上。調變墊曝露時間以將增加之EUV劑量(7%指數躍階)遞送至各墊。隨後在100-200℃下在加熱板上對抗蝕劑及基板進行曝露後烘烤(PEB)2分鐘。將曝露膜浸於2-庚酮中15秒且用相同顯影劑沖洗額外15秒以形成負型色調影像,亦即移除塗層之未曝露部分。在DI H2O中進一步沖洗正丁基錫氧化物氫氧化物抗蝕劑膜30秒。進行最終150℃之2分鐘加熱板烘烤以完成加工。使用J.A.Woollam M-2000光譜橢偏儀量測曝露墊之剩餘抗蝕劑厚度。將所量測之厚度標準化為所量測之最大抗蝕劑厚度且相對於曝露劑量之對數進行標繪以形成一連串PEB溫度下各抗蝕劑之特徵性曲線。標準化厚度相對於劑量對數曲線之最大斜率定義為光阻劑對比度(γ)且在穿過等於1之此點所畫的切線處之劑量值定義為光阻劑膠凝劑量 (D g )。以此方式,用於表徵光阻劑之常用參數可遵照Mack,C.Fundamental Principles of Optical Lithography,John Wiley & Sons,Chichester,U.K;第271-272頁,2007進行粗略估計,其以引用的方式併入本文中。
藉由繪製各抗蝕劑之γD g ,當各抗蝕劑之PEB溫度增加時,減少之劑量與對比度之間的明確關係得以說明(圖17)。在此發現,相比於以正丁基錫氧化物氫氧化物為主之抗蝕劑,所測試之分支鏈烷基錫氧化物氫氧化物抗蝕劑具有較佳對比度,且當在2-庚酮中顯影時在較低劑量下(如藉由PEB調變)保持相等或較佳對比度。
自分支鏈烷基錫氧化物氫氧化物光阻劑所獲得的經改良之敏感度及對比度藉由曝露於EUV輻射同樣用以產生高解析度圖案。用甲醇將來自實例3之化合物1之溶液稀釋至約0.03M Sn,隨後以2000rpm旋塗於基板上且在100℃下在加熱板上烘烤2分鐘。經由橢圓偏振測量法量測到塗佈及烘烤後之膜厚度為約23nm。
將塗佈基板曝露於極紫外線輻射(勞倫斯伯克利國家實驗室微型曝露工具)。使用13.5-nm波長輻射、偶極照明及0.3之數值孔徑以43mJ/cm2之成像劑量將呈34-nm間距的17nm線之圖案投影於晶圓上。隨後在175℃下在加熱板上對圖案化抗蝕劑及基板進行曝露後烘烤(PEB)2分鐘。隨後將曝露膜浸於2-庚酮中15秒,且用相同顯影劑沖洗額外15秒,且最後用DI H2O沖洗30秒以形成負型色調影像,亦即移除塗層之未曝露部分。顯影之後在150℃下進行最後5分鐘加熱板烘烤。圖18展現所得15.4nm抗蝕劑線之SEM影像,其以34nm間距圖案化且其線寬粗糙度(LWR)計算值為4.6nm。
以類似方式使用i-PrSnO(3/2-x/2)(OH)x之溶液(來自實例2之化合物3)經由EUV曝露實現高解析度圖案化。在4-甲基-2-戊醇中將來自實例3之3之溶液稀釋至約0.06M Sn,且以1500rpm將其旋塗於第二Si基板 上,且在80℃下在加熱板上烘烤2分鐘。經由橢圓偏振測量法量測到塗佈及烘烤後之膜厚度為約19nm。使用四極照明以36mJ/cm2之成像劑量按44nm間距以+20%偏差將22nm接觸孔之明視野圖案投影於晶圓上。隨後在150℃下對圖案化抗蝕劑及基板進行PEB歷時2分鐘。隨後將曝露膜浸於2-辛酮中15秒,且用2-辛酮沖洗額外15秒以形成負型色調影像,其中移除塗層之未曝露部分,留下接觸孔之圖案。顯影之後在150℃下進行最後5分鐘加熱板烘烤。圖19展現按44nm間距圖案化之所得22nm孔之SEM影像。
實例5-用混合烷基配位體製備光阻溶液
此實例描述包含混合烷基配位體之前驅體溶液的調配,且用於圖案化之此等調配物的有效性描述於以下實例中。
遵照上文實例1中所述之方法由第三丁基參(二乙醯胺基)錫製備第三丁基錫氧化物氫氧化物水解產物(1)。使用氣密注射器將4.4g(11mmol)第三丁基參(二乙醯胺基)錫添加至150mL DI H2O(18MΩ)中,形成之即用沈澱物允許靜置5分鐘。攪拌所得漿液30分鐘且隨後經由1號濾紙(Whatman)抽吸過濾,且用60mL一份之DI H2O沖洗3次。在真空中(約5托)在室溫下乾燥過濾及沖洗之後所保留之固體17小時,產生1.85g水解產物第三丁基錫氧化物氫氧化物(1)之粉末狀固體。
同樣藉由上文實例2中所述之方法製備異丙基錫氧化物氫氧化物(3)。在劇烈攪拌的同時將9.65g(36mmol)量之異丙基三氯化錫(i-PrSnCl3,Gelest)快速添加至220mL 0.5-M NaOH(水溶液)中,即刻產生沈澱物。在室溫下攪拌所得混合物1.25小時且隨後經由兩張5號濾紙(Whatman)抽吸過濾。用約30-mL一份之DI H2O將所保留之固體洗滌3次且隨後在真空中(約5托)在室溫下乾燥16小時。
自各別粉末製備第三丁基錫氧化物氫氧化物水解產物(1)及異丙基錫氧化物氫氧化物水解產物(3)之各別溶液。將1.04g量之乾燥粉末 第三丁基錫氧化物氫氧化物水解產物添加至100mL甲醇(ACS,99.8%)中且攪拌24小時,隨之經由0.45μm PTFE過濾器針筒過濾混合物以移除不溶性粒子。溶劑蒸發及在700℃下在空氣中後續熱分解殘餘固體後樣本之殘餘質量符合假定化學計量轉化為SnO2的0.035M之初始Sn濃度。藉由在攪拌的同時將3.129g乾燥粉末添加至80mL 4-甲基-2-戊醇(Alfa-Aesar,99%)中來製備異丙基錫氧化物氫氧化物水解產物(3)之溶液。攪拌6小時之後,在活化4Å分子篩內乾燥混合物60小時,隨後經由0.2μm PTFE薄膜過濾器真空過濾以移除不溶性材料。經由熱分解為氧化物發現溶液之Sn濃度為0.16M。
藉由將第三丁基錫氧化物氫氧化物水解產物(1)之甲醇溶液與異丙基錫氧化物氫氧化物水解產物(3)之4-甲基-2-戊醇溶液混合,且根據表2中所指定之體積用純溶劑稀釋所得混合物來製備光阻劑調配物A-F(表2)。所得溶液表徵為i-PrSnO(3/2-x/2)(OH)x與t-BuSnO(3/2-x/2)(OH)x水解產物之摻合物,其中t-BuSnO(3/2-x/2)(OH)x之分率相對於總Sn濃度表示。
Figure 104134973-A0202-12-0043-2
實例6-用混合烷基配位體前驅體進行之抗蝕劑塗佈、膜加工、負型色調成像
使用混合配位體有機錫氧化物氫氧化物光阻劑藉由曝露於極紫外線輻射產生負型色調圖案。此實例探究使用來自實例5的具有混合 烷基配位體之前驅體溶液進行圖案化。
具有原生氧化物表面之矽晶圓(100mm直徑)用作用於薄膜沈積之基板。在抗蝕劑沈積之前用六甲基二矽氮烷(HMDS)蒸氣底塗劑處理Si基板。以2000-2500rpm將來自實例5之抗蝕劑調配物A-F旋塗於基板上且在100℃下在加熱板上烘烤2分鐘。經由橢圓偏振測量法量測到塗佈及烘烤後之膜厚度為約30nm。將塗佈基板曝露於極紫外線輻射(勞倫斯伯克利國家實驗室微型曝露工具)。使用13.5nm波長輻射、偶極照明及0.3之數值孔徑呈34nm間距之17nm線與空間的圖案投影於晶圓上。隨後在170℃下在加熱板上對圖案化抗蝕劑及基板進行曝露後烘烤(PEB)2分鐘。隨後將曝露膜浸於2-庚酮中15秒,且用相同顯影劑沖洗額外15秒以形成負型色調影像,亦即移除塗層之未曝露部分。顯影之後在150℃下進行最後5分鐘加熱板烘烤。
圖20展現按34nm間距圖案化之所得抗蝕劑線之SEM影像。使用SuMMIT分析軟體(EUV Technology Corporation)自經使用抗蝕劑調配物A-F圖案化之17 hp線之SEM影像推斷出抗蝕劑臨界尺寸(CD)及線寬粗糙度(LWR)。各調配物之LWR與定尺寸劑量(Esize)的曲線圖顯示於圖21中。由於t-BuSnO(3/2-x/2)(OH)x之分率增加,在調配物中觀測到劑量(圓點)降低之明顯趨勢。此外,圖21中之摻合調配物的LWR(三角點)實質上分別低於純t-BuSnO(3/2-x/2)(OH)x及i-PrSnO(3/2-x/2)(OH)x調配物A與F。
實例7-經由異丙基參(二甲基醯胺基)錫前驅體製備異丙基錫氫氧化物氧化物水解產物
在惰性氛圍下合成水反應性前驅體,即異丙基參(二甲基醯胺基)錫(i-PrSn(NMe2)3)且藉由兩種使用1)大氣濕氣及2)液態H2O之方法進行後續水解,形成i-PrSnO(3/2-x/2)(OH)x水解產物。
在氬氣下,向1L施蘭克調適型圓底燒瓶(Schlenk-adapted round bottom flask)饋入LiNMe2(81.75g,1.6mol,Sigma-Aldrich)及無水己烷(700mL,Sigma-Aldrich)以形成漿液。添加大攪拌棒且密封容器。在氬氣正壓下經由針筒向加料漏斗中饋入i-PrSnCl3(134.3g,0.5mol,Gelest)且使其與反應燒瓶連接。將燒瓶冷卻至-78℃且歷經2小時之時間段逐滴添加i-PrSnCl3。在攪拌的同時使反應隔夜上升至室溫,且使得固體沈澱物靜置。靜置後,在氬氣正壓下經由直列式套管過濾器過濾反應溶液。在真空中移除溶劑且在減壓下(50℃-52℃,1.4mmHg)蒸餾殘留物,得到淡黃色液體(110g,75%產率)。布魯克DPX-400(Bruker DPX-400)(400MHz,BBO探頭)光譜儀上所收集之C6D6溶劑中之餾出物的1H及119Sn NMR光譜分別顯示於圖22及圖23中。如圖22中所示所觀測到之1H共振(s,2.82ppm,-N(CH3)2;d 1.26ppm,-CH3;m,1.60ppm,-CH)匹配所預測之iPrSn(NMe2)3之光譜。如圖23中所示,在-65.4ppm處之主要119Sn共振與伴隨單一錫環境的主要產物一致,化學位移與所報導之單烷基錫醯胺基化合物相當。
自異丙基參(二甲基醯胺基)錫(i-PrSn(NMe2)3),經由使用兩種不同方法之H2O水解製備異丙基錫氧化物氫氧化物水解產物。
方法1:
使用氣密針筒將23.4g(79.6mmol)異丙基參(二甲基醯胺基)錫(i-PrSn(NMe2)3)添加至150mL正己烷(HPLC級,>99.5%己烷,>95%正己烷)中,在空氣中攪拌5分鐘形成不透明懸浮液,隨後以等體積倒入六個150mm直徑之培養皿中。使得懸浮液與大氣濕氣反應同時在空氣中蒸發溶劑1.5小時,留下粗固體,收集、合併,且在真空中乾燥15小時,產生15.8g固態水解產物(化合物3,實例2)。由同一程序所製備之水解產物粉末的元素分析(UC Berkeley Microanalytical Facility)報告18.91% C、4.24% H及0.51% N(質量)之組成,其與二甲基醯胺基配位體之實質性水解及蒸發所得烷基胺一致。結果與 C3H8O2Sn:18.50% C,4.14% H,0.00% N及60.94% Sn(質量)之計算值一致。同一樣本在乾燥空氣中之熱解重量分析(圖24)指示假定完成分解為SnO2,以500℃下之殘餘重量(75.9%)計的約60%(質量)之Sn組成。同一分解之質譜分析(圖25)指示存在-C3H6。綜合而言,此等結果與i-PrSnSnO(3/2-x/2)(OH)x(其中x
Figure 104134973-A0202-12-0046-46
1)的經驗組成一致,且可能存在少量殘餘二甲基醯胺基。
方法2:
使用氣密針筒將1.0g(3.4mmol)異丙基參(二甲基醯胺基)錫(i-PrSn(NMe2)3)直接快速地添加至15mL DI H2O(18.2MΩ)中且劇烈攪拌以形成漿液,攪拌該漿液額外60分鐘。隨後經由0.7-μm過濾器真空過濾此漿液且用10mL DI H2O洗滌所保留之固體。隨後收集固體且在真空中乾燥16小時,產生0.7g固態水解產物。在乾燥空氣中對由同一程序所製備之水解產物粉末進行的熱解重量分析(圖26)同樣與3(實例2)即i-PrSnSnO(3/2-x/2)(OH) x (其中x
Figure 104134973-A0202-12-0046-47
1)之經驗組成一致。重量損失歸因於逐步脫水(50℃-175℃,約95.7%殘餘重量)且如基於3完全分解為SnO2所預期觀測到脫烷基化/燃燒(200℃-500℃,約77%殘餘重量)。
實例8-有機錫氧化物氫氧化物光阻劑溶液之痕量金屬分析
藉由將根據先前實例中方法1製備之15.8g乾燥粉末添加至810mL 4-甲基-2-戊醇(高純度產品)中,且攪拌24小時來製備抗蝕劑前驅體溶液。攪拌後,經由0.22μm PTFE過濾器抽吸過濾混合物以移除不溶性材料。溶劑蒸發且在700℃下在空氣中後續煅燒固體後,樣本之殘餘質量與假定化學計量轉化為SnO2的0.072M之初始Sn濃度一致。
相對於使用氫氧化鈉水溶液及異丙基三氯化錫所製備之水解產物評估上述抗蝕劑前驅體溶液中之痕量金屬濃度。用4-甲基-2-戊醇將上文所製備之0.072M溶液進一步稀釋至0.042M(Sn)。藉由如實例2中所述用NaOH水溶液水解i-PrSnCl3來製備第二異丙基錫氧化物氫氧 化物前驅體溶液,且用相同高純度4-甲基-2-戊醇稀釋至0.42M Sn。使用感應耦合電漿質譜分析(ICP-MS,Balazs Nanoanalysis,Fremont,CA)分析兩種溶液之等分試樣以測定22種金屬之濃度,較低偵測極限(LDL)為十億分之十(ng/g)。此等分析之結果呈現於表3中。在兩種情況下,除鈉(Na)之外的全部分析金屬之濃度為<10ppb。即使用18MΩ DI H2O洗滌三次後,仍發現含有用NaOH(水溶液)所製備之水解產物的抗蝕劑溶液(A)含有34,000ppb殘餘鈉。對比而言,如藉由無鹼水解所預料,發現自異丙基(參)二甲基醯胺基錫之水解產物所製備之抗蝕劑溶液(B)含有<10ppb Na。
如藉由ICP-MS所量測LDL為10ppb之i-PrSnSnO(3/2-x/2)(OH)x光阻劑前驅體溶液中之痕量金屬濃度顯示於表3中。
Figure 104134973-A0202-12-0047-3
Figure 104134973-A0202-12-0048-4
實例9-含低痕量金屬污染之光阻劑的膜塗佈、加工及負型色調成像
具有原生氧化物表面之矽晶圓(100mm直徑)用作用於薄膜沈積之基板。在抗蝕劑沈積之前用六甲基二矽氮烷(HMDS)蒸氣底塗劑處理Si基板。經由0.45nm針筒過濾器將來自實例8之0.072M抗蝕劑溶液分配於基板上,以1500rpm旋塗,且在100℃下在加熱板上烘烤2分鐘。經由橢圓偏振測量法量測到塗佈及烘烤後之膜厚度為約25nm。將塗佈基板曝露於極紫外線輻射(勞倫斯伯克利國家實驗室微型曝露工具)。使用13.5nm波長輻射、偶極照明及0.3之數值孔徑將呈34-nm間距之17nm線與空間的圖案投影於晶圓上。隨後在180℃下在加熱板上對圖案化抗蝕劑及基板進行曝露後烘烤(PEB)2分鐘。隨後將曝露膜浸於2-庚酮中15秒,且用相同顯影劑沖洗額外15秒以形成負型色調影像,亦即移除塗層之未曝露部分。顯影之後在150℃下進行最後5分鐘加熱板烘烤。圖27顯示基板上之所得抗蝕劑線/空間圖案之SEM影像,其經60mJ cm-2之成像劑量、以34nm間距圖案化且具有2.9nm之LWR之14.5nm抗蝕劑線進行定義。
實例10-經由用有機鹼水溶液水解異丙基三氯化錫製備異丙基錫水解產物
藉由在劇烈攪拌之同時將6.5g(24mmol)化合物4 i-PrSnCl3快速添加至150mL 0.5-M氫氧化四甲基銨(TMAH)水溶液中,即刻產生沈 澱物來製備i-PrSnCl3之水解產物。TMAH在式中不含金屬陽離子,使得其引入而具有低金屬污染。在室溫下攪拌所得混合物1小時且隨後經由1號濾紙(Whatman)抽吸過濾。用約25mL一份之DI H2O洗滌所保留之固體3次且隨後在真空中(約5托)在室溫下乾燥12小時。乾燥粉末水解產物之元素分析(18.67% C、4.22% H、0.03% N、0.90% Cl;Microanalysis,Inc.;Wilmington,DE)與水解及沖洗後實質性移除之氯離子一致,且同樣與一般化學計量i-PrSnSnO(3/2-x/2)(OH)x(其中x
Figure 104134973-A0202-12-0049-48
1)一致(C3H8O2Sn計算值:18.50% C、4.14% H、0.00% Cl)。藉由相同程序所製備之水解產物粉末的(乾燥空氣)TGA-MS分析(圖28及圖29)同樣與上述者一致。重量損失歸因於逐步脫水(50℃-175℃,約97.0%殘餘重量)且如基於完全分解為SnO2所預期觀測到脫烷基化/燃燒(200℃-500℃,約77.5%殘餘重量)。儘管此實驗並非實施用於特定測試低金屬污染物,但如本文伴隨實例中所說明,該實驗設計以指示合成具有低金屬污染之產物第三戊基錫氧化物氫氧化物的能力。
實例11-經由烷基錫參(炔化物)之水性水解製備烷基錫氫氧化物氧化物水解產物
經由(1,1-二甲基丙基)錫參(苯乙炔)((1,1-dimethylpropyl)tin tris(phenylacetylide)),即第三戊基Sn(C≡CPh)3(化合物7)之水性水解製備第三戊基錫氫氧化物氧化物水解產物,即第三戊基SnO(3/2-x/2)(0<x<3)(化合物6)。
如Levashov,A.S.;Andreev,A.A.;Konshin,V.V.Tetrahedron Letters,56,2015,56,1870-1872中所報導合成四(苯乙炔)錫,即Sn(C≡CPh)4(化合物8),其以引用的方式併入本文中。隨後藉由以下Jaumier等人之方法(Jaumier P.;Jousseaume,B.;Lahcini,M.Angewandte Chemie,國際版,38,1999,402-404,其以引用的方式併入本文中)之修改經由化合物8之轉移金屬化製備化合物7:在150-mL燒 瓶中,將Sn(C≡CPh)4(9.53g/19.33mmol)溶解於無水甲苯(80mL)中。隨後使氮氣鼓泡通過溶液10分鐘,且溶液在冰浴中冷卻。隨後逐滴添加1,1-二甲基丙基溴化鎂(1,1-dimethylpropylmagnesium bromide)於乙醚(30mL/1N)中之溶液。將溶液升溫至室溫且攪拌兩小時。隨後經由二氧化矽過濾反應混合物且在真空中縮合。在無水己烷中音波處理所得固體、過濾,且在真空中縮合上澄液。隨後歷經約2小時時程在-10℃下由20%甲醇水溶液(v/v)再結晶所得蠟狀固體。在圖30及圖31中發現藉由此方法所合成之化合物第三戊基Sn(C≡CPh)3的代表性119Sn及1H NMR光譜。圖30描繪1H NMR光譜。圖31描繪119Sn NMR光譜。
經由Jaumier等人Chemical Communications,1998,369-370(其以引用的方式併入本文中)針對非分支鏈烷基錫炔化物(unbranched alkyltin alkynides)所描述之方法的修改藉由用H2O水解第三戊基Sn(C≡CPh)3來製備第三戊基錫氫氧化物氧化物,即第三戊基SnO(3/2-x/2)(OH)x(其中0<x<3)(化合物6)。在50mL燒瓶中,將第三戊基Sn(C≡CPh)3溶解於四氫呋喃(20mL/2%水)及0.5mL水中。在室溫下攪拌溶液兩天且收集沈澱物且溶解於氯仿(chloroform)中。經由0.2μm PTFE過濾器過濾所得溶液,且在真空下移除溶劑。在CDCl3中收集代表性119Sn(圖32)及1H NMR光譜(圖33)。在-340.65及-489.29ppm處所觀測到之119Sn共振為式[(RSn)12O14(OH)6](OH)2之閉合型十二聚叢聚物中的相應五及六配位錫原子之特徵。1H共振同樣指示在兩種化學環境中存在1,1-二甲基丙基配位體,且重要的是,相對於圖32及圖33僅觀測到極弱苯基共振(7.29-7.60ppm),其指示苯乙炔配位體之幾乎完全水解且移除。儘管此實驗並非實施用於特定測試低金屬污染物,但如本文伴隨實例中所說明,該實驗提供使得合成具有低金屬污染之產物第三戊基錫氧化物氫氧化物的合成方法。
實例12-抗蝕劑塗佈、膜加工、用電子束曝露進行負型色調成像
如實例3中所述製備t-BuSnO(3/2-x/2)(OH)x及i-PrSnSnO(3/2-x/2)(OH)x抗蝕劑前驅體溶液。使用具有概括於表4中之加工參數之電子束微影旋塗溶液且圖案化。具有原生氧化物表面之矽晶圓(25×25mm2)用作用於薄膜沈積之基板。在抗蝕劑塗佈之前在25W O2電漿中在15毫托下灰化基板1分鐘。經由0.45nm針筒過濾器將前驅體溶液分配於基板上,以指定rpm旋塗30秒,且在指定溫度下在加熱板上烘烤2分鐘(塗覆後烘烤,PAB)。經由橢圓偏振測量法量測塗佈及烘烤後之膜厚度。以指定劑量將塗佈基板曝露於經光柵化之30keV電子束以形成線/空間圖案。隨後在表4中所示溫度下在加熱板上對圖案化抗蝕劑及基板進行曝露後烘烤(PEB)2分鐘。隨後將曝露膜浸於顯影劑中15秒且用相同顯影劑沖洗額外15秒以形成負型色調影像,亦即,移除塗層之未曝露部分。顯影之後在150℃下進行最後5分鐘加熱板烘烤。圖34展現基板上之呈32nm(頂部)及28nm(底部)之間距的所得抗蝕劑線/空間圖案之SEM影像。
Figure 104134973-A0202-12-0051-5
實例13-以EUV曝露進行正型色調成像
分支鏈烷基錫氧化物氫氧化物抗蝕劑用於使用EUV輻射產生正型 色調影像。使用描述於實例7中之方法1製備i-PrSnO(3/2-x/2)(OH)x水解產物(化合物3),且溶解於4-甲基-2-戊醇中以產生約0.07M Sn前驅體溶液。具有原生氧化物表面之矽晶圓(100mm直徑)用作用於薄膜沈積之基板。在塗佈之前在晶圓上進行六甲基二矽氮烷(HMDS)蒸氣底塗。經由移液管將前驅體溶液分配於基板上,以1500rpm旋塗30秒,且在100℃下在加熱板上烘烤2分鐘。經由橢圓偏振測量法量測到塗佈及烘烤後之膜厚度為約23nm。在Berkeley MET上進行EUV曝露。使用13.5nm波長輻射、0.3之數值孔徑的環形照明及以25mJ cm-2之成像劑量將呈不同間距的一系列線及空間圖案投影於晶圓上。曝露後即刻在150℃下空氣中在加熱板上烘烤抗蝕劑及基板2分鐘。
將曝露膜浸於NaOH水溶液之0.52M溶液中15秒且用H2O沖洗15秒以顯現正型色調影像,亦即,移除塗層之曝露部分。顯影之後在150℃下進行最後5分鐘加熱板烘烤。在圖35中發現以100nm(a)及60nm(b)間距經圖案化之正型色調抗蝕劑線之SEM影像。
上述實施例意欲為例示性且並非為限制性的。其他實施例在申請專利範圍內。此外,儘管已參考特定實施例描述本發明,但熟習此項技術者應認識到,在不偏離本發明之精神及範疇之情況下,可在形式及細節上作出改變。以引用的方式對以上文獻之任何併入為限制性的,因此並未併入與本文之明確揭示內容相反之標的物。

Claims (16)

  1. 一種塗佈溶液,其實質上由揮發性有機溶劑及有機金屬化合物所組成,該有機金屬化合物包含由式RSnO(3/2-x/2)(OH)x(其中0<x<3)表示之第一有機金屬化合物,該溶液中含0.0025M至1.5M錫,其中R為含3-31個碳原子之烷基或環烷基,其中該烷基或環烷基在第二或第三碳原子處鍵結於該錫。
  2. 如請求項1之塗佈溶液,其中該第一有機金屬化合物包含第三丁基錫氧化物氫氧化物(R=-C(CH3)3)、異丙基錫氧化物氫氧化物(R=-CH(CH3)2)、第三戊基錫氧化物氫氧化物(R=-C(CH2CH3)(CH3)2)或其混合物。
  3. 如請求項1之塗佈溶液,其進一步包含第二有機金屬化合物,該第二有機金屬化合物不同於該第一有機金屬化合物,且由式R'SnO(3/2-x/2)(OH)x(其中0<x<3)表示,且R'為直鏈或分支鏈烷基或環烷基。
  4. 如請求項1之塗佈溶液,其中該有機溶劑包含醇。
  5. 如請求項1之塗佈溶液,其具有約0.5厘泊(cP)至約150cP之黏度及0.01M至1.0M之錫濃度。
  6. 一種塗佈溶液,其實質上由揮發性有機溶劑、第一有機金屬化合物與第二有機金屬化合物所組成,其中該第一金屬化合物包含由式RSnO(3/2-x/2)(OH)x(其中0<x<3)表示之第一有機金屬化合物,其中R為含3-31個碳原子之烷基或環烷基,其中該烷基或環烷基在第二或第三碳原子處鍵結於該錫,及其中該第二有機金屬化合物不同於該第一有機金屬化合物且由式R'SnO(3/2-x/2)(OH)x(其中0<x<3)表示,其中R'為直鏈或分支鏈烷基或環烷基,且其中R及R'並不相同。
  7. 如請求項6之塗佈溶液,其中該第一有機金屬化合物包含第三丁基錫氧化物氫氧化物(R=-C(CH3)3)、異丙基錫氧化物氫氧化物(R=-CH(CH3)2)、或第三戊基錫氧化物氫氧化物(R=-C(CH2CH3)(CH3)2)。
  8. 如請求項6之塗佈溶液,其中R及R'各自獨立地為分支鏈烷基或環烷基。
  9. 如請求項6之塗佈溶液,其中該第二有機金屬化合物在該塗佈溶液中佔該等有機金屬化合物之至少10重量%。
  10. 如請求項6之塗佈溶液,其中該有機金屬化合物進一步包含不同的第三有機金屬化合物,其由式R"SnO(3/2-x/2)(OH)x(其中0<x<3)表示,其中R"為直鏈或分支鏈烷基或環烷基,其中該第三有機金屬化合物在該塗佈溶液中佔該等有機金屬化合物之至少10重量%。
  11. 如請求項6之塗佈溶液,其中該溶劑包含醇,且其中該等有機金屬化合物產生0.0025M至1.5M之錫離子濃度。
  12. 一種圖案化結構,其包含具有表面及與該表面相關聯之塗層的基板,其中該塗層具有不超過50nm之平均厚度,該塗層之第一部分實質上由包含由式RSnO2-x/2(OH)x(0<x<4)表示之化合物的有機金屬組合物所組成,其中R為含3-31個碳原子之烷基或環烷基,其中該烷基或環烷基在第二或第三碳原子處鍵結於該錫,及該塗層之第二部分實質上由具有至少一部分受輻射吸收而破壞的烷基金屬鍵之該有機金屬組合物所組成。
  13. 如請求項12之圖案化結構,其中於該塗層之該第二部分中的該有機金屬組合物由式(R)zSnO2-z/2-x/2(OH)x(0<(x+z)<4)表示,其中z小於1。
  14. 如請求項13之圖案化結構,其中比率(2-z/2-x/2):x大於1。
  15. 如請求項12之圖案化結構,其中該基板包含聚合物薄片、元素矽及/或陶瓷材料。
  16. 如請求項12之圖案化結構,其中該塗層形成圖案,該圖案之至少一部分形成具有不超過25nm之半間距之特徵。
TW104134973A 2014-10-23 2015-10-23 以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法 TWI690766B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462067552P 2014-10-23 2014-10-23
US62/067,552 2014-10-23
US201562119972P 2015-02-24 2015-02-24
US62/119,972 2015-02-24

Publications (2)

Publication Number Publication Date
TW201631377A TW201631377A (zh) 2016-09-01
TWI690766B true TWI690766B (zh) 2020-04-11

Family

ID=55761532

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104134973A TWI690766B (zh) 2014-10-23 2015-10-23 以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法
TW109108372A TWI715463B (zh) 2014-10-23 2015-10-23 以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW109108372A TWI715463B (zh) 2014-10-23 2015-10-23 以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法

Country Status (6)

Country Link
US (4) US10642153B2 (zh)
EP (4) EP3889159B1 (zh)
JP (4) JP6784670B2 (zh)
KR (5) KR102319630B1 (zh)
TW (2) TWI690766B (zh)
WO (1) WO2016065120A1 (zh)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
EP3889159B1 (en) 2014-10-23 2024-06-05 Inpria Corporation Organometallic solution based high resolution patterning compositions
KR102456965B1 (ko) * 2015-03-04 2022-10-21 도레이 카부시키가이샤 감광성 수지 조성물, 수지 경화막의 제조 방법 및 반도체 장치
GB201517273D0 (en) 2015-09-30 2015-11-11 Univ Manchester Resist composition
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
TWI721125B (zh) 2016-03-11 2021-03-11 美商因普利亞公司 預圖案化微影模版、基於使用該模版的輻射圖案化之方法及形成該模版之方法
WO2017163816A1 (ja) * 2016-03-24 2017-09-28 富士フイルム株式会社 感活性光線性又は感放射線性組成物、感活性光線性又は感放射線性組成物の製造方法、パターン形成方法、及び電子デバイスの製造方法
JPWO2017164018A1 (ja) * 2016-03-24 2018-11-08 富士フイルム株式会社 感活性光線性又は感放射線性組成物、感活性光線性又は感放射線性組成物の精製方法、感活性光線性又は感放射線性組成物の製造方法、パターン形成方法、及び電子デバイスの製造方法
WO2017170428A1 (ja) * 2016-03-31 2017-10-05 富士フイルム株式会社 電子材料製造用薬液の製造方法、パターン形成方法、半導体デバイスの製造方法、電子材料製造用薬液、容器、及び、品質検査方法
KR102610448B1 (ko) 2016-08-12 2023-12-07 인프리아 코포레이션 금속 함유 레지스트로부터의 에지 비드 영역의 금속 잔류물 저감방법
KR20190099428A (ko) * 2016-12-28 2019-08-27 제이에스알 가부시끼가이샤 감방사선성 조성물, 패턴 형성 방법 그리고 금속 함유 수지 및 그의 제조 방법
US10082736B2 (en) 2017-01-13 2018-09-25 International Business Machines Corporation Approach to lowering extreme ultraviolet exposure dose for inorganic hardmasks for extreme ultraviolet patterning
EP3367428A1 (en) 2017-02-23 2018-08-29 IMEC vzw Method for blocking a trench portion during patterning of trenches in a dielectric material, and corresponding semiconductor structure
WO2018168221A1 (ja) * 2017-03-13 2018-09-20 Jsr株式会社 感放射線性組成物及びパターン形成方法
WO2018173446A1 (ja) * 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
WO2018179704A1 (ja) * 2017-03-27 2018-10-04 Jsr株式会社 パターン形成方法
US10741410B2 (en) 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
CA2975104A1 (en) 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
TWI788434B (zh) * 2017-10-27 2023-01-01 日商東京威力科創股份有限公司 光罩圖案形成方法、記憶媒體及基板處理裝置
KR102634520B1 (ko) * 2017-11-20 2024-02-06 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
KR102226430B1 (ko) * 2017-12-19 2021-03-10 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
TWI778248B (zh) * 2018-04-05 2022-09-21 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
KR20230107905A (ko) * 2018-04-11 2023-07-18 인프리아 코포레이션 낮은 폴리알킬 오염물을 갖는 모노알킬 주석 화합물,이의 조성물 및 방법
US10787466B2 (en) * 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10381481B1 (en) 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
JP2021523403A (ja) * 2018-05-11 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation Euvパターン化可能ハードマスクを形成するための方法
KR102207893B1 (ko) * 2018-05-25 2021-01-25 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11972948B2 (en) 2018-06-13 2024-04-30 Brewer Science, Inc. Adhesion layers for EUV lithography
KR102536479B1 (ko) 2018-06-21 2023-05-24 인프리아 코포레이션 모노알킬 주석 알콕사이드 및 이들의 가수분해 및 축합 생성물의 안정적인 용액
KR102307977B1 (ko) * 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2020152610A1 (en) * 2019-01-23 2020-07-30 Ahdieh Amjadi Composite polymer/perovskite quantum dots luminescent material
US11498934B2 (en) * 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11966158B2 (en) * 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
US11720022B2 (en) 2019-02-12 2023-08-08 Samsung Electronics Co., Ltd. Resist compound, method of forming pattern using the same, and method of manufacturing semiconductor device using the same
KR20210134072A (ko) * 2019-04-12 2021-11-08 인프리아 코포레이션 유기금속 포토레지스트 현상제 조성물 및 처리 방법
US11609494B2 (en) 2019-04-30 2023-03-21 Samsung Sdi Co., Ltd. Semiconductor photoresist composition and method of forming patterns using the composition
US11327398B2 (en) * 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
US20220244645A1 (en) * 2019-06-26 2022-08-04 Lam Research Corporation Photoresist development with halide chemistries
CN114365044A (zh) 2019-06-27 2022-04-15 朗姆研究公司 用于光致抗蚀剂干式沉积的设备
TW202110863A (zh) 2019-07-22 2021-03-16 美商英培雅股份有限公司 有機金屬型金屬硫族化物簇及微影之應用
US11579531B2 (en) * 2019-09-25 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Organometallic cluster photoresists for EUV lithography
KR102446361B1 (ko) * 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102446362B1 (ko) 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102446360B1 (ko) * 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
KR102384231B1 (ko) * 2020-02-19 2022-04-07 삼성전자주식회사 레지스트 화합물, 이를 사용한 패턴 형성 방법, 및 이를 사용한 반도체 소자 제조 방법
JP2023516967A (ja) * 2020-02-27 2023-04-21 オレゴン ステイト ユニバーシティー スズベースのフォトレジスト組成物およびその作成方法
JP2023515693A (ja) 2020-03-02 2023-04-13 インプリア・コーポレイション 無機レジストパターニング用のプロセス環境
DE102020129681B4 (de) 2020-03-30 2023-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung einer halbleitervorrichtung
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
US11942322B2 (en) * 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
TWI817463B (zh) 2020-07-03 2023-10-01 美商恩特葛瑞斯股份有限公司 製備有機錫化合物的方法
KR102601038B1 (ko) 2020-07-07 2023-11-09 램 리써치 코포레이션 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
WO2022016126A1 (en) * 2020-07-17 2022-01-20 Lam Research Corporation Metal chelators for development of metal-containing photoresist
KR20230041749A (ko) * 2020-07-17 2023-03-24 램 리써치 코포레이션 유기 공-반응 물질들 (co-reactants) 을 사용한 건식 증착된 포토레지스트들
KR102586112B1 (ko) * 2020-09-14 2023-10-05 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US20220163889A1 (en) * 2020-11-20 2022-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metallic photoresist patterning and defect improvement
US11846886B2 (en) 2020-11-23 2023-12-19 International Business Machines Corporation Photoacid generator
KR102598259B1 (ko) * 2020-12-18 2023-11-02 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20230131941A (ko) * 2021-01-28 2023-09-14 엔테그리스, 아이엔씨. 유기주석 화합물을 제조하는 방법
WO2022164280A1 (ko) 2021-01-29 2022-08-04 주식회사 엘지화학 전극
US20220269169A1 (en) * 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
WO2022209950A1 (ja) * 2021-03-31 2022-10-06 株式会社日本触媒 高エネルギー線用レジスト組成物、高エネルギー線用レジスト組成物の製造方法、レジストパターン形成方法、及び半導体装置の製造方法
US20220365428A1 (en) * 2021-05-14 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist materials and associated methods
CN117651708A (zh) * 2021-06-18 2024-03-05 恩特格里斯公司 制备有机锡化合物的方法
CN117940853A (zh) 2021-09-27 2024-04-26 东京毅力科创株式会社 形成抗蚀图案的方法、制造半导体装置的方法、基板处理装置和存储介质
WO2023086682A1 (en) * 2021-11-15 2023-05-19 The Research Foundation For The State University Of New York Lithography compositions and methods for forming resist patterns and/or making semiconductor devices
KR102522001B1 (ko) * 2021-12-23 2023-04-20 전남대학교산학협력단 클러스터 화합물 또는 이의 염 및 이를 포함하는 포토레지스트 조성물

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3635883A (en) * 1970-05-07 1972-01-18 Stauffer Chemical Co Stabilized styrene-acrylonitrile polymer compositions
EP1992665A1 (en) * 2006-02-16 2008-11-19 Kaneka Corporation Curable composition
WO2010000504A1 (en) * 2008-06-30 2010-01-07 Interuniversitair Microelektronica Centrum Polymerisable compounds for making opto-electronic devices
CN102099364A (zh) * 2008-05-15 2011-06-15 阿克马法国公司 高纯度单烷基锡化合物及其用途
WO2014150411A1 (en) * 2013-03-15 2014-09-25 The Sherwin-Williams Company Curable compositions

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385915A (en) 1966-09-02 1968-05-28 Union Carbide Corp Process for producing metal oxide fibers, textiles and shapes
US3949146A (en) 1973-08-24 1976-04-06 Rca Corporation Process for depositing transparent electrically conductive tin oxide coatings on a substrate
US4014858A (en) * 1975-05-12 1977-03-29 Standard Oil Company Polybutylene terephthalate
US4174346A (en) * 1976-01-30 1979-11-13 Albright & Wilson Limited Process for preparing organotin compounds
JPS5331761A (en) * 1976-09-07 1978-03-25 Toray Silicone Co Ltd Thermosetting silicone resin composition
US4104292A (en) * 1976-11-02 1978-08-01 M&T Chemicals Inc. Method for preparing organotin compounds
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US4380559A (en) 1980-09-25 1983-04-19 Murata Manufacturing Co., Ltd. Method for producing boundary layer semiconductor ceramic capacitors
JPS57123126A (en) * 1981-01-23 1982-07-31 Adeka Argus Chem Co Ltd Stabilized allyl chloride composition
US4370405A (en) 1981-03-30 1983-01-25 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4639208A (en) 1984-04-03 1987-01-27 Matsushita Electric Industrial Co., Ltd. Pulse combustion apparatus with a plurality of pulse burners
US4601917A (en) 1985-02-26 1986-07-22 M&T Chemicals Inc. Liquid coating composition for producing high quality, high performance fluorine-doped tin oxide coatings
ZA852396B (en) * 1984-04-10 1985-11-27 M & T Chemicals Inc Liquid coating composition for producing high quality,high performance fluorine-doped tin oxide coating
US5025094A (en) 1985-07-10 1991-06-18 Union Carbide Chemicals And Plastics Technology Corporation Heterogeneous alkoxylation using anion-bound metal oxides
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
DE3738634C2 (de) 1986-11-13 1996-11-14 Sunstar Engineering Inc Epoxyharzmasse mit darin dispergierten Siliconharzteilchen
JPH07733B2 (ja) 1986-11-13 1995-01-11 サンスタ−技研株式会社 エポキシ樹脂組成物
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JP3128004B2 (ja) * 1991-03-28 2001-01-29 ジェイエスアール株式会社 放射線硬化性酸化スズ前駆体組成物
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
DE19606166A1 (de) 1996-02-20 1997-08-21 Basf Ag Verfahren zur Herstellung von Polymerisaten von Alkenen durch Suspensionspolymerisation
US5698262A (en) 1996-05-06 1997-12-16 Libbey-Owens-Ford Co. Method for forming tin oxide coating on glass
US5891985A (en) 1996-10-09 1999-04-06 E. I. Du Pont De Nemours And Company Soluble mono-alkyl stannoic acid catalyst and its use in preparing high molecular weight polyesters
US6183716B1 (en) 1997-07-30 2001-02-06 State Of Oregon Acting By And Through The State Board Of Higher Education Of Behalf Of Oregon State University Solution method for making molybdate and tungstate negative thermal expansion materials and compounds made by the method
DE19811934A1 (de) 1998-03-19 1999-09-23 Basf Ag Ethylencopolymere mit enger Comonomerverteilung
US6060380A (en) 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6020269A (en) 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6287951B1 (en) 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6197896B1 (en) 1999-07-12 2001-03-06 International Business Machines Corporation Graft polymers and use thereof
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
WO2001095690A1 (en) 2000-06-06 2001-12-13 Ekc Technology, Inc. Method of making electronic materials
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
KR100731945B1 (ko) 2000-12-28 2007-06-25 닛산 가가쿠 고교 가부시키 가이샤 도전성 산화 주석 막의 패터닝 방법
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6521295B1 (en) 2001-04-17 2003-02-18 Pilkington North America, Inc. Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
US6592998B2 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
KR20030057133A (ko) 2001-12-28 2003-07-04 삼성전자주식회사 금속 패턴 형성용 유기금속 전구체 및 이를 이용한 금속패턴 형성방법
JP4110952B2 (ja) 2002-01-16 2008-07-02 株式会社村田製作所 誘電体薄膜の形成方法
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6946677B2 (en) 2002-06-14 2005-09-20 Nokia Corporation Pre-patterned substrate for organic thin film transistor structures and circuits and related method for making same
DE10256084A1 (de) * 2002-11-29 2004-06-17 Crompton Gmbh Katalysatoren für die Herstellung von Polyestern, insbesondere Poly(alkylenterephthalaten), deren Verwendung und Verfahren zu deren Anwendung
KR100520961B1 (ko) 2003-05-30 2005-10-17 엘지전자 주식회사 인쇄회로기판의 제조방법
US6927108B2 (en) 2003-07-09 2005-08-09 Hewlett-Packard Development Company, L.P. Solution-processed thin film transistor formation method
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
US7001821B2 (en) 2003-11-10 2006-02-21 Texas Instruments Incorporated Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device
US7773365B2 (en) 2004-04-30 2010-08-10 Hewlett-Packard Development Company, L.P. Dielectric material
US7312165B2 (en) 2004-05-05 2007-12-25 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
CN102390590B (zh) * 2004-10-20 2016-02-10 威士伯采购公司 用于罐的涂料组合物和涂覆方法
US20060088962A1 (en) 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
KR100643570B1 (ko) 2005-06-28 2006-11-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP4699140B2 (ja) 2005-08-29 2011-06-08 東京応化工業株式会社 パターン形成方法
US8969865B2 (en) 2005-10-12 2015-03-03 Hewlett-Packard Development Company, L.P. Semiconductor film composition
JP2007178452A (ja) 2005-12-26 2007-07-12 Kao Corp 電子写真用トナーの製造方法
US7858819B2 (en) * 2006-06-09 2010-12-28 Honshu Chemical Industry Co., Ltd. Tris(formylphenyl) and novel polynuclear phenol derived therefrom
JP5362176B2 (ja) 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20080055597A1 (en) 2006-08-29 2008-03-06 Jie-Wei Sun Method for characterizing line width roughness (lwr) of printed features
JP2008091215A (ja) * 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
EP2078046A4 (en) 2006-11-01 2015-04-22 Oregon State SOLUTION TREATED THIN FILMS AND LAMINATES, DEVICES COMPRISING SUCH FILMS AND THIN LAMINATES, AND PROCESS FOR THEIR USE AND MANUFACTURE THEREOF
TWI334177B (en) 2007-03-29 2010-12-01 Nanya Technology Corp Method for forming a semiconductor device
US7799503B2 (en) 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
TWI471449B (zh) 2007-09-17 2015-02-01 Air Liquide 用於gst膜沈積之碲前驅物
US20090174036A1 (en) 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
KR100954541B1 (ko) * 2008-03-20 2010-04-23 한국화학연구원 신규의 주석 아미노알콕사이드 화합물 및 그 제조 방법
JP2010094583A (ja) 2008-10-14 2010-04-30 Nippon Soda Co Ltd 有機薄膜の製造方法
EP2342289B1 (en) * 2008-11-07 2014-10-29 DSM IP Assets B.V. Two component heat-curable powder coating composition comprising hydroquinone
GB2466486A (en) * 2008-12-23 2010-06-30 Dow Corning Moisture curable composition
FR2940294B1 (fr) 2008-12-23 2011-02-18 Michelin Soc Tech Nouveau systeme d'amorcage pour polymerisation anionique de dienes conjugues, procede de preparation d'elastomeres dieniques.
KR20110064153A (ko) 2009-12-07 2011-06-15 삼성전자주식회사 금속 유기 전구체, 이의 제조방법, 및 이를 이용한 전도성 금속막 또는 패턴 형성방법
US8366967B2 (en) 2010-02-22 2013-02-05 Inpria Corporation Metal chalcogenide aqueous precursors and processes to form metal chalcogenide films
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP2014502590A (ja) * 2010-12-08 2014-02-03 東レ・ダウコーニング株式会社 金属酸化物ナノ粒子の変性方法
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
DE102011089056A1 (de) * 2011-12-19 2013-06-20 Evonik Industries Ag Verfahren zur Herstellung von Polyestern
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9005875B2 (en) 2013-03-15 2015-04-14 Intel Corporation Pre-patterned hard mask for ultrafast lithographic imaging
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9778561B2 (en) * 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
WO2016043200A1 (ja) 2014-09-17 2016-03-24 Jsr株式会社 パターン形成方法
JP6572898B2 (ja) 2014-09-17 2019-09-11 Jsr株式会社 パターン形成方法
EP3889159B1 (en) 2014-10-23 2024-06-05 Inpria Corporation Organometallic solution based high resolution patterning compositions
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
JP2018017780A (ja) 2016-07-25 2018-02-01 Jsr株式会社 感放射線性組成物及びパターン形成方法
KR20190099428A (ko) 2016-12-28 2019-08-27 제이에스알 가부시끼가이샤 감방사선성 조성물, 패턴 형성 방법 그리고 금속 함유 수지 및 그의 제조 방법
WO2018123537A1 (ja) 2016-12-28 2018-07-05 Jsr株式会社 感放射線性組成物、パターン形成方法及び金属酸化物
EP3575872A4 (en) 2017-01-26 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION AND STRUCTURAL FORMING PROCESS
WO2018168221A1 (ja) 2017-03-13 2018-09-20 Jsr株式会社 感放射線性組成物及びパターン形成方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3635883A (en) * 1970-05-07 1972-01-18 Stauffer Chemical Co Stabilized styrene-acrylonitrile polymer compositions
EP1992665A1 (en) * 2006-02-16 2008-11-19 Kaneka Corporation Curable composition
CN102099364A (zh) * 2008-05-15 2011-06-15 阿克马法国公司 高纯度单烷基锡化合物及其用途
WO2010000504A1 (en) * 2008-06-30 2010-01-07 Interuniversitair Microelektronica Centrum Polymerisable compounds for making opto-electronic devices
WO2014150411A1 (en) * 2013-03-15 2014-09-25 The Sherwin-Williams Company Curable compositions

Also Published As

Publication number Publication date
KR20210013325A (ko) 2021-02-03
EP4050014A2 (en) 2022-08-31
US20220291582A1 (en) 2022-09-15
EP4050014A3 (en) 2022-12-14
KR20230156842A (ko) 2023-11-14
EP3230294B1 (en) 2021-06-30
TW202026749A (zh) 2020-07-16
US11500284B2 (en) 2022-11-15
US20210048745A1 (en) 2021-02-18
EP3889159A2 (en) 2021-10-06
KR20170074953A (ko) 2017-06-30
TW201631377A (zh) 2016-09-01
KR20210131448A (ko) 2021-11-02
JP2018502173A (ja) 2018-01-25
KR102264419B1 (ko) 2021-06-11
EP3230294A1 (en) 2017-10-18
TWI715463B (zh) 2021-01-01
KR102450113B1 (ko) 2022-09-30
JP6784670B2 (ja) 2020-11-11
JP2024063052A (ja) 2024-05-10
EP3865492A1 (en) 2021-08-18
EP3889159A3 (en) 2021-11-10
US11988959B2 (en) 2024-05-21
KR102319630B1 (ko) 2021-10-29
US11392029B2 (en) 2022-07-19
EP3230294A4 (en) 2018-06-27
KR20220138869A (ko) 2022-10-13
KR102600795B1 (ko) 2023-11-09
EP3889159B1 (en) 2024-06-05
JP7227205B2 (ja) 2023-02-21
WO2016065120A1 (en) 2016-04-28
US20200064733A1 (en) 2020-02-27
JP2023040027A (ja) 2023-03-22
JP2021021953A (ja) 2021-02-18
US20160116839A1 (en) 2016-04-28
US10642153B2 (en) 2020-05-05

Similar Documents

Publication Publication Date Title
TWI690766B (zh) 以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法
TWI783376B (zh) 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
JP6484631B2 (ja) 有機金属溶液に基づいた高解像度パターニング組成物
TWI843035B (zh) 用於形成有機錫層之裝置及方法