TWI721125B - 預圖案化微影模版、基於使用該模版的輻射圖案化之方法及形成該模版之方法 - Google Patents

預圖案化微影模版、基於使用該模版的輻射圖案化之方法及形成該模版之方法 Download PDF

Info

Publication number
TWI721125B
TWI721125B TW106108071A TW106108071A TWI721125B TW I721125 B TWI721125 B TW I721125B TW 106108071 A TW106108071 A TW 106108071A TW 106108071 A TW106108071 A TW 106108071A TW I721125 B TWI721125 B TW I721125B
Authority
TW
Taiwan
Prior art keywords
hard mask
stencil
patterned
radiation
layer
Prior art date
Application number
TW106108071A
Other languages
English (en)
Other versions
TW201801144A (zh
Inventor
傑森 K 斯托爾斯
安德魯 格倫維爾
Original Assignee
美商因普利亞公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商因普利亞公司 filed Critical 美商因普利亞公司
Publication of TW201801144A publication Critical patent/TW201801144A/zh
Application granted granted Critical
Publication of TWI721125B publication Critical patent/TWI721125B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

高蝕刻對比度材料提供使用具有模版硬質遮罩之預圖案化模版結構之基礎,該模版硬質遮罩具有週期性孔及在該等孔內之填充物,該填充物提供快速獲得藉由該模版及高蝕刻對比度抗蝕劑引導之高解析度圖案之基礎。本發明描述用於使用該預圖案化模版進行輻射微影(例如EUV輻射微影)之方法。此外,本發明描述用於形成該等模版之方法。本發明描述用於形成該等模版之材料。

Description

預圖案化微影模版、基於使用該模版的輻射圖案化之方法及形成該模版之方法
本發明係關於使用硬質遮罩材料及高蝕刻對比度輻射敏感性抗蝕劑之微影圖案化製程。本發明亦係關於適用於併入效率之預圖案化微影模版。
對於基於半導體之器件以及其他電子器件或其他複雜精細結構之形成,材料通常經圖案化以整合結構。因此,通常經由依序沈積之迭代製程及蝕刻步驟(圖案經由該等步驟由各種材料形成)來形成該等結構。以此方式,可將大量器件形成至較小區域中。此項技術中之一些發展可涉及減小器件之佔據面積,提高效能可為合乎需要的。 可將有機組合物用作輻射圖案化抗蝕劑以使得將輻射圖案用於更改與圖案對應的有機物組合物之化學結構。舉例而言,用於圖案化半導體晶圓之製程可需要自有機輻射敏感材料之薄膜微影轉印所需影像。抗蝕劑之圖案化通常涉及若干步驟,該等步驟包括將抗蝕劑暴露於所選能量源(諸如穿過遮罩)以記錄潛影,且接著顯影並移除所選抗蝕劑區域。對於正性抗蝕劑,暴露區域經轉換以使此類區域可選擇性地移除,而對於負性抗蝕劑,未暴露區域可更易於移除。 一般而言,可藉由輻射、反應氣體或液體溶液來顯影圖案以移除抗蝕劑之選擇性敏感部分,而抗蝕劑之其他部分充當保護性耐蝕刻層。液體顯影劑對顯影該潛影可尤其有效。可經由保護性抗蝕劑層之剩餘區域中之窗口或空隙選擇性地蝕刻基板。替代性地,可經由保護性抗蝕劑層之剩餘區域中之經顯影窗口或空隙將所需材料沈積至底層基板的經暴露區域中。最後,移除保護性抗蝕劑層。可重複該製程以形成經圖案化材料之額外層。可使用化學氣相沈積、物理氣相沈積或其他所需方法來沈積功能無機材料。可使用額外處理步驟,諸如沈積導電材料或注入摻雜劑。在微米及奈米製造之領域中,積體電路之特徵大小已變得極小以達成高整合密度並改良電路功能。
在第一態樣中,本發明係關於一種用於圖案化基板上之特徵的方法,該製程包含暴露步驟、顯影步驟及蝕刻步驟。在暴露步驟期間,使結構暴露於選擇性圖案化輻射。一般而言,該結構包含預圖案化模版結構上之輻射敏感層,該預圖案化模版結構包含在穿過塗佈該基板之表面之緩衝硬質遮罩層上的模版硬質遮罩材料的週期性圖案化空隙內的填充材料。在一些實施例中,該結構包含基板、在基板之表面上具有約2 nm至約250 nm之平均厚度的緩衝硬質遮罩層、在緩衝硬質遮罩層上與基板對置側之具有穿過模版硬質遮罩材料的空隙之週期性圖案的模版硬質遮罩材料、填充由模版材料之週期性圖案形成之空隙的填充材料,及輻射敏感性抗蝕劑層。輻射敏感層藉由選擇性圖案化輻射暴露以形成具有潛影之經暴露輻射敏感層。經暴露輻射敏感層經顯影以基於潛影形成具有圖案化層的圖案化結構。歸因於孔穿過圖案化層與填充材料重疊,可蝕刻圖案化結構以選擇性移除易受蝕刻製程影響之填充材料。 在另一態樣中,本發明係關於一種包含以下之圖案化模版:基板、在該基板之表面上具有約2 nm至約250 nm之平均厚度的緩衝硬質遮罩層、在緩衝硬質遮罩層上與基板對置側之具有穿過模版硬質遮罩材料的空隙之週期性圖案的模版硬質遮罩材料、填充由模版材料之週期性圖案形成之空隙的填充材料,及基於氧/氫氧化物之感光性無機組合物之層。緩衝硬質遮罩層可包含不同於基板之無機材料,且模版硬質遮罩材料可包含不同於緩衝硬質遮罩材料之材料,且填充材料可不同於模版硬質遮罩材料。在一些實施例中,緩衝硬質遮罩包含氮化鈦、氮化鉭、氮化矽或氧化矽。 在另一態樣中,本發明係關於一種用於形成圖案化模版之方法,該方法包含暴露、顯影、蝕刻及沈積步驟。在暴露步驟中,使以組成分層之堆疊的表面上之輻射敏感層暴露於週期性輻射圖案,其中該以組成分層之堆疊包含具有表面之基板、在該基板之表面上的緩衝硬質遮罩層及在緩衝硬質遮罩層上與基板對置側之模版硬質遮罩材料層。輻射敏感組合物、緩衝硬質遮罩層及模版硬質遮罩材料可具有不同的蝕刻特性。在一些實施例中,緩衝硬質遮罩層可具有約2 nm至約250 nm之平均厚度。輻射敏感性組合物可在暴露之後經顯影以形成圖案化暴露結構。圖案化暴露結構可經蝕刻以形成具有穿過模版硬質遮罩材料之孔的週期性圖案化模版材料,且填充材料可經由圖案化模版材料沈積於該等孔內,其中該填充材料相對於緩衝硬質遮罩層及模版硬質遮罩材料具有不同的蝕刻特性。
本申請案主張Stowers等人於2016年3月11日申請之題為「Pre-Patterning Lithography Templates, Processes Based on Radiation Patterning Using the Templates and Processes to Form the Templates」的美國臨時專利申請案62/306,979之優先權,該臨時專利申請案以引用之方式併入本文中。 高蝕刻對比度材料提供使用具有模版硬質遮罩之預圖案化模版結構之基礎,該模版硬質遮罩具有填充有填充材料之週期性空隙且提供快速獲得由模版及高蝕刻對比度抗蝕劑(諸如基於金屬氧/氫氧化物之輻射敏感抗蝕劑)引導之高解析度圖案的基礎。儘管使用具有週期性特徵及高蝕刻對比度材料之模版,但圖案化技術可用於基於模版解析度進行具有有效改良之所得圖案保真度的圖案化。圖案化製程可解構成形成高保真度週期性模版圖案且接著選擇個別特徵之步驟。實際上,模版可提供圖案之精製。在一些實施例中,模版可包含待最終圖案化之無機基板上的硬質遮罩緩衝層,其中該硬質遮罩緩衝層進一步為其他材料提供高對比度蝕刻。高對比度及物理上穩固的抗蝕劑亦可提供經由形成交叉影線圖案製造模版以導引孔形成的有效方法,如下文詳細地描述。此等製程可有效地併入至用於改良效率同時獲得高解析度、高保真度特徵之現有製造設施中。 整個處理包含形成模版及基於模版結構進行選擇性圖案化。本文之圖案化方法係基於一般在沿著形成模版之柵格形成週期性圖案的意義上進行的初始圖案化。特定言之,作為成像之一般原理,高度週期性圖案可經形成在比隨機結構更小之間距處具有更大保真度。因此,任何微影暴露工具可更接近其解析度極限地操作,同時藉由印刷高度週期性圖案產生較高品質特徵。由於成像系統經調整以曝光僅一個空間頻率而非對於創建隨機圖案所需之廣泛範圍之空間頻率為均衡的,此為可能的。替代地,基於處理之技術(諸如自對準雙重圖案化(SADP)或自對準四重圖案化(SAQP))可用於有效地間距倍增地良好形成寬鬆間距之週期性結構。此類技術應用於製造高品質週期性結構,但不適用於隨機圖案。然而,藉由首先創建高保真度模版,該模版可使用低輻射劑量導引對週期性柵格之非週期性(隨機)部分的選擇性圖案化以選擇該柵格之所要部分。此使得高解析度圖案基於由模版標記之一些柵格點。由於輻射敏感性抗蝕劑相對於構成模版之複數種材料提供差異蝕刻的可用性,對模版結構進行高解析度圖案化的能力係可能的,該複數種材料自身之間亦具有高對比度差異蝕刻。因此,存在可同時暴露在某些處理點處的三種材料,該等處理點可全部使用高對比度差異地可蝕刻。下文詳細地描述合適之材料。對於形成用於模版之高解析度孔,一些實施例類似地包括高對比度差異蝕刻。 模版可包含相對較高解析度週期性柵格,其經形成具有穿過硬質遮罩之孔的硬質遮罩。圖案化硬質遮罩中之孔一般填充有填充材料,該填充材料相對於圖案化硬質遮罩材料以及輻射抗蝕劑及底層硬質遮罩層提供高對比度差異蝕刻。底層硬質遮罩層可被稱作緩衝硬質遮罩層,其在底層基板表面上方提供緩衝層。因此,模版包含頂部硬質遮罩經圖案化且下部硬質遮罩未經圖案化的兩個硬質遮罩特徵。與穿過圖案化硬質遮罩之孔相對應的週期性柵格可以解析度高提供,使得後續特定圖案化可利用週期性柵格之高解析度。填充材料可沈積於所得孔中且在一些實施例中經平坦化以形成相對平坦的模版結構。特定言之,圖案化硬質遮罩/填充物結構定位於一般在基板上之緩衝硬質遮罩層上,該基板在加工之前可或可不經圖案化。緩衝硬質遮罩在蝕刻填充材料期間避免無意中蝕刻基板。在一些實施例中,為填充物形成高解析度圖案化孔涉及使用相對於彼此具有高蝕刻對比度的三種材料,包括輻射抗蝕劑。 在形成模版結構之後,模版可用於使用低解析度圖案化製程基於模版之週期性柵格的選擇性圖案化。高蝕刻對比度輻射敏感性抗蝕劑可塗佈於模版上方且經圖案化。圖案化輻射抗蝕劑可經提供用於根據重疊模版之規則圖案的所選圖案化來蝕刻模版之填充物。由於高蝕刻對比度,輻射抗蝕劑可以比模版結構低之解析度來圖案化同時提供對模版圖案之元素的選擇。因此,模版結構之解析度可朝前載運以供進一步加工,同時使用較低解析度進行選擇性圖案化步驟,該步驟可以更低輻射劑量及一般更快的加工速度進行。 使用基於輻射之微影處理高級材料可包括一或多個圖案化步驟。為形成複雜固態電路,總體上組裝許多圖案化結構層。本發明處理方法可適用於單一圖案化步驟或適用於涉及圖案化結構之多個層的更複雜圖案化內的一或多個圖案化步驟。基於更先進輻射之圖案化已包括達更高能量之輻射擴展,諸如極遠紫外(EUV)或針對更高解析度利用更小波長的電子束輻射。然而,使用此等較高能量輻射之處理相對於使用低能量UV光處理圖案目前涉及更長處理時間及更高的資本費用。已研發技術以自UV光處理放大圖案以降低解析度或間距,其中結果粗略地接近較高能量圖案化結果。本文所描述之處理方法可引入微影處理之額外效率,其可或可不以低圖案化能量進行同時獲得理想的解析度水準。 新類別之基於輻射之抗蝕劑已基於金屬氧化物化學試劑(金屬氧/氫氧化物組合物)使用輻射敏感性配位體研發以控制抗蝕劑之穩定性及可處理性。新的基於輻射之抗蝕劑的第一集合使用過氧化配位體作為輻射敏感性穩定配位體。基於過氧化之金屬含氧-氫氧化合物描述於(例如)美國專利9,176,377B2,Stowers等人之題為「Patterned Inorganic Layers, Radiation Based Patterning Compositions and Corresponding Methods」中,其以引用之方式併入本文中。相關抗蝕劑複合物論述於公開之美國專利申請案2013/0224652A1,Bass等人之題為「Metal Peroxo Compounds With Organic Co-ligands for Electron Beam, Deep UV and Extreme UV Photoresist Applications」中,該申請案以引用之方式併入本文中。有效類型之抗蝕劑已藉由如公開之美國專利申請案2015/0056542A1,Meyers等人,題為「Organometallic Solution Based High Resolution Patterning Compositions」中描述之烷基配位體顯影,該申請案以引用之方式併入本文中。雖然此等基於金屬氧/氫氧化物之抗蝕劑尤其合乎需要,但一些其他高效抗蝕劑可適用於一些實施例中。特定言之,所關注之其他抗蝕劑包括對模版、填充材料及緩衝硬質遮罩具有高蝕刻選擇性的彼等抗蝕劑。此等抗蝕劑可包括諸如金屬氧化物奈米粒子抗蝕劑之抗蝕劑(例如,Jiang, Jing;Chakrabarty, Souvik;Yu, Mufei;等人,「Metal Oxide Nanoparticle Photoresists for EUV Patterning」, Journal Of Photopolymer Science And Technology 27(5), 663-666 2014,其以引用之方式併入本文中),或其他含金屬抗蝕劑(A Platinum-Fullerene Complex for Patterning Metal Containing NanostructuresD.X. Yang, A. Frommhold, D.S. He, Z.Y. Li, R.E. Palmer, M.A. Lebedeva, T.W. Chamberlain, A.N. Khlobystov, A.P.G. Robinson, Proc SPIE Advanced Lithography, 2014,其以引用之方式併入本文中)。其他抗蝕劑描述於公開之美國專利申請案2009/0155546A1,Yamashita等人之題為「Film-Forming Composition, Method for Pattern Formation, and Three-Dimensional Mold」及美國專利6,566,276,Maloney等人之題為「Method of Making Electronic Materials」中,兩者皆以引用之方式併入本文中。 該等模版包含與待圖案化及併入至產品組件中之最終材料相對應的基板。合適之基板可包含一個或複數個層,且可包含(例如)矽晶圓及/或其他無機材料,其可或可不個別地圖案化。然而,通常任何合適之材料可提供耐受處理條件之可經圖案化的基板。當然,矽晶圓廣泛用於電子器件及其他半導體應用中。可對作為建立更複雜結構(諸如待用作具有複數個功能層之積體電路組件的圖案化材料)之部分的已圖案化結構進行本文中所描述之圖案化製程。類似地,用於形成產品之製程可涉及重複使用本文中之改良圖案化方法,其在本文中所描述之改良圖案化方法的實施之間可或可不具有不同的圖案化步驟。換言之,在使用模版方法完成圖案化製程之後,可立即或接著重複該程序從而形成相同或不同週期性比例之新模版結構,且基於後續引入之模版圖案進行選擇性圖案化製程。 使用模版,模版之週期性柵格提供對基於週期性柵格之所選部分的後續圖案化的導引。因此,模版結構提供用於作為週期性柵格之部分轉印至基板之可選圖案。為進行選擇性圖案化,輻射抗蝕劑層大體上沿著模版之頂部定位以提供輻射圖案化。輻射抗蝕劑材料相對於圖案化硬質遮罩材料及模版之填充材料兩者應具有差異蝕刻能力。輻射抗蝕劑接著可沿所選擇之圖案藉由照射圖案化以形成潛影及潛影之顯影(亦即,沿著輻射圖案或其負型,以及任一中間產物及顯影後之處理步驟移除材料)。在輻射抗蝕劑經物理圖案化之後,具有經圖案化抗蝕劑層之結構可在抗蝕劑圖案及底層填充物圖案之重疊點處經蝕刻。由於模版最終控制圖案化製程,故抗蝕劑之圖案化可以低解析度進行而不影響最終解析度及間距。圖案化抗蝕劑在此處理階段僅提供所選填充材料在圖案中的充分暴露以允許蝕刻所選填充材料。由於蝕刻可因差異蝕刻特性而相對強力地有效進行,故填充物可超出抗蝕劑之圖案經蝕刻且由抗蝕劑圖案與預圖案化硬質遮罩之重疊限制,此係由於填充材料相對於圖案化硬質遮罩及抗蝕劑兩者經差異地蝕刻。以此方式,視需要,可以抗蝕劑之低解析度圖案化來利用模版之高解析度。此外,所選擇之圖案化可更快速且使用低能量劑量進行,同時仍採用預圖案化模版之高解析度。模版結構及形成 模版提供基板該基板之(至少部分可併入至最終產品中)以及在基板上方呈預圖案化結構之形式的圖案化助劑。硬質遮罩層在預圖案化結構與基板之間提供緩衝劑,該緩衝劑促使維持預圖案化結構之高解析度圖案化(如後續部分中所描述),且避免對基板進行初步處理步驟。預圖案化組態定位於週期性柵格上,該週期性柵格可有助於以高解析度形成圖案以及提供後續處理所需之圖案化靈活性。模版結構可組裝於所選擇之基板上。特定言之,在基板之任一初步製備後,緩衝硬質遮罩層可位於基板上方。接著,用於預圖案化之模版硬質遮罩層可位於緩衝硬質遮罩上方的結構上。輻射敏感性光致抗蝕劑接著可沈積於模版硬質遮罩上方,且隨後可進行光致抗蝕劑之後續圖案化以導引模版硬質遮罩層沿週期性柵格之蝕刻。描述用於提供週期性孔之幾個程序,尤其包括用於獲得孔之高品質較小特徵圖案的有效處理方法,該方法涉及形成交叉影線抗蝕劑圖案。交叉影線抗蝕劑圖案可經由使用基於金屬氧/氫氧化物之抗蝕劑組合物有效地形成,該等抗蝕劑組合物對於圖案化製程而言尤其穩定。交叉影線抗蝕劑圖案引導形成可具有理想較小間距及良好邊緣光滑度的孔。儘管使用交叉影線圖案之此圖案化可提供理想的結果,但亦設想替代性方法。預圖案化步驟大體上保留基本上完整之緩衝硬質遮罩,同時將模版硬質遮罩層轉換成圖案化硬質遮罩層。填充物接著可穿過預圖案化硬質遮罩置放於該等孔或空隙中以形成完整的模版結構。拋光或其他方法可用於移除在圖案化硬質遮罩上方延伸之填充材料,其可準備將模版用於如在後續部分中所描述的所選圖案化。 參看圖1,模版100包含基板102、緩衝硬質遮罩104、圖案化硬質遮罩106及填充物108。如上文所提及,通常基板102可包含可經受本文中所描述之圖案化之處理條件的任何合理材料。在沈積填充物108之後,表面可平坦化,如下文所論述。平坦化實施例展示於圖2(橫截面)及3(俯視圖)中,其中移除在圖案化硬質遮罩上之所有或大體上所有填充材料。 基板102可包含複數個層,其中該表面係關於通常平坦或接近平坦的結構之頂部。在一些實施例中,可處理基板表面以針對如本文中描述之進一步處理準備表面。舉例而言,可按需要清潔及/或平滑化基板表面。合適之基板表面可包含任何合理的材料。舉例而言,對於電路處理,製成品沿著堆疊之若干層可具有功能性圖案化層。因此,將本文中描述之處理用於此類應用,「基板」可或可不具有更複雜的結構,諸如在相關圖案化步驟之前已組裝的具有圖案化層的結構。基板之任何預圖案化可或可不涉及本文中所描述之改良處理。 一些基板可包含(例如):矽晶圓、二氧化矽基板、其他無機材料(諸如陶瓷材料)、聚合物基板(諸如有機聚合物)、跨越表面及/或在基板之層中的其複合物及其組合。儘管可使用任何合理的成形結構,但晶圓(諸如相對較薄的圓柱形結構)可至少方便的用於基板之基底。對於某些應用而言,非聚合物結構上之聚合物基板或具有聚合物層之基板基於其低成本及可撓性可為所需的,且可基於可用於處理本文中所描述之可圖案化材料的相對較低處理溫度來選擇適合的聚合物。適合的聚合物可包括(例如):聚碳酸酯、聚醯亞胺、聚酯、聚烯烴、其共聚物及其混合物。一般而言,基板具有平坦表面可為合乎需要的,尤其對於高解析度應用而言。 緩衝硬質遮罩104經設計以相對於圖案化硬質遮罩材料及填充材料以及獨立地對於基板材料提供同時的差異蝕刻。對於如本文中所描述之備受關注的材料,氮化鈦、氮化鉭或氮化矽可經識別為用於緩衝硬質遮罩104之合適材料,儘管陶瓷氧化物(諸如氧化矽或其組合(諸如氮氧化矽))可與剩餘材料之適當選擇一起使用。緩衝硬質遮罩層可具有不超過約1微米,在其他實施例中約2 nm至約100 nm,在額外實施例中約3 nm至約50 nm且在其他實施例中約4 nm至約20 nm的平均厚度。一般熟習此項技術者應認識到,以上明確範圍內之緩衝硬質遮罩層厚度的其他範圍亦經預期且在本發明內。 圖案化硬質遮罩106可包含提供所需蝕刻特性之合適材料。用於圖案化硬質遮罩106之合適材料包括(例如)氮化鉭、氮化鈦、氮化矽、氧化矽或其類似物,其限制條件為緩衝劑硬質遮罩104及圖案化硬質遮罩106兩者皆由不同材料形成。圖案化硬質遮罩層可具有不超過約3微米,在其中實施例中約10 nm至約500 nm且在額外實施例中約20 nm至約200 nm之平均厚度。圖案化硬質遮罩106之厚度經調整以提供充分抗蝕刻性以實現對緩衝硬質遮罩104的高保真度蝕刻。雖然圖案化硬質遮罩106與緩衝硬質遮罩104之厚度比可根據實施選擇,但比率可在2:1與50:1之間,且在其他實施例中在5:1與20:1之間。一般熟習此項技術者將認識到,圖案化硬質遮罩層厚度之額外範圍及以上明確範圍內之比率經預期且在本發明內。 對於填充物108材料,合適之材料可包括(例如)富含碳之組合物或矽石玻璃組合物或有機矽酸鹽。富含碳之組合物可為(例如)旋塗碳或其他碳沈積之材料,諸如CVD沈積之碳。旋塗碳(SoC)大體上係指可沈積為液體之具有高碳含量的組合物且大體上包含(例如)高碳含量聚合物或分子(諸如富勒烯),且市售為Irresistible Materials, Ltd,UK之旋塗碳。在一些實施例中,SoC組合物可包含按乾燥塗佈組合物重量計至少約50 wt%之碳,在其他實施例中約60 wt%至約99.5 wt%之碳且在額外實施例中約70 wt%至約99 wt%之碳。SoC組合物亦可包含按乾燥塗佈組合物重量計低量之氫,諸如在一些實施例中不超過約10 wt%之氫,在其他實施例中約0.010 wt%至約5 wt%且在額外實施例中約0.020 wt%至約3 wt%之氫。一般熟習此項技術者應認識到,以上明確範圍內之額外組合物範圍經預期且在本發明內。SoC材料可購自JSR Corp.(日本)。亦參見(例如)美國專利9,102,129B2,Krishnamurthy等人之題為「Spin-on-Carbon Compositions for Lithographic Processing」,其以引用之方式併入本文中。旋塗碳材料可使用合適塗佈製程塗佈且可(例如)藉由加熱乾燥。CVD碳層沈積描述於(例如)公開之美國專利申請案2007/0037014,Nagata之題為「Method of Forming a Protective Film and a Magnetic Recording Medium Having a Protective Film Formed by the Method」中,該申請案以引用之方式併入本文中。 矽石玻璃組合物可為(例如)旋塗式玻璃或CVD沈積之矽石。旋塗式玻璃為矽類純無機組合物或大體上經由加熱後分解反應而反應以形成矽石玻璃之有機/無機組合物。旋塗式玻璃材料可購自(諸如) Desert Silicon (美國亞利桑那州)。旋塗式玻璃組合物可包含合適之有機溶劑(諸如醚或芳族溶劑)中之聚矽氮烷聚合物,且聚矽氮烷聚合物可在氧氣氛圍下固化以形成氧化矽。用於旋塗式玻璃之聚矽氮烷組合物描述於美國專利7,270,886,Lee等人之題為「Spin-On Glass Composition and Method of Forming Silicon Oxide Layer Semiconductor Manufacturing Process Using the Same」中,其以引用之方式併入本文中。具有聚有機矽氧烷之旋塗式玻璃調配物描述於美國專利5,302,198,Allman之題為「Coating Solution for Forming Glassy Layers」中,其以引用之方式併入本文中。基於合適矽石之溶膠-凝膠組合物為此項技術中已知的且可用作旋塗式玻璃組合物。舉例而言,用於形成矽石玻璃材料之溶膠-凝膠組合物描述於公開之美國專利申請案2002/0157418,Ganguli等人之「Process for Reducing or Eliminating Bubble Defects in Sol-Gel Silica Glass」中,該申請案以引用之方式併入本文中。旋塗式玻璃組合物可藉由加熱在含氧氛圍中固化以形成矽石玻璃。矽石玻璃通常在使用化學氣相沈積(CVD)或此項技術中已知之其他製程(諸如燃燒水解)的各種情況下沈積。舉例而言,基於電漿增強CVD (PECVD)形成摻雜有Ge、P及B之矽石玻璃以用作光學電信器件之頂部包覆層描述於美國專利7,160,746,Zhong等人之題為「GeBPSG Top Clad for a Planar Lightwave Circuit」中,其以引用之方式併入本文中。 圖案化硬質遮罩具有穿過圖案化硬質遮罩材料之填充有填充物108材料的孔。該等孔大體上週期性定位以使得其可適用於一系列後續應用。該等孔之大小及該等孔之間距可由處理限制定義。一般而言,該等孔可具有任何合理的橫截面形狀,諸如基於所選圖案化方法的環形或方形,如下文進一步描述。儘管用以形成該等孔之蝕刻製程可引入一些失真,但該等孔可相應地具有大致圓柱體形狀、長方體形狀或基於截面之其他對應形狀。該等孔之平均直徑(若非環形,其可評估為穿過橫截面之中心的邊緣至邊緣距離之平均值)可不超過約500 nm,在另外的實施例中不超過約250 nm且在其他實施例中約5 nm至約125 nm。一般熟習此項技術者將認識到,上述明確範圍內之孔直徑之額外範圍經預期且在本發明內。可考慮到層厚度選擇孔之直徑,以使得孔之縱橫比(深度除以直徑)不太大以使得孔之填充及其他加工步驟不受阻。 孔之圖案通常為週期性的以提供加工效率同時亦提供對一系列後續應用之可調適性。可根據沿著基板之平面的兩個尺寸配置週期性。儘管具有提供對稱性之大致均等的週期性,但週期在正交方向上可或可不相同。如本文中所使用,間距指定為上下文中之孔或其他特徵之間的中心至中心的距離。該等孔可具有不超過約500 nm,在另外的實施例中不超過約250 nm且在其他實施例中約10 nm至約125 nm的間距。一般熟習此項技術者將認識到,上述明確範圍內之間距之額外範圍經預期且在本發明內。 一般而言,可合乎需要的係拋光模版以基本上或完全移除圖案化硬質遮罩上方之任何填充材料以形成平坦化結構。形成平坦化結構大體上有助於且改善額外處理,但硬質遮罩材料之表面上的少量填充殘餘物不應隨之產生。參見圖2,模版120包含基板122、緩衝硬質遮罩124、圖案化硬質遮罩126及填充材料128。用於此等結構之材料及參數範圍符合對上述模版100之對應結構所論述之彼等,且在此處不明確地重複。參見圖3,展示俯視圖。可進行蝕刻以使表面平面化從而形成圖2之結構,且下文就可移除填充材料同時留下基本上完整的圖案化硬質遮罩材料之蝕刻功能而言描述合適之蝕刻劑。然而,蝕刻可自該等孔移除一些填充材料。由於該等材料之性質,化學機械平坦化或拋光(CMP)可有效地用於使圖1之結構平面化以形成圖2之平坦化結構。用於半導體級別平坦化之CMP系統可購自(例如)Cabot Microelectronics (US)或Logitech (UK)。合適之化學漿料可用於CMP製程中,且此等化學漿料可選自用以提供選擇性平坦化特性之一系列市售漿料。 對於形成模版之處理,基板可按需要製備,且接著藉由適當處理組裝模版。存在用以引入表徵模版之孔的各種方法。用於形成該等孔之處理方法有助於判定該等孔之品質,包括間距、大小及光滑度。首先,描述目前可不適用於較小、較低間距孔之直接方法,但對於完整性描述簡單直接的方法。在描述直接方法之後,描述提供有效、高品質處理之處理方法,其針對較小特徵可根據基於金屬氧/氫氧化物之抗蝕劑的特性有效地進行。接著,將先前使用之用於基於溝槽填充形成小間距高品質孔之製程藉由適當調適概述且與其他兩種方法相比較。 圖4至圖6示意性地概述材料之建立以在圖案化之前形成模版之基礎堆疊結構。如圖4中所展示,緩衝硬質遮罩層152可沈積於經製備基板150上。如圖5中所展示,模版硬質遮罩層154可類似地沈積於緩衝硬質遮罩層152之上表面上。在此處理階段,模版硬質遮罩層154未經圖案化。適用於沈積緩衝劑硬質遮罩層152或模版硬質遮罩層154之方法可包括例如化學氣相沈積(CVD)或物理氣相沈積(PVD),諸如濺鍍,且可針對硬質遮罩層沈積獨立地選擇。可使用其他合適之沈積技術,諸如低壓CVD、電漿增強CVD或其他合適之變體。此類沈積設備在該技術領域中廣泛使用且相關技術為一般熟習此項技術者所熟知。因此,可使用兩個依序沈積步驟來沈積第一緩衝硬質遮罩層152及隨後的模版硬質遮罩層154,之後圖案化以形成圖案化硬質遮罩層。接著,參見圖6,將可輻射圖案化抗蝕劑156沈積於模版硬質遮罩層154上方。 模版之孔的形成可為製程中之重要步驟,此係由於若形成具有期望的較小間距及相對較高光滑度之特徵的孔,則整個程序可更適用於一些實施例。該等特徵之週期性可有助於有效孔形成。如上文所提及,論述用於孔形成之幾種方法。首先,描述用於孔形成之直接方法,且可將其視為簡單實施例以為在下文中描述之更有效方法提供背景。 直接圖案化方法概述於圖7至圖9中,其中輻射抗蝕劑直接經圖案化以用於孔形成。在一些實施例中,抗蝕劑組合物可暴露於合適之圖案化輻射,諸如UV輻射、極遠UV、電子束輻射或其類似物,以形成在圖7中以虛線指示之虛擬影像或潛影158。接著可經由濕式蝕刻或乾式蝕刻顯影輻射圖案化結構以移除潛影158之一部分162,從而留下圖8中之圖案化抗蝕劑層160。 合適之顯影方法之實例可取決於如下文中進一步描述所使用之特定抗蝕劑。對於正性抗蝕劑,暴露區域經轉變以使此類區域可選擇性地移除,而對於負性抗蝕劑,未暴露區域可更易於移除。合適之抗蝕劑組合物包括(例如)市售抗蝕劑,諸如聚(甲基丙烯酸甲酯)、聚(甲基戊二醯亞胺)、DNQ/酚醛清漆(與酚-甲醛樹脂摻合之重氮萘醌)、SU-8 (酚醛清漆-環氧樹脂)、用於電子束及EUV圖案化之倍半矽氧烷化合物,及其類似物。使用此等抗蝕劑之處理為沿用已久的,且大體上習知之顯影劑(諸如稀釋鹼水溶液)可適用於使潛影顯影。新類別之輻射抗蝕劑已藉由申請人基於穩定金屬氧化物研發,其中針對受控穩定性及可處理性引入輻射不穩定配位體。此等輻射抗蝕劑在遠紫外輻射(例如,193 nm)及極遠紫外(例如,13.5 nm),以及電子束下具有良好吸收率。第一類別之此等抗蝕劑為金屬氧/氫氧化過氧化物錯合物。此等抗蝕劑詳細地描述於美國專利8,415,000B2,Stowers等人之題為「Patterned Inorganic Layers, Radiation Based Patterning Compositions And Corresponding Methods」中,其以引用之方式併入本文中。其他已描述關於'000專利之化合物的變體,參見公開之美國專利申請案2013/0224652A1,Bass等人之題為「Metal Peroxo Compounds, with Organic Co-ligands for Electron Beam, Deep UV, Extreme UV Photoresist Applications」中,該申請案以引用之方式併入本文中。基於具有烷基配位體之金屬氧/氫氧化物化合物的另一新類別之輻射抗蝕劑描述於公開之美國專利申請案2015/0056542A,Meyers等人之題為「Organometallic Solution Based High Resolution Patterning Compositions」及同在申請中之美國專利申請案15/291,738,Meyers等人之題為「Organotin Oxide Hydroxide Patterning Compositions, Precursors, And Patterning」中,該等申請案兩者皆以引用之方式併入本文中。 一般而言,金屬氧/氫氧化物輻射敏感性組合物可使用合適之溶液塗佈技術沈積,諸如旋塗、噴塗、刀口塗佈或一般熟習此項技術者已知之其他方法。沈積輻射敏感性金屬氧/氫氧化物組合物之其他方法可包括氣相沈積。儘管可存在邊緣效應,但經由旋塗之沈積可為均一覆蓋基板之適宜方法。在一個實施例中,可使用基於烷錫之組合物。在另一實施例中,可使用金屬過氧化組合物,諸如美國專利8,415,000B2,Stowers等人之題為「Patterned Inorganic Layers, Radiation Based Patterning Compositions And Corresponding Methods」中描述之鉿及鋯組合物。可有效地使用對所選輻射具有適宜吸收率的其他含金屬之組合物。將該等液體塗覆在合適之負載處以在乾燥之後提供所需的層厚度。前驅體液體之濃度可調整為另一參數以控制塗層特性、前驅體液體之流變性及乾燥塗層之厚度。在一些實施例中,前驅體溶液可包含約0.01 M至約1.4 M呈完全溶合形式之金屬離子,在其他實施例中約0.05 M至約1.2 M,且在額外實施例中約0.1 M至約1.0 M呈完全溶合形式之金屬離子。一般熟習此項技術者將認識到,上述明確範圍內之金屬陽離子之額外範圍經預期且在本發明內。 對於基於含有金屬過氧化物之組合物的實施例,前驅體溶液可包含足夠輻射敏感性配位體以使得溶液具有為至少約2且在一些實施例中為至少約5的輻射敏感性配位體與金屬陽離子之莫耳濃度比率。對於基於烷基配位體之實施例,前驅體塗佈溶液可包含足夠的輻射敏感性烷基配位體以使得溶液具有輻射敏感性配位體與金屬陽離子(例如,Sn)之莫耳濃度比率為約0.1至約2。此範圍中之配位體比率可藉由以適當化學計量之SnX4 、RSnX3 或R2 SnX2 前驅體之水解來製備,經受前驅體穩定性及可溶性的限制。一般熟習此項技術者應認識到,以上明確範圍內之額外配位體範圍將預期且在本發明內。由前驅體溶液形成之塗層受前驅體溶液中之離子之配位體結構的影響且可為在乾燥之後的金屬周圍之等量配位體結構或配位體結構可在塗佈及/或乾燥處理期間改變。塗層一般亦受暴露於輻射影響以實現圖案化功能。金屬離子一般鍵結至氧/氫氧化配位體。一般而言,塗層可由調配物(R)z MO2 - z / 2 - x / 2 (OH)x (0 < (x+ z) < 4)表示,其中R為過氧化基團、具有3至31個碳原子之烷基或環烷基,其中烷基或環烷基鍵結至金屬(例如,錫)。在一些實施例中,可合乎需要的係使用分支鏈烷基配位體及/或烷基配位體的混合物,如同在申請中之美國專利申請案14/920,107,Meyers等人之題為「Organometallic Solution Based High Resolution Patterning Compositions and Corresponding Methods」中所描述,蓋申請案以引用之方式併入本文中。 在塗佈之後,抗蝕劑塗層通常經乾燥以移除溶劑,其可或可不包括加熱。在一些實施例中,輻射抗蝕劑可經歷照射後加熱步驟,其通常足夠溫和以避免使未經照射材料充分氧化至金屬氧化物。對於使用照射後熱處理之實施例,可在約45℃至約250℃、在額外實施例中約50℃至約190℃且在其他實施例中約60℃至約175℃之溫度下進行照射後熱處理。暴露後加熱通常可進行至少約0.1分鐘、在其他實施例中約0.5分鐘至約30分鐘且在額外實施例中約0.75分鐘至約10分鐘。一般熟習此項技術者將認識到,上述明確範圍內之照射後加熱溫度及時間之額外範圍經預期且在本發明內。 具有過氧化配位體之金屬氧/氫氧化物組合物通常可用作負性抗蝕劑。舉例而言,未經照射之塗佈材料可藉由包含酸水溶液或鹼水溶液之顯影劑移除。因此,季銨氫氧化物組合物(諸如四乙基銨氫氧化物、四丙基銨氫氧化物、四丁基銨氫氧化物或其組合)作為顯影劑係合乎需要的。具有烷基配位體之金屬氧/氫氧化物組合物可用作正性抗蝕劑或用作負性抗蝕劑。特定言之,未經照射之材料可溶於有機溶劑中,且經照射之材料可溶於酸水溶液及鹼水溶液中,以使得經選擇以溶解潛影之部分的溶劑導引顯影劑選擇。 參見圖7,在使潛影158顯影之後,移除潛影之一部分162以暴露模版硬質遮罩層154之部分作為物理圖案化結構之部分。抗蝕劑之物理圖案允許蝕刻模版硬質遮罩層154以形成圖案化硬質遮罩164。具有圖案化抗蝕劑層160之圖案化硬質遮罩164展示於圖8中。對於蝕刻所需硬質遮罩材料,通常使用電漿蝕刻。舉例而言,可針對TiN硬質遮罩使用基於BCl3 之電漿、針對SiO2 硬質遮罩使用基於CF4 之電漿,或針對Si3 N4 硬質遮罩使用基於SF6 /O2 之電漿來進行電漿蝕刻。 在蝕刻以形成圖案化硬質遮罩164之後,可使用合適之蝕刻移除圖案化抗蝕劑層160。一般而言,儘管可使用任何合適之蝕刻,但濕式蝕刻可用於移除圖案化抗蝕劑層160。所得結構展示於圖9及圖10中。參看圖9中之俯視圖,描繪穿過圖案化硬質遮罩164之孔166 (使用參考編號標記僅代表性孔)。 接著用填充材料填充孔166。雖然原則上各種技術可用於遞送填充材料前驅體組合物,但旋塗、槽縫式塗佈或其類似者為用以遞送填充材料前驅體組合物的顯著的合適方法。在沈積該等填充材料前驅體組合物之後,可加熱該結構以移除溶劑且可能地固化材料。尤其對於基於碳之材料,可在缺氧氛圍(諸如過量氮或氬)下進行加熱。可在約55℃至約275℃之溫度下進行加熱。一般而言,在將該等材料轉換成具有經不合需要改變之蝕刻特性之組合物的溫度下不進行加熱。使用蝕刻、化學機械拋光或其類似者之平坦化可在任何焙烤步驟之前或之後進行。在加工以固化填充材料之後,若已進行平坦化步驟則形成圖2之模版結構,或若未進行平坦化步驟則形成圖1之結構。 形成該等孔之處理在上文示意性地描述於直接輻射抗蝕劑圖案化、顯影及圖案轉印至硬質遮罩的上下文中,如自圖6中之結構至圖9及圖10中之結構的轉換。然而,為形成高品質、較小間距的孔,更複雜的程序通常可為適用的。用於週期性孔形成之有效圖案化方法描述於本文中。雖然通常論述此處理,但可藉由新一代抗蝕劑(諸如上文概述之由申請人研發的基於金屬氧化物之抗蝕劑)獲得顯著改良之結果。相比於在下文論述考慮中所描述之本發明處理方法,稍微類似的處理方法概述於美國專利申請案2015/0253667A1,Bristol等人(在下文稱為Bristol申請案)之題為「Pre-Patterned Hard Mask for Ultrafast Lithographic Imaging」之圖4a至圖4f的上下文中,該申請案以引用之方式併入本文中。 用於孔形成之適宜方法係基於使用最近研發之金屬氧/氫氧化物抗蝕劑組合物且解釋於下文中,該組合物由於抗蝕劑之耐久性使得形成柵格結構。首先,週期性條帶圖案可相對快速地形成為具有良好特徵特性之潛影。接著可將抗蝕劑之第二塗層塗覆於潛影上方而不顯影該影像。接著可使第二塗層在與具有或不具有相同週期性且具有良好解析度及特徵特性之週期性條帶大致正交的方向上類似地圖案化,該步驟可快速地進行。潛影之交叉影線圖案經顯影以在重疊潛影之位置處將下降至底層材料之抗蝕劑移除。重疊潛影形成大體為長方體的孔,但亦可為1-D或2-D週期性圖案之其他陣列。在繼續圖案化製程之前可或可不進一步處理剩餘抗蝕劑。在抗蝕劑顯影之後,模版硬質遮罩可經蝕刻以在模版硬質遮罩層中形成孔從而形成圖案化硬質遮罩層。接著,可移除剩餘抗蝕劑。在詳細論述此方法之後,概述用於孔形成之額外已知技術。 特定言之,在用於孔形成之此方法中,沿著輻射抗蝕劑之兩個大致正交方向之週期性圖案化可用於使模版硬質遮罩層圖案化,且此有效處理方法概述於圖11至圖15中。具有此項技術之抗蝕劑之高解析度狀態的交叉影線抗蝕劑圖案展示於'000專利之圖16中,且此結構可適用於孔形成。參見圖11,展示具有輻射抗蝕劑之頂部層的最初經照射之結構200的俯視圖,該輻射抗蝕劑具有在整個表面帶有交替條帶202、204的條帶狀潛影圖案。圖12之橫截面視圖展示各層與基板206、緩衝硬質遮罩層208及在具有形成潛影之條帶202、204之圖案化輻射抗蝕劑下方的模版硬質遮罩210的關係。 在不使形成具有正交條帶202、204之潛影顯影的情況下,輻射抗蝕劑之另一層塗佈於結構之頂部上且藉由輻射圖案化,其中條帶大致與圖11之原始條帶正交。所得結構之俯視圖展示於圖13中,且截面視圖展示於圖14及圖15中。圖案化輻射抗蝕劑之頂部層之潛影形成條帶220、222。虛線展示於圖13中以展示由條帶202、204形成之底層圖案。每一潛影圖案可包括與經照射及未經照射之條帶對應的所選條帶。正性抗蝕劑或負性抗蝕劑在上文列出,以使得該等潛影之顯影移除圖案之所選條帶。不管相對於抗蝕劑之色調所選擇之系統,該等堆疊式潛影之顯影僅在該等影像之重疊部分224處移除下降至模版硬質遮罩210之影像,以使得顯影劑移除潛在圖案化抗蝕劑之兩個層。為避免圖13過載參考編號,僅將圖13之一個代表性部分標註為224,但在條帶222與條帶204重疊的情況下,同樣地應用重疊部分。所得交叉影線圖案在該等重疊部分處形成孔。 經顯影之圖案展示於圖16至圖18中。圖16中之俯視圖展示條帶222已藉由顯影劑移除之情況下的溝槽230及在重疊顯影劑之位置處的孔232。此外,為簡化圖式,儘管圖式中展示25個孔,但僅一個代表性孔232藉由參考編號標記。在圖17之截面視圖中,結構之頂部係沿著溝槽230,且孔232將模版硬質遮罩210之部分暴露至表面。參看圖18中之截面視圖,展示孔232延伸穿過圖案化抗蝕劑之兩個層202、220。 圖16至圖18中之結構提供用於蝕刻模版硬質遮罩210之蝕刻圖案。模版硬質遮罩210可如上文所描述經電漿蝕刻以將抗蝕劑之圖案轉印至模版硬質遮罩210中。所得經蝕刻結構展示於圖19中,其具有與圖18類似的視圖。參見圖19,孔240向下延伸穿過抗蝕劑層202、220且穿過圖案化硬質遮罩242至緩衝硬質遮罩層208。在蝕刻模版硬質遮罩210以形成圖案化硬質遮罩242之後,通常可藉由適當之濕式蝕刻移除剩餘圖案化抗蝕劑。所得圖案化結構250展示於圖20及圖21中。圖案化結構250具有自表面延伸穿過圖案化硬質遮罩達至緩衝硬質遮罩層208的孔252。填充材料可在經平坦化或不經平坦化以形成圖1至圖3之結構的情況下與圖案化結構250相關聯。上文描述用於填充材料之合適的沈積製程及用作填充物材料之組合物。 不管用於進行圖案化之輻射可圖案化抗蝕劑,技術已經研發以擴增解析度,亦即,本質上減小特徵大小。此擴增技術可有效地用於減小用於後續處理之圖案大小。舉例而言,使用置放於輻射圖案化特徵內之嵌段共聚物的技術描述於Bristol等人之題為「Pre-Patterned Hard Mask for Ultrafast Lithographic Imaging」之美國專利9,005,875B2中,其以引用之方式併入本文中。類似方法可用於減少該等特徵(例如,圖11至圖21中所展示之處理中的該等溝槽204、230)之大小。 Bristol專利中之第一孔形成程序包括溝槽之形成及基於溝槽內之圖案擴增,如Bristol之圖2a至圖2n之內容中所描述。在Bristol之方法中,提出使用基於輻射之微影所形成之孔的規則圖案填充有嵌段共聚物,諸如具有聚苯乙烯嵌段、丙烯酸酯嵌段、聚甲基丙烯酸烷基酯嵌段,諸如苯乙烯-b-丁二烯嵌段之共聚物嵌段的嵌段共聚物,或其類似物。該概念為該等嵌段共聚物將填充物定向於硬質遮罩之孔隙中以形成具有與填充物之剩餘組合物不同之化學組合物的核心。Bristol描述藉由旋塗沈積共聚物且在200℃至260℃下將嵌段共聚物退火。為移除內部嵌段,Bristol描述將嵌段共聚物暴露於輻射以根據聚合物之嵌段結構使孔圖案化。Bristol之此處理包括提供自第一抗蝕劑至支撐第二嵌段共聚物抗蝕劑之頂部硬質遮罩層的圖案轉印的附加硬質遮罩層。接著將第二抗蝕劑(嵌段共聚物)之圖案轉印至接受該等孔之圖案的較低圖案化硬質遮罩以供進一步處理。移除抗蝕劑及頂部硬質遮罩以供進一步處理。 Bristol專利之圖2m及圖2n中之結構提供穿過類似於上文所描述之程序的硬質遮罩材料之孔,除在本文之製程中,將緩衝硬質遮罩層添加至Bristol之圖2m的結構中之外。具有基板、緩衝硬質遮罩層及圖案化硬質遮罩層之所得結構與上文所描述之圖10相對應。參看Bristol之圖式簡要概述Bristol專利之程序,1)形成用於藉由光致抗蝕劑之頂部層圖案化的結構(圖2a及圖2b)、圖案化穿過光致抗蝕劑之溝槽(圖2c及圖2d)、蝕刻穿過頂部硬質遮罩層之溝槽以將圖案轉印至硬質遮罩層(圖2e及圖2f)、藉由包含自動組合嵌段共聚物之光致抗蝕劑填充溝槽(圖2g及圖2h)、處理第二光致抗蝕劑以自嵌段共聚物溝槽填充物移除圓柱體部分(圖2i及圖2j)、蝕刻較低硬質遮罩層以轉印孔圖案(圖2k及圖2l),及移除光致抗蝕劑組合物及頂部硬質遮罩層兩者(圖2m及圖2n)。 如此部分所描述形成之模版提供基於模版圖案而有效特定圖案化的基礎。後續特定圖案化之具體程序描述於以下部分中。使用模版之選擇性圖案化 不管用以形成模版結構之程序,此結構可有效地用於下一個階段之圖案化以基於模版之常規結構形成特定結構。此圖案化製程依賴於與模版之高蝕刻對比度組件相結合的高蝕刻對比度輻射抗蝕劑。進行以獲得特定目標圖案之圖案化可係基於較低解析度同時採用模版之高解析度特徵。在此處理階段之抗蝕劑之圖案化應具有充足解析度以選擇性地暴露模版之特徵。後續蝕刻可接著暴露模版內所選特徵以供進一步處理。蝕刻製程可調整以改良具有底層模版圖案之所選部分的低解析度圖案化抗蝕劑之圖案轉印。此處理程序將顯著效率引入至用於獲得解析度之整個過程中。 使用高蝕刻對比度、輻射抗蝕劑作為模版結構之頂部上的層來進行選擇性圖案化。合適之輻射抗蝕劑包括(例如)具有輻射敏感性配位體之金屬氧/氫氧化物組合物。如上文所提及,模版結構本身經設計成具有合適之蝕刻對比元件,特定言之,圖案化硬質遮罩、填充材料及緩衝硬質遮罩。對此處理程序,此等高蝕刻對比度條件延伸以進一步包括輻射抗蝕劑。歸因於低解析度圖案化,高蝕刻對比度提供所選填充材料之移除而不完全暴露填充材料。可藉由低輻射劑量及/或更快速地進行低解析度圖案化。 雖然高解析度圖案化製程可用於高蝕刻對比度輻射抗蝕劑之選擇性圖案化,但可選擇解析度以足以選擇模版圖案中之結構而不必與模版解析度匹配。在顯影暴露之抗蝕劑層之後藉由充分匹配,蝕刻步驟可移除與所選模版之特徵相關的填充材料。在包括(例如)蝕刻暴露之填充材料及移除殘餘抗蝕劑的額外後續處理之後,所得經蝕刻結構具有模版之解析度而無需以相同解析度進行特定圖案化步驟,其可節省時間及對應成本。此製程詳細地解釋於以下圖式中之上下文中。 參見圖22,圖案化結構300包含模版302及輻射抗蝕劑304。模版302包含基板310、緩衝硬質遮罩312、圖案化硬質遮罩314及在圖案化硬質遮罩314內之孔內的填充材料316。結構及組合物之範圍以及模版302之形成製程詳細地描述於以上前述部分中。為實現所需處理優勢,輻射抗蝕劑相對於圖案化硬質遮罩及填充材料可具有高抗蝕刻性。合適之輻射抗蝕劑包括(例如)基於金屬氧/氫氧化物之抗蝕劑組合物。此等組合物更詳細地描述於上文,且此論述在此併入。此外,此類金屬氧/氫氧化物抗蝕劑可經改造以特別具有對EUV微影波長(亦即,約13.5 nm)之高吸收率。此提供比習知抗蝕劑高至少3倍,且在一些情況下4倍或甚至5倍高之吸收率。此較大吸收率可直接減小因光子散粒雜訊所致的圖案形成的可變性。 輻射抗蝕劑304通常可具有不超過約1微米,在其他實施例中不超過約250 nm且在額外實施例中約5 nm至約50 nm之平均厚度。一般熟習此項技術者應認識到,上述明確範圍內之輻射抗蝕劑厚度之額外範圍經預期且在本發明內。輻射抗蝕劑沈積為前驅體組合物。可(例如)使用合適之塗佈製程(諸如旋塗、噴塗、刀口塗佈或其他合適之塗佈製程)沈積抗蝕劑前驅體組合物。 各種合適之輻射抗蝕劑描述於以上形成模版之上下文中。一般而言,此等抗蝕劑可類似地用於處理基於模版形成之特異性圖案。具有輻射不穩定配位體之基於穩定化金屬氧化物之輻射抗蝕劑由於可用於此等抗蝕劑之高蝕刻對比度以及其較強的輻射吸收率及合乎需要的解析處理特徵而提供特別合乎需要的結果。在一些實施例中,上文概述特定類別之基於金屬氧/氫氧化過氧化物組合物及具有烷基配位體之金屬含氧/氫氧組合物之輻射抗蝕劑。儘管可有效地使用對所選輻射具有合乎需要之吸收率的其他金屬,但對於烷基配位體實施例,基於錫之組合物備受關注,且對於過氧化配位體組合物,鉿及鋯為備受關注之金屬。將該等液體塗覆在合適之負載處以在乾燥之後提供所需的層厚度。上文所描述之關於此等組合物之特定實施例之額外細節,以及提供此等組合物之細節的參考案類似地以引用之方式併入對輻射抗蝕劑之此使用。 在塗佈之後,抗蝕劑塗層通常經乾燥以移除溶劑,其可或可不包括加熱。在一些實施例中,輻射抗蝕劑可經受照射後加熱步驟,其通常足夠溫和以避免將材料充分轉換成金屬氧化物。對於使用照射後熱處理之實施例,可在約45℃至約250℃、在額外實施例中約50℃至約190℃且在其他實施例中約60℃至約175℃之溫度下進行照射後熱處理。暴露後加熱通常可進行至少約0.1分鐘、在其他實施例中約0.5分鐘至約30分鐘且在額外實施例中約0.75分鐘至約10分鐘。一般熟習此項技術者將認識到,上述明確範圍內之照射後加熱溫度及時間之額外範圍經預期且在本發明內。 具有過氧化配位體之金屬氧/氫氧化物組合物通常可用作負性抗蝕劑。舉例而言,未經照射之塗佈材料可藉由包含酸水溶液或鹼水溶液之顯影劑移除。因此,季銨氫氧化物組合物(諸如四乙基銨氫氧化物、四丙基銨氫氧化物、四丁基銨氫氧化物或其組合)作為顯影劑係合乎需要的。具有烷基配位體之金屬氧/氫氧化物組合物可用作正性抗蝕劑或用作負性抗蝕劑。特定言之,未經照射之材料可溶於有機溶劑中,且經照射之材料可溶於酸水溶液及鹼水溶液中,以使得經選擇以溶解潛影之部分的溶劑導引顯影劑選擇。 輻射抗蝕劑可藉由一系列輻射(諸如UV、深UV及極遠UV)成功地圖案化。對於在圖23至圖30之上下文中所描述之以下圖案化製程,用於形成此等結構之組合物在上文詳細地描述且針對對應結構以引用之方式併入論述中。經圖案化及顯影之結構330示意性地展示於圖23中。圖案化抗蝕劑332暴露填充材料334、336同時維持在填充材料338、340、342上方的覆蓋度。將經圖案化及顯影之結構330製備用於蝕刻以移除暴露之填充材料。經圖案化及顯影之結構350之實施例展示於圖24中之俯視圖中,其中輻射抗蝕劑用作正性抗蝕劑。圖案化硬質遮罩中之所選數目之孔暴露於經圖案化及顯影之結構350中。經圖案化及顯影之結構352之實施例展示於圖25中之俯視圖中,其中輻射抗蝕劑用作負性抗蝕劑。俯視圖之較暗部分表示顯影之後的剩餘抗蝕劑,且較亮部分為部分或全部暴露之孔。剩餘抗蝕劑覆蓋意欲保持出於圖案化目的被覆蓋之孔。圖24及圖25之俯視圖中之中等著色表示圖案化硬質遮罩。 在高蝕刻對比度抗蝕劑用於圖案化時,具有暴露孔及覆蓋孔之經顯影抗蝕劑的匹配不需為極佳地匹配。因此,抗蝕劑層圖案化之解析度可顯著小於形成圖案化硬質遮罩之孔的解析度。一般概念進一步詳述於圖26至圖28中。參見圖26,具有經顯影抗蝕劑360之結構包含基板362,緩衝硬質遮罩364,圖案化硬質遮罩366,填充材料368、370、372、374、376、378及圖案化抗蝕劑380。圖案化抗蝕劑380形成填充材料368、370之亞臨界開口,接著該等開口在蝕刻期間不允許移除大量填充材料,且填充材料372仍全部覆蓋以使得填充材料372在蝕刻期間不被移除。圖案化抗蝕劑380形成未覆蓋充足量之填充材料374、376的超臨界開口,基本上所有填充材料在蝕刻期間經移除。圖案化抗蝕劑380保留大約全部未經覆蓋之填充材料378以使得填充材料378在蝕刻期間經移除。 蝕刻之後的結構展示於圖27中。在蝕刻之後,填充材料372基本上保持完全完整。填充材料368、370 (圖26)因亞臨界開口而略微地經蝕刻以形成基本上完整的填充材料390、392。填充材料374、376、378基本上全部經移除以形成孔394、396、398。圖27類似於圖23中藉由低解析度抗蝕劑圖案化之結構以使用基於金屬氧化物之抗蝕劑利用較大蝕刻對比度。在蝕刻之後,可通常藉由濕式蝕刻移除剩餘圖案化抗蝕劑380。所得圖案化中間物結構400展示於圖28中。圖案化中間物結構400包含所選擇之提供至緩衝硬質遮罩364之圖案化轉印的經暴露孔。使用合適之蝕刻,諸如選擇性用於緩衝硬質遮罩層之電漿蝕刻同時留下圖案化硬質遮罩且基本上完整之填充材料產生於圖29之結構402中。結構402包含基板362上之圖案化緩衝硬質遮罩層404。圖案化硬質遮罩366、填充材料372及基本上完整之填充材料390、392基本上不變。延伸之孔406、408、410由對緩衝硬質遮罩之蝕刻而產生。可接著進行一或多個額外蝕刻步驟以移除圖案化硬質遮罩366及剩餘填充材料372、390、392,從而形成圖30中所展示之圖案化結構420。接著圖案化結構420準備就緒可供用於額外處理(諸如材料沈積、基板蝕刻、基板暴露部分之處理)或其他製程步驟。 減小解析度之程度可在一定程度上取決於蝕刻製程以移除經暴露之孔的填充材料。藉由高對比度蝕刻差異,可進行相當侵蝕性的蝕刻以移除填充材料以擴展導致基本上全部填充材料之移除的超臨界開口之範圍。蝕刻製程可(例如)藉由改變電漿流動速率及電漿源與基板之相對位置來散焦,以有助於蝕刻並未完全暴露之填充材料。應控制其中填充物意欲保持完整之亞臨界開口以防止不當移除填充材料,且不當移除填充材料可變得比侵蝕性蝕刻更成問題。儘管如此,可藉由一般熟習此項技術者基於本文中之教示有效地實現此等參數之適當平衡。可藉由在更短時間段內遞送之低輻射劑量實現低解析度圖案化。 上述實施例欲為說明性而非限制性的。額外實施例在權利要求書範圍內。此外,儘管已參考特定實施例描述本發明,但熟習此項技術者將認識到,可在不脫離本發明之精神及範疇之情況下在形式及細節方面作出改變。以引用之方式對以上文獻之任何併入為限制性的,以使得並未併入與本文之明確揭示內容相反之標的物。在與組分、元素、成分或其他部分一起描述本文中之特定結構、組合物及/或製程之程度上,除非以其他方式特定地指定,否則在某種程度上,應理解本發明涵蓋特定實施例、包含特定組分、元素、成分、其他部分或其組合之實施例以及主要由可包括並不改變標的物之基本性質之額外特徵之此類特定組分、成分或其他部分或其組合組成之實施例。
100‧‧‧模版 102‧‧‧基板 104‧‧‧緩衝硬質遮罩 106‧‧‧圖案化硬質遮罩 108‧‧‧填充物 120‧‧‧模版 122‧‧‧基板 124‧‧‧緩衝硬質遮罩 126‧‧‧圖案化硬質遮罩 128‧‧‧填充材料 150‧‧‧基板 152‧‧‧緩衝硬質遮罩層 154‧‧‧模版硬質遮罩層 156‧‧‧輻射可圖案化抗蝕劑 158‧‧‧潛影 160‧‧‧圖案化抗蝕劑層 162‧‧‧潛影之一部分 164‧‧‧圖案化硬質遮罩 166‧‧‧孔 200‧‧‧最初經輻射之結構 202‧‧‧條帶 204‧‧‧條帶 206‧‧‧基板 208‧‧‧緩衝硬質遮罩層 210‧‧‧模版硬質遮罩 220‧‧‧條帶 222‧‧‧條帶 224‧‧‧影像之重疊部分 230‧‧‧溝槽 232‧‧‧孔 240‧‧‧孔 242‧‧‧圖案化硬質遮罩 250‧‧‧圖案化結構 252‧‧‧孔 300‧‧‧圖案化結構 302‧‧‧模版 304‧‧‧輻射抗蝕劑 310‧‧‧基板 312‧‧‧緩衝硬質遮罩 314‧‧‧圖案化硬質遮罩 316‧‧‧填充材料 330‧‧‧經圖案化及顯影之結構 332‧‧‧圖案化抗蝕劑 334‧‧‧填充材料 336‧‧‧填充材料 338‧‧‧填充材料 340‧‧‧填充材料 342‧‧‧填充材料 350‧‧‧經圖案化及顯影之結構 352‧‧‧經圖案化及顯影之結構 360‧‧‧經顯影之抗蝕劑 362‧‧‧基板 364‧‧‧緩衝硬質遮罩 366‧‧‧圖案化硬質遮罩 368‧‧‧填充材料 370‧‧‧填充材料 372‧‧‧填充材料 374‧‧‧填充材料 376‧‧‧填充材料 378‧‧‧填充材料 380‧‧‧圖案化抗蝕劑 390‧‧‧填充材料 392‧‧‧填充材料 394‧‧‧孔 396‧‧‧孔 398‧‧‧孔 400‧‧‧圖案化中間物結構 402‧‧‧結構 404‧‧‧圖案化緩衝硬質遮罩層 406‧‧‧孔 408‧‧‧孔 410‧‧‧孔 420‧‧‧圖案化結構
圖1為具有穿過硬質遮罩之週期性孔及該等孔內之填充材料的圖案化模版結構之截面側視圖。 圖2為圖1之圖案化模版結構之部分側視圖,其中該結構經平坦化以自圖案化硬質遮罩層之上表面移除任何填充材料。 圖3為圖2之圖案化模版結構的俯視圖。 圖4為具有緩衝硬質遮罩層之基板的截面側視圖。 圖5為在緩衝硬質遮罩層上方具有模版硬質遮罩層的圖4之結構的截面側視圖。 圖6為在模版硬質遮罩層上方具有輻射抗蝕劑層的圖5之結構的截面側視圖。 圖7為圖6之結構的截面側視圖,其中潛影已經由暴露於適當輻射而形成於輻射抗蝕劑層上。 圖8為在顯影之後移除潛影之部分且自圖案化抗蝕劑圖案轉印穿過至模版硬質遮罩層以形成具有延伸穿過圖案化抗蝕劑及圖案化硬質遮罩層之孔的圖案化硬質遮罩層的圖7之結構的截面側視圖。 圖9為在移除殘餘輻射抗蝕劑之後的圖8之結構的俯視圖。 圖10為圖9之結構的截面側視圖。 圖11為與在形成週期性條帶之輻射抗蝕劑中具有潛影之圖6之結構類似的結構之俯視圖。 圖12為沿圖11之線12-12截取的圖11之結構之截面側視圖。 圖13為在具有頂部抗蝕劑層之初始層的頂部上具有另一輻射抗蝕劑層之圖11的結構之俯視圖,該頂部抗蝕劑層具有帶正交週期性條帶之潛影。 圖14為沿圖13之線14-14截取的圖13之結構之截面側視圖。 圖15為沿圖13之線15-15截取的圖13之結構之截面側視圖。 圖16為在顯影堆疊式潛影之後的圖13之結構之俯視圖。 圖17為沿圖16之線17-17截取的圖16之結構之截面側視圖。 圖18為沿圖16之線18-18截取的圖16之結構之截面側視圖。 圖19為在蝕刻之後將抗蝕劑之結構之圖案轉印至模版硬質遮罩以形成圖案化硬質遮罩的圖13之結構之截面側視圖。 圖20為在移除殘餘輻射抗蝕劑之後的圖19之結構之俯視圖。 圖21為沿圖20之線21-21截取的圖20之結構之截面側視圖。 圖22為在上表面上具有輻射抗蝕劑層之模版的截面側視圖。 圖23為其中輻射抗蝕劑層已暴露且顯影以形成圖案化抗蝕劑層的圖22之模版之截面側視圖。 圖24為在圖案化之後具有正性輻射抗蝕劑之模版的俯視圖。 圖25為在圖案化之後具有負性輻射抗蝕劑之模版的俯視圖。 圖26為具有例示次臨界暴露之填充材料及超臨界暴露之填充材料的低解析度圖案化輻射抗蝕劑之模版之截面側視圖。 圖27為在蝕刻之後的圖26中之結構之截面側視圖。 圖28為在移除殘餘輻射抗蝕劑之後的圖27中之經蝕刻結構之截面側視圖。 圖29為在蝕刻緩衝硬質遮罩以將所暴露圖案自圖案化硬質遮罩轉印至緩衝硬質遮罩之後的圖28之清潔結構之截面側視圖。 圖30為具有在移除圖案化硬質遮罩之後的圖29之圖案化緩衝硬質遮罩之結構之截面側視圖。
120‧‧‧模版
122‧‧‧基板
124‧‧‧緩衝硬質遮罩
126‧‧‧圖案化硬質遮罩
128‧‧‧填充材料

Claims (25)

  1. 一種用於對基板上之特徵進行圖案化的方法,該方法包含:使結構上之輻射敏感層暴露於選擇性圖案化輻射,其中該結構包含預圖案化模版結構上之輻射敏感層,該預圖案化模版結構包含在穿過塗佈該基板之表面的且具有約2nm至約250nm之平均厚度的未圖案化的緩衝硬質遮罩層上之單層之環繞著孔之模版硬質遮罩材料的週期性圖案化之間隔孔內之填充材料,其中該輻射敏感層藉由該選擇性圖案化輻射暴露以在該輻射敏感層內形成具有潛影的經暴露之輻射敏感層,其中該緩衝硬質遮罩層與該基板之組成不同,使得該緩衝硬質遮罩層相對於該基板產生不同的蝕刻,且其中該模版硬質遮罩材料包含氧化矽、氮化鈦、氮化鉭或氮化矽,其中該填充材料包含富含碳之組合物或其中該模版硬質遮罩材料包含氮化鈦、氮化鉭或氮化矽,其中該填充材料包含富含碳之組合物或矽石玻璃組合物,以維持不同的蝕刻,且其中該填充材料大致與該模版硬質遮罩材料之表面齊平;使該經暴露之輻射敏感層顯影以基於該潛影形成具有圖案化層的圖案化結構;及蝕刻該圖案化結構以選擇性移除易受該蝕刻製程影響之填充材料,此乃歸因於孔穿過該圖案化層與該填充材料重疊,俾暴露該基板之該表面之部分在該孔下。
  2. 如請求項1之方法,其中該輻射敏感層包含基於氧/氫氧化物之無機組合物。
  3. 如請求項1之方法,其中該輻射敏感層包含具有金屬氧/氫氧化物組合物及過氧化及/或烷基配位體之輻射敏感材料。
  4. 如請求項1之方法,其中該暴露係藉由具有不超過約10.0mJ/cm2之輻射量的電磁輻射進行。
  5. 如請求項1之方法,其中該緩衝硬質遮罩層包含氮化鈦、氮化鉭、氮化矽或氧化矽。
  6. 如請求項1之方法,其中該預圖案化模版結構之該等週期性圖案化之間隔孔具有不超過約100nm之平均間距。
  7. 如請求項1之方法,其中該顯影步驟係藉由使鹼水溶液或酸水溶液暴露於該表面約8秒至約15分鐘來進行。
  8. 如請求項1之方法,其中該顯影步驟係藉由有機溶劑進行。
  9. 如請求項1之方法,其中該蝕刻係藉由電漿蝕刻進行,該電漿蝕刻同時具有針對該填充材料之相對較高蝕刻速率及針對該模版硬質遮罩、該緩衝硬質遮罩及該感光層之相對較低蝕刻速率。
  10. 如請求項9之方法,其中該蝕刻係在富含氧或富含氧/氮之蝕刻環境下 進行。
  11. 如請求項1之方法,其進一步包含:形成預圖案化模版結構,其中該形成方法包含:使初步輻射敏感層暴露於以組成分層之堆疊的表面上的週期性輻射圖案,且其中該以組成分層之堆疊包含基板、在該基板之表面上的未圖案化的緩衝硬質遮罩層及在該緩衝硬質遮罩層上與該基板對置之該模版硬質遮罩材料層,其中該初步輻射敏感層、該緩衝硬質遮罩層及該模版硬質遮罩材料具有不同的蝕刻特性;在暴露之後使該初步輻射敏感層顯影以形成圖案化暴露結構;蝕刻該圖案化暴露結構以形成週期性圖案化模版材料;及使填充材料沈積於穿過該週期性圖案化模版材料之孔內以形成該預圖案化模版結構。
  12. 一種預圖案化模版結構,其包含基板、在該基板之表面上具有約2nm至約250nm之平均厚度的未圖案化的緩衝硬質遮罩層、在該未圖案化的緩衝硬質遮罩層上與該基板對置側之具有穿過模版硬質遮罩材料的間隔孔之週期性圖案的單層的模版硬質遮罩材料、填充由該模版材料之週期性圖案形成之空隙的填充材料、及基於氧/氫氧化物之感光性無機組合物之層,其中該模版硬質遮罩材料在所有邊上環繞著該孔,且其中該緩衝硬質遮罩層包含不同於該基板之無機材料,該模版硬質遮罩材料包含不同於該緩衝硬質遮罩材料之材料,且該填充材料不同於該模版硬質遮罩材料,且其中該緩衝硬質遮罩包含氮化鈦、氮化鉭、氮化矽或氧化矽。
  13. 如請求項12之預圖案化模版結構,其中對於單一蝕刻製程,該填充材料可比該感光層、該模版硬質遮罩及該緩衝硬質遮罩中之每一者的蝕刻快至少五倍。
  14. 如請求項12之預圖案化模版結構,其中對於單一蝕刻製程,該模版硬質遮罩材料可比該填充材料及該緩衝硬質遮罩的蝕刻快至少五倍。
  15. 如請求項12之預圖案化模版結構,其中該緩衝硬質遮罩層與該基板之組成不同,使得該緩衝硬質遮罩層相對於該基板產生不同的蝕刻,且包含氮化鈦、氮化鉭、氮化矽、氧化矽或其組合,且其中該模版硬質遮罩材料包含氮化鈦、氮化鉭、氮化矽或氧化矽,其中該填充材料包含富含碳之組合物,或其中該緩衝硬質遮罩層包含氮化鈦、氮化鉭或氮化矽,且該填充材料包含富含碳之組合物或矽石玻璃組合物。
  16. 如請求項12之預圖案化模版結構,其中該填充材料大致沿著模版硬質遮罩表面與該模版硬質遮罩材料齊平。
  17. 如請求項12之預圖案化模版結構,其中該基於氧/氫氧化物之組合物包含過氧化配位體及/或烷基配位體。
  18. 一種用於形成預圖案化模版結構之方法,該方法包含:使輻射敏感層暴露於週期性輻射圖案,其中該輻射敏感層係在組成 分層之堆疊的表面上,且其中該以組成分層之堆疊包含具有表面之基板、在該基板之該表面上的未圖案化的緩衝硬質遮罩層及在該緩衝硬質遮罩層上與該基板對置之單層的模版硬質遮罩材料,其中該輻射敏感層、該未圖案化的緩衝硬質遮罩層及該模版硬質遮罩材料具有不同的蝕刻特性,其中該未圖案化的緩衝硬質遮罩層具有約2nm至約250nm之平均厚度,其中該未圖案化的緩衝硬質遮罩層包含氮化鈦、氮化鉭、氮化矽、氧化矽或其組合,且其中該未圖案化的緩衝硬質遮罩材料該模版硬質遮罩材料包含氮化鈦、氮化鉭、氮化矽或氧化矽,且其中緩衝硬質遮罩材料與該模版硬質遮罩材料及該基板材料不同;在暴露之後使該輻射敏感層顯影以形成圖案化暴露結構;蝕刻該圖案化暴露結構以形成具有穿過該模版硬質遮罩材料之孔的週期性圖案化模版材料,該模版硬質遮罩材料在所有邊上環繞著該孔;及使填充材料沈積於穿過該週期性圖案化模版材料之孔內,其中該填充材料具有相對於該未圖案化的緩衝硬質遮罩層及該模版硬質遮罩材料的不同蝕刻特性。
  19. 如請求項18之方法,其進一步包含:使該填充材料平滑化,以使得該填充材料有效地與該圖案化模版材料之表面齊平。
  20. 如請求項18之方法,其中該模版硬質遮罩材料包含氮化鈦、氮化鉭、氮化矽或氧化矽,且該填充材料包含富含碳之組合物或矽石玻璃組合物。
  21. 如請求項18之方法,其中該暴露係藉由電子束輻射或藉由具有至少約10.0mJ/cm2之輻射量的電磁輻射進行,其中該顯影係藉由酸水溶液或鹼水溶液進行,且其中該蝕刻係藉由電漿進行。
  22. 如請求項1之方法,其進一步包含移除該感光層材料之剩餘部分,依據在移除該感光層材料之剩餘部分後之經移除的填充材料之圖案選擇性蝕刻該緩衝硬質遮罩層,其移除該模版硬質遮罩以及該填充材料之剩餘部分。
  23. 如請求項18之方法,其中該輻射敏感層包含具有金屬氧/氫氧化物組合物及過氧化及/或烷基配位體之輻射敏感材料,其中該暴露係藉由具有不超過約10.0mJ/cm2之輻射量的電磁輻射進行。
  24. 如請求項1或18之方法,其中該等孔具有不超過約125nm的直徑。
  25. 如請求項24之方法,其中該等孔具有長方體橫截面形狀。
TW106108071A 2016-03-11 2017-03-10 預圖案化微影模版、基於使用該模版的輻射圖案化之方法及形成該模版之方法 TWI721125B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662306979P 2016-03-11 2016-03-11
US62/306,979 2016-03-11

Publications (2)

Publication Number Publication Date
TW201801144A TW201801144A (zh) 2018-01-01
TWI721125B true TWI721125B (zh) 2021-03-11

Family

ID=59786564

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106108071A TWI721125B (zh) 2016-03-11 2017-03-10 預圖案化微影模版、基於使用該模版的輻射圖案化之方法及形成該模版之方法

Country Status (6)

Country Link
US (2) US10649328B2 (zh)
JP (1) JP6993982B2 (zh)
KR (1) KR102394042B1 (zh)
CN (1) CN108780739B (zh)
TW (1) TWI721125B (zh)
WO (1) WO2017156388A1 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
GB201517273D0 (en) 2015-09-30 2015-11-11 Univ Manchester Resist composition
JP6993982B2 (ja) 2016-03-11 2022-02-04 インプリア・コーポレイション 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス
JP6831452B2 (ja) * 2016-09-13 2021-02-17 グーグル エルエルシーGoogle LLC フォトレジスト現像液によるエッチングを防ぐためのバッファ層
US10217633B2 (en) * 2017-03-13 2019-02-26 Globalfoundries Inc. Substantially defect-free polysilicon gate arrays
WO2019169122A1 (en) * 2018-03-02 2019-09-06 Tokyo Electron Limited Method to transfer patterns to a layer
KR20200128441A (ko) * 2018-04-03 2020-11-12 도쿄엘렉트론가부시키가이샤 완전 자기 정렬 방식을 사용하는 서브트랙티브 상호연결부 형성
WO2019203926A1 (en) * 2018-04-16 2019-10-24 Applied Materials, Inc. Multi stack optical elements using temporary and permanent bonding
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
CN113227909A (zh) * 2018-12-20 2021-08-06 朗姆研究公司 抗蚀剂的干式显影
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
EP4147269A1 (en) 2020-05-06 2023-03-15 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
US20220004105A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
CN113937182A (zh) * 2020-07-13 2022-01-14 中国科学院理化技术研究所 一种具有柔性基底的尺寸可控的氧化锌基光电器件及其制备方法
US20220199406A1 (en) * 2020-12-17 2022-06-23 Applied Materials, Inc. Vapor deposition of carbon-doped metal oxides for use as photoresists
US20220262625A1 (en) * 2021-02-18 2022-08-18 Applied Materials, Inc. Chemical vapor condensation deposition of photoresist films
CN117364235B (zh) * 2023-12-07 2024-03-26 度亘核芯光电技术(苏州)有限公司 选区外延生长方法及其中使用的掩膜结构

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048674A1 (en) * 2005-09-01 2007-03-01 Wells David H Methods for forming arrays of small, closely spaced features
US20100187658A1 (en) * 2007-03-21 2010-07-29 Haiqing Wei Multi-material hard mask or prepatterned layer for use with multi-patterning photolithography
US20140065823A1 (en) * 2012-08-31 2014-03-06 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuitry
US20150056542A1 (en) * 2013-08-22 2015-02-26 Inpria Corporation Organometallic solution based high resolution patterning compositions

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5270265A (en) * 1992-09-01 1993-12-14 Harris Corporation Stress relief technique of removing oxide from surface of trench-patterned semiconductor-on-insulator structure
JP3198310B2 (ja) 1993-01-06 2001-08-13 株式会社ニコン 露光方法及び装置
JP2004512672A (ja) 2000-06-06 2004-04-22 イーケーシー テクノロジー,インコーポレイティド 電子材料製造法
US7270886B2 (en) 2000-10-12 2007-09-18 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US6368982B1 (en) * 2000-11-15 2002-04-09 Advanced Micro Devices, Inc. Pattern reduction by trimming a plurality of layers of different handmask materials
US20020157418A1 (en) 2001-03-19 2002-10-31 Rahul Ganguli Process for reducing or eliminating bubble defects in sol-gel silica glass
US7160746B2 (en) 2001-07-27 2007-01-09 Lightwave Microsystems Corporation GeBPSG top clad for a planar lightwave circuit
JP2003303824A (ja) 2002-04-12 2003-10-24 Sony Corp 半導体装置の製造方法
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
JP4932134B2 (ja) 2003-09-16 2012-05-16 旺宏電子股▲ふん▼有限公司 集積回路の製造における位置合わせ精度条件を緩和する方法
US7348281B2 (en) * 2003-09-19 2008-03-25 Brewer Science Inc. Method of filling structures for forming via-first dual damascene interconnects
KR100583957B1 (ko) 2003-12-03 2006-05-26 삼성전자주식회사 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법
JP4839723B2 (ja) 2005-08-10 2011-12-21 富士電機株式会社 保護膜形成方法およびその保護膜を備えた磁気記録媒体
JP4699140B2 (ja) 2005-08-29 2011-06-08 東京応化工業株式会社 パターン形成方法
US20070166648A1 (en) 2006-01-17 2007-07-19 International Business Machines Corporation Integrated lithography and etch for dual damascene structures
US20070190762A1 (en) 2006-02-13 2007-08-16 Asml Netherlands B.V. Device manufacturing method and computer program product
US7897058B2 (en) 2006-02-13 2011-03-01 Asml Netherlands B.V. Device manufacturing method and computer program product
KR100790999B1 (ko) * 2006-10-17 2008-01-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
EP2219882A4 (en) 2007-11-16 2011-11-23 Ekc Technology Inc COMPOSITIONS FOR REMOVING METAL HARD MASK REST OF A SEMICONDUCTOR SUBSTRATE
KR100933868B1 (ko) * 2008-03-10 2009-12-24 주식회사 하이닉스반도체 마스크 패턴 형성 방법
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP5558327B2 (ja) 2010-12-10 2014-07-23 株式会社東芝 パターン形成方法、半導体装置の製造方法およびテンプレートの製造方法
KR101732936B1 (ko) * 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR20120092950A (ko) 2011-02-14 2012-08-22 에스케이하이닉스 주식회사 리소그래피-리소그래피-식각 공정에서의 오버레이 버니어 형성 방법
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
US9684234B2 (en) 2011-03-24 2017-06-20 Uchicago Argonne, Llc Sequential infiltration synthesis for enhancing multiple-patterning lithography
US8409965B2 (en) * 2011-04-26 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for LED with nano-patterned substrate
JP2012253056A (ja) * 2011-05-31 2012-12-20 Toshiba Corp 半導体装置の製造方法
TWI492298B (zh) * 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
SG10201607603VA (en) 2011-10-10 2016-11-29 Brewer Science Inc Spin-on carbon compositions for lithographic processing
US9452574B2 (en) 2011-12-19 2016-09-27 Canon Nanotechnologies, Inc. Fabrication of seamless large area master templates for imprint lithography using step and repeat tools
US8551690B2 (en) * 2012-01-20 2013-10-08 Micron Technology, Inc. Methods of forming patterns
US8916337B2 (en) * 2012-02-22 2014-12-23 International Business Machines Corporation Dual hard mask lithography process
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
CN104380194B (zh) * 2012-04-16 2019-05-31 布鲁尔科技公司 用于导向自组装的硅硬掩模层
JP6028384B2 (ja) 2012-05-07 2016-11-16 大日本印刷株式会社 ナノインプリントリソグラフィ用テンプレートの製造方法
US9679095B1 (en) 2013-02-19 2017-06-13 Mentor Graphics, A Siemens Business Layout decomposition for multiple patterning lithography
US9005875B2 (en) 2013-03-15 2015-04-14 Intel Corporation Pre-patterned hard mask for ultrafast lithographic imaging
JP2014239191A (ja) 2013-06-10 2014-12-18 富士通セミコンダクター株式会社 半導体装置の製造方法
US9176373B2 (en) 2013-07-31 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for decomposition of a single photoresist mask pattern into 3 photoresist mask patterns
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
KR20160089515A (ko) 2013-12-05 2016-07-27 도쿄엘렉트론가부시키가이샤 직류 중첩 동결
US20150234272A1 (en) 2014-02-14 2015-08-20 Intel Corporation Metal oxide nanoparticles and photoresist compositions
US9240329B2 (en) 2014-02-23 2016-01-19 Tokyo Electron Limited Method for multiplying pattern density by crossing multiple patterned layers
JP6784670B2 (ja) 2014-10-23 2020-11-11 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法
EP3391148B1 (en) 2015-10-13 2021-09-15 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
JP6993982B2 (ja) 2016-03-11 2022-02-04 インプリア・コーポレイション 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス
TWI759147B (zh) 2016-08-12 2022-03-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
KR20240019399A (ko) 2017-11-20 2024-02-14 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
TW202348612A (zh) 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
JP2022526031A (ja) 2019-04-12 2022-05-20 インプリア・コーポレイション 有機金属フォトレジスト現像剤組成物及び処理方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048674A1 (en) * 2005-09-01 2007-03-01 Wells David H Methods for forming arrays of small, closely spaced features
US20100187658A1 (en) * 2007-03-21 2010-07-29 Haiqing Wei Multi-material hard mask or prepatterned layer for use with multi-patterning photolithography
US20140065823A1 (en) * 2012-08-31 2014-03-06 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuitry
US20150056542A1 (en) * 2013-08-22 2015-02-26 Inpria Corporation Organometallic solution based high resolution patterning compositions

Also Published As

Publication number Publication date
TW201801144A (zh) 2018-01-01
WO2017156388A1 (en) 2017-09-14
US20170261850A1 (en) 2017-09-14
US11347145B2 (en) 2022-05-31
KR20180116438A (ko) 2018-10-24
KR102394042B1 (ko) 2022-05-03
CN108780739A (zh) 2018-11-09
JP6993982B2 (ja) 2022-02-04
US20200225578A1 (en) 2020-07-16
CN108780739B (zh) 2023-09-15
US10649328B2 (en) 2020-05-12
JP2019514042A (ja) 2019-05-30

Similar Documents

Publication Publication Date Title
TWI721125B (zh) 預圖案化微影模版、基於使用該模版的輻射圖案化之方法及形成該模版之方法
CN107112212B (zh) 使用接枝聚合物材料图案化基底
US9129906B2 (en) Self-aligned double spacer patterning process
US7354847B2 (en) Method of trimming technology
KR101791725B1 (ko) 측벽 화상 전사 피치 더블링 및 인라인 임계 치수 슬리밍
JP5290204B2 (ja) 微細パターンマスクおよびその製造方法、ならびにそれを用いた微細パターンの形成方法
JP6316788B2 (ja) レリーフ画像形成方法
JP7209429B2 (ja) イメージ反転、誘導自己組織化、および選択的堆積を補助するための、サブ解像度開口部の使用
JP2007017976A (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
JP6534959B2 (ja) 有機膜の形成方法及び半導体装置用基板の製造方法
JP2012519959A (ja) パターンを形成する方法
US20180275519A1 (en) Pattern Formation Method
CN112670168B (zh) 半导体结构的形成方法、晶体管
TW202226343A (zh) 具有中間冷凍步驟的使用有機金屬可光圖案化層的多重圖案化
JPH08328265A (ja) 微細パターン形成方法
CN112687528A (zh) 半导体结构及其形成方法
Sinha et al. Lithography Via Top Surface Imaging Using Area Selective Atomic Layer Deposition
JP2005236179A (ja) パターン形成方法