KR20240019399A - 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용 - Google Patents

유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용 Download PDF

Info

Publication number
KR20240019399A
KR20240019399A KR1020247003859A KR20247003859A KR20240019399A KR 20240019399 A KR20240019399 A KR 20240019399A KR 1020247003859 A KR1020247003859 A KR 1020247003859A KR 20247003859 A KR20247003859 A KR 20247003859A KR 20240019399 A KR20240019399 A KR 20240019399A
Authority
KR
South Korea
Prior art keywords
coating
tri
tin
alkyl
carbon atoms
Prior art date
Application number
KR1020247003859A
Other languages
English (en)
Inventor
브라이언 제이. 카르디네우
스티븐 티. 메이어스
카이 지앙
윌리엄 얼리
제레미 티. 앤더슨
Original Assignee
인프리아 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=66534919&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20240019399(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 인프리아 코포레이션 filed Critical 인프리아 코포레이션
Publication of KR20240019399A publication Critical patent/KR20240019399A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2224Compounds having one or more tin-oxygen linkages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07BGENERAL METHODS OF ORGANIC CHEMISTRY; APPARATUS THEREFOR
    • C07B2200/00Indexing scheme relating to specific properties of organic compounds
    • C07B2200/13Crystalline forms, e.g. polymorphs

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Chemically Coating (AREA)
  • Silicon Compounds (AREA)

Abstract

식 R3Sn3(O2CR')5-x(OH)2+x3-O)을 갖는 유기주석 클러스터로서, 여기서 0 ≤ x ≤ 2이고; R = 탄소수 1 내지 31의 분지형 또는 사이클로알킬; R' = H 또는 탄소수 1 내지 20의 알킬인 유기주석 클러스터가 기재된다. 3개의 카르복실라토 리간드가 가교이고, 2개의 OH 리간드가 가교이다. 나머지 2개의 카르복실라토 리간드는 비-가교 구성이고, 비-가교 카르복실라토 리간드는 용액 중에서 교환가능하다. 이들 클러스터의 용액은 나노미터 스케일 구조를 패턴화하는데 사용될 수 있는 방사선 민감성 코팅을 형성하는데 적합하다. 방사선 민감성 코팅은 EUV 패턴화에 특히 적합하다.

Description

유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용{ORGANOTIN CLUSTERS, SOLUTIONS OF ORGANOTIN CLUSTERS, AND APPLICATION TO HIGH RESOLUTION PATTERNING}
관련 출원에 대한 상호 참조
본 출원은 발명의 명칭이 "Organometallic Metal Clusters and Application to High Resolution Patterning"인 Cardineau 등에 의해 2017년 11월 20일에 출원된 공계류중인 미국 가출원 제62/588,546호에 우선권을 주장하며, 상기 출원은 본원에 참조로 포함된다.
기술분야
본 발명은 유기금속 방사선 민감성 패턴화 레지스트 조성물 및 상기 조성물을 사용하여 레지스트 패턴을 형성하는 방법에 관한 것으로, 상기 조성물은 유기금속 클러스터를 포함할 수 있다. 본 발명은 또한 유기금속 클러스터를 포함하는 전구체 용액 및 유기금속 클러스터를 합성하는 방법에 관한 것이다. 유기금속 클러스터로 형성된 코팅은 낮은 노광량으로 구조물을 생성하기 위해 방사선으로 패턴화될 수 있다.
반도체 회로 및 장치의 가공은 각 세대에 걸쳐 임계 치수의 지속적인 축소가 수반되었다. 이러한 치수 축소에 따라, 점점 더 작은 특징부들을 가공 및 패턴화하려는 요구를 충족시키기 위한 새로운 재료 및 방법이 호출될 수 있다. 패턴화는 일반적으로 패턴을 형성하기 위해 방사선 감응재(레지스트)의 얇은 층의 선택적인 노광을 포함하며, 상기 패턴은 이후 후속 층 또는 기능성 재료로 전사된다. 극자외선(extreme ultraviolet light;EUV) 및 전자 빔 방사선의 양호한 흡수를 제공하면서 동시에 매우 높은 에칭 콘트라스트를 제공하기에 특히 적합한 금속-기반 방사선 레지스트의 유망한 새로운 부류가 발견되었다. 더 작은 패턴화된 특징부들을 얻기 위해, EUV가 추적되었던 바, 반도체 가공에서 중요한 도구가 되었고, EUV 이점들을 활용할 수 있는 레지스트 조성물은 이러한 노력에 귀중한 요소일 수 있다.
제1 양태에서, 본 발명은 식 R3Sn3(O2CR')3+x(L)2-x(OH)23-O)로 표시되는 분자 클러스터를 포함하는 조성물에 관한 것이며, 여기서 0 ≤ x ≤ 2이고; L은 식 ORa 또는 SRa(여기서, Ra는 H 또는 탄소수 1 내지 20의 유기 기임)를 갖는 리간드이고; R = 탄소수 1 내지 31의 분지형 또는 사이클로알킬; R' = H 또는 탄소수 1 내지 20의 알킬이다.
추가의 양태에서, 본 발명은 유기 용매 및 식 R3Sn3(O2CR')5-x(L)2+x3-O)을 갖는 용매화된 유기금속 클러스터를 포함하는 용액에 관한 것이며, 여기서 0 ≤ x < 2이고; R = 탄소수 1 내지 31의 분지형 또는 사이클로알킬; R' = H 또는 탄소수 1 내지 20의 알킬; 및 L은 식 -OR"(여기서, R"은 H, 유기 기 또는 이들의 조합임)를 갖는 리간드이다.
또 다른 양태에서, 본 발명은 방사선 민감성 코팅을 갖는 기판을 패턴화된 방사선에 노광시켜 잠상을 갖는 노광된 코팅을 형성하는 단계, 및 노광된 코팅을 적합한 현상제로 현상하여 패턴화된 코팅을 형성하는 단계를 포함하는 구조물을 패턴화하는 방법에 관한 것이다. 방사선 민감성 코팅은 일반적으로 식 R3Sn3(O2CR')5-x(L)2+x3-O)로 표시되는 분자 클러스터를 포함하는 용액의 퇴적을 통해 형성되며, 여기서 0 ≤ x < 2이고; R = 탄소수 1 내지 31의 분지형 또는 사이클로알킬; R' = H 또는 탄소수 1 내지 20의 알킬; 및 L은 식 -OR"(여기서, R"은 유기 기임)를 갖는 리간드이다.
도 1은 x-선 회절 및 회절도의 분석으로부터 얻어진 결정성 주석 삼량체, ((CH3)3C)3Sn3(O2CH)53-O)의 3차원 구조의 공-막대기 이미지이다.
도 2는 공기 중에서 SnO2로의 전환을 나타내는 ((CH3)3C)3Sn3(O2CH)5(OH)23-O)의 열중량 분석(thermogravimetric analysis)을 나타내는 도표이다.
도 3은 아르곤 중에서 SnO로의 전환을 나타내는 ((CH3)3C)3Sn3(O2CH)5(OH)23-O)의 열중량 분석을 나타내는 도표이다.
도 4는 CDCl3 중에서 ((CH3)3C)3Sn3(O2CH)5(OH)23-O)에 대한 1H 및 119Sn NMR에 대한 스펙트럼의 플롯들의 세트이다.
도 5는 테트라히드로푸란-25% 포름산 용매 중에서 Sn3 삼량체 ((CH3)3C)3Sn3(O2CH)5(OH)23-O)의 전기분무 이온화 질량 스펙트럼(ESI-MS)이며, 여기서 확장된 삽입된 플롯들은 우세한 단편 이온들에 대해 나타낸다.
도 6은 메탄올 용매 중에서 Sn3 삼량체 ((CH3)3C)3Sn3(O2CH)5(OH)23-O)의 ESI-MS 스펙트럼이며, 여기서 확장된 삽입된 플롯들은 우세한 단편 이온들에 대해 나타낸다.
도 7은, 제곱근 평균 제곱(RMS) 표면 거칠기의 평가와 함께, 100 ℃에서 조사-전 베이크한 ((CH3)3C)3Sn3(O2CH)5(OH)23-O) 전구체 용액으로 형성된 조사 전의 방사선 민감성 필름의 원자력 현미경 스캔이다.
도 8은, 제곱근 평균 제곱(RMS) 표면 거칠기의 평가와 함께, 120 ℃에서 조사-전 베이크한 ((CH3)3C)3Sn3(O2CH)5(OH)23-O) 전구체 용액으로 형성된 조사 전의 방사선 민감성 필름의 원자력 현미경 스캔이다.
도 9는, 제곱근 평균 제곱(RMS) 표면 거칠기의 평가와 함께, 150 ℃에서 조사-전 베이크한 ((CH3)3C)3Sn3(O2CH)5(OH)23-O) 전구체 용액으로 형성된 조사 전의 방사선 민감성 필름의 원자력 현미경 스캔이다.
도 10은, 제곱근 평균 제곱(RMS) 표면 거칠기의 평가와 함께, 180 ℃에서 조사-전 베이크한 ((CH3)3C)3Sn3(O2CH)5(OH)23-O) 전구체 용액으로 형성된 조사 전의 방사선 민감성 필름의 원자력 현미경 스캔이다.
도 11은 EUV 선량의 함수로서 플롯팅한 정규화된 필름 두께를 갖는 5개의 대조 곡선의 플롯이며, 여기서 방사선 민감성 필름은 현상 전에 5 개의 조사-후 베이크 온도 중 하나에 적용된다.
도 12는 100 mJ/cm2의 EUV 선량으로 조사 후 36 nm 피치로서의 라인을 갖는 패턴화된 레지스트의 주사 전자 현미경 사진이다.
알킬 리간드 뿐만 아니라 가교 카르복실라토 리간드, 가교 히드록소 리간드, 및 가교 옥소 리간드와 함께 일반적으로 3개의 Sn 원자를 포함하는 유기주석 클러스터는 방사선 기반 패턴화 적용에 유리할 수 있는 대안적인 가공 특성을 제공하는 것이 합성된 바 있다. 클러스터는 3개의 주석 원자를 가지며 각각의 주석 원자에 대해 하나의 알킬 리간드를 갖는다. 일부 실시양태에서, 클러스터는 3 내지 5개의 카르복실라토 리간드 및 4 내지 2개의 히드록소 리간드와 함께 3개의 금속 원자(μ3-O) 사이에서 가교되는 하나의 산소 원자를 가지는데, 여기서 2개의 리간드는 카르복실라토와 히드록소 리간드 간에 상호교환될 수 있다. 주석-알킬 및 주석-카르복실라토 결합은 방사선 노출에 의한 것과 같은 절단에 민감하다. 이러한 결합 파열은 원하는 방사선 기반 패턴화를 가능하게 하는 화학적 콘트라스트를 생성한다. 주석 클러스터의 합성은, 선택된 양의 카르복실산 및 물과 반응하여 상응하는 카르복실라토, 히드록소 및 옥소 리간드들의 상응하는 형성과 함께 클러스터 형성을 유도하는 전구체 아세틸라이드 리간드에 기초한다. 클러스터로 형성된 비수성 용액은 다른 방사선-기반 유기금속 패턴화 재료에 비해 개선된 전구체 용해도, 코팅 품질 및 민감성에 대해 유망한 코팅 조성물을 제공한다.
알킬 금속 배위 조성물은 매우 미세한 특징부들의 패턴화를 가능하게 하는 고에너지 방사선에 의해 바람직한 패턴화 특성을 제공하는 것으로 밝혀졌다. 이들 조성물은 e-빔 또는 EUV 방사선으로 패턴화하는데 있어 특히 효과적이다. 고성능 방사선 기반 패턴화 조성물에 알킬 치환된 금속 배위 화합물을 사용하는 것은, 예를 들어, 발명의 명칭이 "Organometallic Solution Based High Resolution Patterning Compositions"인 Meyers 등의 미국 특허 제9,310,684호에 기재되어 있으며, 상기 문헌은 본원에 참조로 포함된다. 패턴화를 위한 이들 유기금속 조성물의 개선은 발명의 명칭이 "Organometallic Solution Based High Resolution Patterning Compositions and Corresponding Methods"인 Meyers 등의 공개된 미국 특허 출원 제2016/0116839 A1호 및 발명의 명칭이 "Organotin Oxide Hydroxide Patterning Compositions, Precursors, and Patterning"인 Meyers 등의 제2017/0102612 A1호에 기재되어 있으며, 상기 두 문헌은 모두 본원에 참조로 포함된다. 이들 참고문헌의 유기금속 조성물은 가공 동안 금속 옥소-히드록소 물질로 축합될 수 있는 단일 금속 잔기에 기초한 구조를 갖는다.
본 명세서에 기재된 3개의 금속 원자 및 유기 리간드를 갖는 금속 클러스터는 2개 또는 3개의 금속 원자 사이의 가교기를 포함한다. 금속 클러스터 조성물은 합성된 그대로의 결정질 고체이며, 그 구조는 대표적인 실시양태에 대해 구해졌다. 금속 클러스터 조성물은 추가의 처리를 위해 적절한 유기 용매 중에 가용성이다. 금속 클러스터의 용액은 적합한 기판 상에 퇴적될 수 있고 패턴화를 위해 추가로 처리될 수 있는 방사선 레지스트로서 사용될 수 있다. 본원에서 입증된 바와 같이, 금속 클러스터 기반 조성물은 패턴화를 위해 효과적으로 사용될 수 있고 가공 이점을 가질 수 있다.
현재 관심있는 주석 클러스터는 3개의 주석 원자를 연결하는 μ3 중앙 가교 산소 원자를 갖는 3개의 주석 원자의 코어를 포함한다. 각각의 주석은 알킬 리간드를 갖는다. 3개의 가교(μ2) 카르복실레이트 리간드가 각 쌍의 주석 원자를 연결한다. 추가의 2개의 카르복실라토 리간드는 비-가교된 (Sn-O 결합된) 구성에서 2개의 주석 원자에 결합되고, 비-가교된 카르복실레이트 리간드가 없는 주석 원자는 다른 2개의 주석 원자 각각에 이를 가교시키는 2개의 μ2 히드록소 리간드에 결합된다. 따라서, 주석 원자들 중 2개는 동등한 리간드 구조를 갖고, 제3 주석 원자는 다소 상이한 리간드 구조를 갖지만, 모든 주석 원자는 알킬 리간드(Sn-C 결합)를 갖고 2개의 가교 카르복실라토 리간드를 공유한다. 하기 실시예의 결과에 기초하여, 2개의 비-가교 카르복실라토 리간드가 비-가교 히드록소 리간드 및/또는 알콕사이드 리간드(Sn-O 결합)로 용이하게 치환될 수 있는 것으로 생각된다. 하기에 상세히 기술하는 바와 같이, 합성은 극성 유기 용매 중에서의 카르복실산 및 물과 알킬 주석 트리(알킬아세틸라이드)의 반응을 포함한다.
일부 주석 클러스터의 형성은 알킬 주석산, RSn(O)OH의 반응에 기초하여 기술되었다. 포스페이트 리간드를 갖는 주석 삼량체의 형성은 문헌 [Day et al., J. Am. Chem. Soc. 1987, Vol.109, 940-941, "A New Structural Form of Tin in an Oxygen-Capping Cluster"]에 기재되어 있으며, 상기 문헌은 본원에 참조로 포함된다. 다른 유기주석 케이지들이 문헌 [Chandrasekhar et al., Organometallics 2005, Vol.24, 4926-4932, "Organotin Cages, {[(n-BuSn)33-O)(OC6H4-4-X)3]2(HPO3]4}, X = H, Cl, Br and I, in Double O-Capped Structures: Halogen-Bonding-Mediated Supramolecular Formation"]에 기재되어 있으며, 상기 문헌은 본원에 참조로 포함된다. 알킬 주석산에 기초한 추가의 합성 방법은 문헌 [Chandrasekhar et al., Coordination Chemistry Reviews, 2002, Vol. 235, 1-52, "Organotin assemblies containing Sn-O bonds"]의 리뷰 문헌에 요약되어 있으며, 상기 문헌은 본원에 참조로 포함된다. 알킬 주석산에 기초한 이러한 합성 작업은 본원에 기재된 합성 방법 또는 본원에 기재된 조성물의 특정 범위를 제시하지 않는다.
금속 클러스터 화합물은 재결정화와 같은 정제 이후 결정질 고체를 형성한다. 고체 조성물은, 임의로 안정화를 위한 양의 카르복실산을 포함하는 적합한 용매 중에 실온에서 용해될 수 있고, 생성된 용액은 레지스트 전구체 조성물로서 적합하다. 레지스트 전구체 조성물은 패턴화 적용의 분배를 위한 용액 중에서 안정할 수 있다. 아래에 예시된 바와 같이, 전구체 조성물은 패턴화될 기판을 코팅하기 위해 사용될 수 있다. 코팅 동안 및 코팅 후 적절한 가공이 방사선 패턴화를 위해 코팅된 기판을 마련할 수 있다. 하기 결과는 결과적인 코팅된 기판이 나노미터 스케일 특징부의 EUV 패턴화에 적합하다는 것을 입증한다.
본 발명의 주석 클러스터 조성물은 식 R3Sn3(O2CR')5 -x(OH)2+x3-O)로 나타낼 수 있으며, 여기서 0 ≤ x < 2이고; R = 탄소수 1 내지 31의 분지형 또는 사이클로알킬; R' = H 또는 탄소수 1 내지 31의 알킬이다. 주석 클러스터는 μ3 산소 원자 주위에 3개의 주석 원자를 가지며, μ3은 3겹(three fold) 결합 구성을 나타낸다. 5개의 카르복실라토 리간드 중, 3개는 가교(μ2)이고, 2개는 비-가교이다. μ 표기는 편의상 식에 반드시 포함되지는 않는다. R 기는 선형, 분지형(금속-결합된 탄소 원자에서의 2차 또는 3차 포함), 또는 사이클릭 히드로카르빌 기일 수 있다.
각각의 R(알킬) 기는 개별적으로 주석 원자에 결합되고 일반적으로 1 내지 31개의 탄소 원자를 가지며고, 2차 결합된 탄소 원자를 갖는 기에 대해서는 3 내지 31개의 탄소 원자를 갖고, 3차 결합된 탄소 원자를 갖는 기에 대해서는 4 내지 31개의 탄소 원자를 가지며, 일부 실시양태에서 각각의 R 기는 20개 이하의 탄소 원자를 가질 수 있고, 추가의 실시양태에서 15개 이하의 탄소 원자를 가질 수 있다. 당업자는 상기 명시적인 범위 내의 추가적인 범위의 탄소 수가 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다. 특히, 분지형 알킬 리간드는 클러스터의 R-Sn 부분이 R1R2R3CSn으로 나타내어질 수 있는 일부 패턴화 조성물에 대해 바람직할 수 있으며, 여기서 R1 및 R2는 독립적으로 탄소수 1 내지 10의 알킬 기이고, R3은 수소 또는 탄소수 1 내지 10의 알킬 기이다. 일부 실시양태에서, R1 및 R2는 사이클릭 알킬 부분을 형성할 수 있고, R3은 또한 사이클릭 부분에서 다른 기들에 결합할 수 있다. 적합한 분지형 알킬 리간드는, 예를 들어, 이소프로필(R1 및 R2는 메틸이고, R3은 수소임), tert-부틸(R1, R2 및 R3은 메틸임), tert-아밀(R1 및 R2는 메틸이고, R3은 -CH2CH3임), sec-부틸(R1은 메틸이고, R2는 -CH2CH3이고, R3은 수소임), 네오펜틸(R1 및 R2는 수소이고, R3는 -C(CH3)3임), 사이클로헥실, 사이클로펜틸, 사이클로부틸 및 사이클로프로필이다. 적합한 사이클릭 기의 예로는 예를 들어 1-아다만틸(-C(CH2)3(CH)3(CH2)3 또는 3차 탄소에서 금속에 결합된 트리사이클로(3.3.1.13,7)데칸) 및 2-아다만틸(-CH(CH)2(CH2)4(CH)2(CH2) 또는 2차 탄소에서 금속에 결합된 트리사이클로(3.3.1.13,7)데칸)을 포함한다. 다른 실시양태에서, 히드로카르빌 기는 아릴 또는 알케닐 기,예를 들어 벤질 또는 알릴, 또는 알키닐 기를 포함할 수 있다. 다른 실시양태에서, 히드로카빌 리간드 R은 C 및 H 만으로 구성되고 1 내지 31개의 탄소 원자를 함유하는 임의의 기를 포함할 수 있다. 예를 들어, 선형 또는 분지형 알킬(i-Pr((CH3)2 CH-), t-Bu((CH3)3C-), Me(CH3-), n-Bu(CH3CH2CH2CH2-)), 사이클로-알킬(사이클로-프로필, 사이클로-부틸, 사이클로-펜틸), 올레핀(알케닐, 아릴, 알릴), 또는 알키닐 기, 또는 이들의 조합이다. 추가의 실시양태에서, 적합한 R 기는 시아노, 티오, 실릴, 에테르, 케토, 에스테르, 또는 할로겐화된 기, 또는 이들의 조합을 포함하는 헤테로-원자 관능기로 치환된 히드로카르빌 기를 포함할 수 있다.
카르복실라토 리간드 O2CR'에 대해, 포르메이트 리간드(O2CH, R'= CH3)가 하기에 예시된다. 일반적으로, R'는 20개 이하의 탄소 원자, 다른 실시양태에서는 12개 이하의 탄소 원자 및 다른 실시양태에서는 10개 이하의 탄소 원자를 갖는 직쇄 또는 분지쇄일 수 있다. 당업자는 상기 명시적인 범위 내의 탄소 원자의 추가적인 범위가 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다. 다른 특히 적합한 카르복실레이트(대안적으로 카르복실라토라고도 함) 리간드로는, 예를 들어, 아세테이트 리간드(O2CCH3), 프로피오네이트 리간드(O2CCH2CH3), 옥살레이트 리간드(O2CCO2H), 또는 이들의 조합이 포함된다.
3개의 카르복실레이트 리간드는 구조를 안정화시키는 것으로 생각되는 Sn-O-CR'-O-Sn 구조를 갖는 가교(μ2)이다. 2개의 카르복실레이트 리간드는 비-가교이고, 이들은 예를 들어 히드록소(OH) 리간드 또는 알콕사이드 리간드와 교환가능한 것으로 생각된다. 따라서, 식 중 파라미터 x는 비-분지형 카르복실레이트 리간드와 히드록시드 리간드의 교환 정도를 나타낸다. 알콕사이드 리간드는 식 ORa로 나타낼 수 있으며, 여기서 Ra는 일반적으로 탄소수 1 내지 20의 유기 기이다. 유기 기는 보다 일반적으로 포화 또는 불포화(알케닐, 알키닐 또는 방향족) 뿐만 아니라 헤테로 원자로 치환 또는 비치환되는 유기 부분, 예컨대 알킬 기 또는 사이클로알킬 기를 지칭한다. 다른 낮은 pKa 리간드는 또한 카보닐 아미드의 카보닐 O, 카바메이트 또는 티올의 S에 대한 리간드와 같은 비-가교 카복실레이트 리간드에 대한 치환에 적합할 수 있다. 따라서, 주석 삼량체의 화학식은 R3Sn3(O2CR')3+x(OH)2(L)2-x 3-O)에 대한 이들 치환에 기초하여 일반화되며, 여기서 0 ≤ x ≤ 2이고; L은 식 ORa 또는 SRa를 갖는 리간드를 나타내고, Ra는 H 또는 탄소수 1 내지 20의 유기 기이다. 합성된 그대로의 클러스터의 구조는 정제된 결정형 형태로 구해지나, 무정형 형태로 고체를 형성하는 것이 가능할 수 있다. 물 또는 알콜과 같은 용매가 교환가능한 리간드를 제공할 수 있지만, 코어 클러스터 구조가 용액 중에 유지되는 것으로 생각된다. 만일 클러스터 조성물이 알콜 용매에 용해된다면, 알콕사이드 리간드가 비-가교 카복실레이트 리간드 및/또는 히드록시드 리간드를 적어도 부분적으로 치환할 수 있는 것이 가능하다. 상기 용액이 주위 분위기 하에서 얇은 코팅으로 형성되거나 상기 용매가 소정량의 물을 포함하는 경우, 상기 카르복실레이트 리간드는 상기 용매로부터의 대기 수분 또는 물에 대한 노출에 의해 히드록시드 리간드로 대체될 수 있다.
주석 클러스터의 합성은 초기 주석 반응물 알킬주석 트리아세틸라이드, RSn(C≡CR")의 사용에 기초할 수 있으며, 여기서 R은 클러스터 내의 알킬 리간드에 대해 상기 명시된 알킬 기에 상응한다. R"는 일반적으로 선형 또는 분지형 알킬 기일 수 있고, 사이클릭, 불포화 또는 방향족일 수 있다. R"는 실시예에서 페닐 기이다. 알킬주석 아세틸라이드는 RSnCl3에 아세틸라이드 음이온(R'C≡C-)의 첨가에 의해 합성될 수 있고, Sn(C≡CR")4에 대한 알킬 음이온의 첨가 또는 HC≡CR"와RSn(NR"'2)3의 반응에 의해 합성될 수 있다. 알킬주석 트리아세틸라이드는 극성 용매 중에서 카르복실산 및 소량의 물과 반응한다. 일반적으로 용매는 알콜, 예컨대 에탄올, 또는 다른 수혼화성 유기 용매일 수 있다. 카르복실산 및 물의 양은 삼량체 클러스터 형성을 제공하도록 선택된다. 일반적으로, 물에 대한 카르복실산의 몰비는 약 3 내지 약 18, 다른 실시양태에서는 약 5 내지 약 15, 다른 실시양태에서는 약 7 내지 약 14이다. 당업자는 상기 명시적인 범위 내에서 물에 대한 카르복실산의 비의 추가적인 범위가 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다.
반응을 수행하기 위해, 열을 가하여 알킬주석 트리아세틸라이드의 용해를 빠르게 할 수 있다. 하기 실시예에서, 용액을 10분 동안 60 ℃로 가열하여 반응물을 용해시킨다. 온도는 용매 비등점 미만의 원하는 값으로 선택될 수 있고, 시간의 양은 반응물의 용해에 기초하여 선택될 수 있다. 가열 단계의 온도는 클러스터 형성에 중요한 것으로 보여지지 않는다. 클러스터를 형성하기 위한 반응은 밤새도록 수행될 수 있지만, 더 길거나 더 짧은 시간이 적절할 수 있다. 일반적으로, 클러스터를 형성하기 위한 반응은 약 30분 이상, 추가의 실시양태에서는 약 1 시간 이상, 다른 실시양태에서는 약 90분 내지 약 2일 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내의 추가적인 범위가 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다. 반응은 실온에서 수행될 수 있지만, 필요에 따라 일부 가열 또는 냉각이 사용될 수 있다.
생성물은 용매를 증발시킴으로써 수집될 수 있으며, 이는 일반적으로 감압 하에서 수행되어 보다 낮은 온도를 허용한다. 수집된 고체를, 일반적으로 유기 용매의 가열을 통해 용매에서 재결정화하여 정제하여 조성물을 용해시키고 천천히 용액을 냉각시킬 수 있다. 재결정화에 적합한 용매는 예를 들어, 톨루엔, 아세토니트릴, 에탄올, 메탄올, 아세톤 등을 포함한다. 재결정화된 조성물은 추가의 사용을 위해 수집될 수 있고, 임의로 적합한 용매로 세척될 수 있다. 실험에 기초하여, 비-가교된 카르복실라토 리간드의 적어도 일부의 교환은 알콜 용매에서의 재결정화 동안 알콕시 리간드를 교환할 수 있다.
레지스트 전구체 용액을 형성하기 위해, 클러스터 조성물은 적합한 유기 용매에 용해될 수 있다. 용해도는, 혼합물의 총 부피당 첨가된 부피인 약 1% 내지 25% v/v, 또 다른 실시양태에서는 약 5% 내지 20% v/v의 농도로 안정화제로서의 포름산 또는 다른 카르복실산을 갖는, 클로로포름, 알콜, 및 다양한 극성 유기 용매 중에서 확인하였다. 알콜은 1-메톡시-2-프로판올, 4-메틸-2-펜타놀, 사이클로펜타놀, 메탄올, 에탄올, n-프로판올, 또는 이소프로판올, 이들의 혼합물 등의 1 종 이상일 수 있다. 알킬주석 삼량체 조성물은 또한 하나 이상의 극성 에테르, 예컨대 tert-부틸 메틸 에테르 및 아니솔, 에틸 락테이트, 사이클릭사이클릭사이클릭대 테트라히드로푸란 등과 혼합된 포름산 용액에 용해된다. 다른 유기 용매는 또한 상기 언급된 확인된 용매에 기초하여 적합할 수 있다. 주석 농도는 주석의 양으로써 약 0.1 mM 내지 약 1 M, 추가의 실시양태에서는 약 0.5 mM 내지 약 500 mM, 다른 실시양태에서는 약 1 mM 내지 약 100 mM의 범위일 수 있다. 일반적으로, 유기 용매 선택은 용해도 파라미터, 휘발성, 가연성, 독성, 점도 및 다른 처리 물질과의 잠재적인 화학적 상호작용에 의해 영향을 받을 수 있다. 일반적으로, 카르복실산의 첨가량은 카르복실레이트 리간드의 산 형태에 대응할 수 있다. 따라서, 예시된 클러스터에 대해, 포름산이 용액에 첨가될 것이다. 당업자는 상기 명시적인 범위 내의 추가적인 범위의 농도가 고려되고 본 발명 내에 있다는 것을 인식할 것이다. 일반적으로, 전구체 용액은 형성되는 재료의 부피에 적합한 혼합 장치를 사용하여 잘 혼합될 수 있다. 적절한 여과가 적절하게 용해되지 않는 임의의 오염물 또는 다른 성분을 제거하는데 사용될 수 있다.
용액 중에서, 전술한 바와 같이, 2개의 비-가교결합 카르복실레이트 리간드는 알콕사이드 또는 황 유사체와 같은 다른 리간드로 대체될 수 있다. 즉, 클러스터들에 대한 화학식은 R3Sn3(O2CR')3+x(OH)2(L)2-x3-O)가 되며, 여기서 0 ≤ x ≤ 2이고, L은 ORa 또는 SRa이며, 여기서 Ra는 상기에 명시되어 있다. 치환된 주석 삼량체 클러스터가 용액 중에서 형성될 수 있지만, 용매를 제거하여 상응하는 고체를 형성할 수 있다.
코팅 물질은 선택된 기판 상으로의 전구체 용액의 퇴적 및 후속 가공을 통해 형성될 수 있다. 본원에 기재된 전구체 용액을 사용하여, 일부 가수분해 및 축합이 일반적으로 코팅 동안 발생할 수 있고, 공기 중에서의 가열과 같은 후속 가공 단계를 통해 완료되거나 후 코팅을 촉진할 수 있다. 기판은 일반적으로 코팅 물질이 퇴적될 수 있는 표면을 제시하고, 기판은 표면이 최상층과 관련되는 복수의 층을 포함할 수 있다. 일부 실시양태에서, 기판 표면이 처리되어 코팅 물질의 접착을 위한 표면이 마련될 수 있다. 또한, 표면은 적절하게 세정 및/또는 평활화될 수 있다. 적합한 기판 표면은 임의의 적당한 재료를 포함할 수 있다. 특히 중요한 일부 기판으로는, 예를 들어, 기판의 표면에 걸쳐 및/또는 층들에 있는 실리콘 웨이퍼, 실리카 기판, 세라믹 재료와 같은 다른 무기 재료, 유기 중합체와 같은 중합체 기판, 이들의 합성물 및 이들의 조합물을 포함한다. 비교적 얇은 원통형 구조와 같은 웨이퍼가 편리할 수 있지만, 임의의 적당한 형상의 구조가 사용될 수 있다. 중합체 기판 또는 비-중합체 구조 상에 중합체 층을 갖는 기판은 이들의 낮은 비용 및 가요성에 기초하여 특정 용도에 바람직할 수 있고, 적합한 중합체는 본원에 기재된 패턴화가능한 재료의 가공을 위해 사용될 수 있는 비교적 낮은 가공 온도에 기초하여 선택될 수 있다. 적합한 중합체는 예를 들어, 폴리카보네이트, 폴리이미드, 폴리에스테르, 폴리알켄, 이들의 공중합체 및 이들의 혼합물을 포함할 수 있다. 일반적으로, 기판은 특히 고해상도 적용을 위해 평평한 표면을 갖는 것이 바람직하다. 그러나, 특정 실시양태에서, 기판은 실질적인 토포그래피(topography)를 가질 수 있으며, 여기서 레지스트 코팅은 특정 패턴화 적용을 위한 특징부들을 충진하거나 평탄화하기 위한 것이다.
일반적으로, 임의의 적합한 용액 코팅 공정을 사용하여 전구체 용액을 기판에 전달할 수 있다. 적합한 코팅 접근법은, 예를 들어, 스핀 코팅, 스프레이 코팅, 딥 코팅, 나이프 에지 코팅, 화학 기상 퇴적(CVD) 또는 원자층 퇴적(ALD) 방법과 같은 기상 퇴적, 잉크젯 프린팅 및 스크린 프린팅과 같은 프린팅 접근법 등을 포함할 수 있다. 이들 코팅 접근법 중 일부는 코팅 공정 동안 코팅 물질의 패턴을 형성하지만, 현재 프린팅 등으로부터 이용가능한 해상도는 본원에 기재된 바와 같은 방사선 기반 패턴화로부터 이용가능한 것보다 상당히 낮은 수준의 해상도를 갖는다.
방사선을 사용하여 패턴화가 수행되는 경우, 에지 효과들이 존재할 수 있지만, 스핀 코팅은 기판을 비교적 균일하게 커버하는 바람직한 접근법일 수 있다. 일부 실시양태에서, 웨이퍼는 약 500 rpm 내지 약 10,000 rpm의 속도로, 다른 실시양태에서는 약 1000 rpm 내지 약 7500 rpm의 속도로. 추가적인 실시양태에서는 약 2000 rpm 내지 약 6000 rpm의 속도로 회전될 수 있다. 원하는 코팅 두께를 얻기 위해 스피닝 속도를 조정할 수 있다. 스핀 코팅은 약 5초 내지 약 5분 동안, 또 다른 실시양태에서는 약 15초 내지 약 2분 동안 수행될 수 있다. 초기 저속 스핀(예를 들어, 50 rpm 내지 250 rpm)이 기판 전반에 걸쳐 조성물의 초기 벌크 퍼짐을 수행하는데 사용될 수 있다. 백 사이드 린스, 에지 비드 제거 단계 등이 임의의 에지 비드를 제거하기 위해 물 또는 다른 적절한 용매에 의래 수행될 수 있다. 당업자는 상기 명시적인 범위들 내의 스핀 코팅 파라미터들의 추가적인 범위들이 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다.
코팅의 두께는 일반적으로 스핀 코팅에 대한 전구체 용액 농도, 점도, 및 스핀 속도의 함수일 수 있다. 다른 코팅 공정에 있어서, 두께는 일반적으로 또한 코팅 파라미터의 선택을 통해 조절될 수 있다. 일부 실시양태에서, 후속 패턴화 공정에서 작고 고도로 분해된 특징부들의 형성을 용이하게 하기 위해 얇은 코팅을 사용하는 것이 바람직할 수 있다. 예를 들어, 건조 후의 코팅 물질은 약 10 마이크론 이하, 다른 실시양태에서는 약 1 마이크론 이하, 추가의 실시양태에서는 약 250 나노미터(nm) 이하, 추가의 실시양태에서는 약 1 나노미터(nm) 내지 약 50 nm, 다른 실시양태에서는 약 2 nm 내지 약 40 nm, 일부 실시양태에서는 약 3 nm 내지 약 35 nm의 평균 두께를 가질 수 있다. 당업자는 상기 명시적인 범위 내의 추가적인 범위의 두께가 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다. 두께는 막의 광학 특성에 기초하여 x-선 반사율 및/또는 엘립소메트리(ellipsometry)의 비접촉 방법을 사용하여 평가될 수 있다. 일반적으로, 코팅은 처리를 용이하게 하기 위해 비교적 균일하다. 일부 실시양태에서, 코팅의 두께의 변화는 평균 코팅 두께로부터 ±50% 이하, 추가의 실시양태에서는 ±40% 이하 및 추가의 실시양태에서는 평균 코팅 두께에 비해 약 ±25% 이하로 변화한다. 더 큰 기판들 상의 고 균일성 코팅들과 같은 일부 실시양태에서, 코팅 균일성의 평가는 1 센티미터 에지 배제(edge exclusion)로 평가될 수 있으며, 즉 코팅 균일성은 에지의 1 센티미터 내에서의 코팅 부분에 대해서는 평가되지 않는다. 당업자는 상기 명시적인 범위 내의 추가적인 범위가 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다.
코팅 공정 자체는 많은 코팅 공정이 액적 또는 보다 큰 표면적을 갖는 코팅 물질의 다른 형태 및/또는 증발을 자극하는 용액의 이동을 형성하기 때문에 용매의 일부를 증발시킬 수 있다. 용매의 손실은 재료 내의 종의 농도가 증가함에 따라 코팅 물질의 점도를 증가시키는 경향이 있다. 코팅 공정 동안의 목적은 추가의 가공을 위해 코팅 물질을 안정화시키도록 충분한 용매를 제거하는 것일 수 있다. 반응성 종은 코팅 또는 후속 가열 동안 축합되어 가수분해물 코팅 물질을 형성할 수 있다.
일반적으로, 코팅 물질은 방사선 노광 전에 대기 수분의 존재에 노출되고 선택적으로 그 존재 하에서 가열되어, 전구체 조성물 중의 금속에 가수분해성 결합을 가수분해하고/하거나 용매를 추가로 빼내고 코팅 물질의 치밀화를 촉진시킬 수 있다. 원위치 가수분해 이후의 코팅 물질은 일반적으로, 금속이 일부 알킬 리간드도 또한 갖는 금속에 대한 옥소-히드록소 및/또는 카르복실라토 리간드의 결합에 기초한 중합체 금속 옥소-히드록소 및/또는 카르복실라토 네트워크, 또는 알킬 리간드를 갖는 다핵 금속 옥소/히드록소 및/또는 카르복실라토 종으로 구성된 분자 고체를 형성할 수 있다.
가수분해/용매 제거 공정은 가열된 코팅 물질의 정확한 화학량론 및/또는 코팅 물질에 잔류하는 특정 양의 용매에 대해 정량적으로 제어될 수 있거나 되지 않을 수 있다. 또한, 본원에서 표시된 식들 및 조성들은, Sn에 직접 결합되든지, 또는 네트워크의 수소-결합 성분으로서, 일부 추가의 물을 함유할 수 있다. 수득되는 코팅 물질 특성의 경험적 평가는 일반적으로 패턴화 공정에 효과적인 가공 조건을 선택하도록 수행될 수 있다. 공정의 성공적인 적용을 위해 가열이 필요하지 않을 수 있지만, 가공 속도를 높이고 및/또는 공정의 재현성을 증가시키고 및/또는 아민 및/또는 알콜과 같은 생성물에 의한 가수분해의 기화를 용이하게 하기 위해 코팅된 기판을 가열하는 것이 바람직할 수 있다. 노광-전 베이크(pre-exposure bake)에서 용매를 제거하기 위해 열을 가하는 실시양태에서, 코팅 물질은 약 45 ℃ 내지 약 250 ℃의 온도 및 추가의 실시양태에서 약 55 ℃ 내지 약 225 ℃로 가열될 수 있다. 용매 제거를 위한 가열은 일반적으로 적어도 약 0.1분 동안, 추가 실시양태에서 약 0.5분 내지 약 30분 동안 그리고 추가적인 실시양태에서 약 0.75분 내지 약 10분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내의 가열 온도 및 시간의 추가적인 범위가 존재하고 본 개시내용 내에 있다는 것을 인식할 것이다. 코팅 물질의 열 처리, 가수분해 및 치밀화의 결과로서, 코팅 물질은 굴절률 증가 및 콘트라스트의 현저한 손실 없는 방사선의 흡수 증가를 나타낼 수 있다.
가수분해, 축합 및 건조 후, 코팅 물질은 방사선을 사용하여 미세하게 패턴화될 수 있다. 전술한 바와 같이, 전구체 용액의 조성 및 이에 의해 대응하는 코팅 물질은, 특히 중요하게는 EUV 방사선에 대해, 원하는 형태의 방사선의 충분한 흡수를 위해 설계될 수 있다. 방사선의 흡수는 알킬 리간드들 중 적어도 일부가 더 이상 물질을 안정화시킬 수 없도록 금속과 알킬 리간드 사이의 결합을 파괴할 수 있는 에너지를 초래한다. 유사하게, 방사선의 흡수는 또한 금속 및 카르복실레이트 리간드 사이의 결합을 파괴하고/하거나 카르복실레이트 리간드를 분해할 수 있다. 알킬 리간드 또는 다른 단편을 포함하는 방사선 분해 생성물은 공정 변수 및 이러한 생성물의 동일성에 따라 필름 밖으로 확산될 수 있거나 그렇지 않을 수 있다. 충분한 양의 방사선의 흡수로, 노광된 코팅 물질은 축합되고, 즉, 주변 대기로부터 흡수된 추가적인 물을 포함할 수 있는 향상된 금속 옥소-히드록소 네트워크를 형성한다. 방사선은 일반적으로 선택된 패턴에 따라 전달될 수 있다. 방사선 패턴은 조사된 영역 및 미조사된 영역을 갖는 코팅 물질 내의 대응하는 패턴 또는 잠상으로 전사된다. 조사된 영역은 화학적으로 변경된 코팅 물질을 포함하고, 미조사된 영역은 일반적으로 형성된 그대로의 코팅 물질을 포함한다. 후술하는 바와 같이, 미조사된 코팅 물질의 제거 또는 대안적으로 조사된 코팅 물질의 선택적인 제거와 함께 코팅 물질의 현상시에 매우 평활한 에지가 형성될 수 있다.
일반적으로, 방사선은 마스크를 통해 코팅된 기판으로 지향될 수 있거나, 방사선 빔은 기판을 가로질러 제어 가능하게 스캐닝될 수 있다. 일반적으로, 방사선은 전자기 방사선, 전자-빔(β-방사선), 또는 다른 적절한 방사선을 포함할 수 있다. 일반적으로, 전자기 방사선은 가시선, 자외선 또는 x-선 방사선과 같은 원하는 파장 또는 파장 범위를 가질 수 있다. 방사 패턴에 대해 달성가능한 해상도는 일반적으로 방사 파장에 의존하고, 더 높은 해상도 패턴은 일반적으로 더 짧은 파장 방사선으로 달성될 수 있다. 따라서, 특히 고해상도 패턴을 달성하기 위해 자외선, x-선 방사선 또는 전자-빔을 사용하는 것이 바람직할 수 있다.
본원에 참고로 포함된 국제 표준 ISO 21348(2007)에 따르면, 자외광은 100 nm 이상 400 nm 이하의 파장 사이에서 연장된다. 크립톤 플루오라이드 레이저는 248 nm 자외광에 대한 공급원으로서 사용될 수 있다. 자외선 범위는 허용 표준하에서 여러 방식으로, 예컨대 10 nm 이상 121 nm 이하의 극자외선(Extreme ultraviolet;EUV), 및 122 nm 이상 내지 200 nm 미만의 원자외선(far ultraviolet;FUV)으로 세분될 수 있으며, 아르곤 플루오라이드 레이저로부터의 193 nm 라인이 FUV에서 방사선 공급원으로서 사용될 수 있다. EUV 광은 13.5 nm에서 리소그래피에 사용되어 왔으며, 이 광은 고 에너지 레이저 또는 방전 펄스를 사용하여 여기되는 Xe 또는 Sn 플라즈마 소스로부터 생성된다. 연질 x-선은 0.1 nm 이상 내지 10 nm 미만으로 정의될 수 있다.
전자기 방사선의 양은 노광 시간에 걸쳐 통합된 방사 플럭스에 의해 정의되는 플루엔스(fluence) 또는 선량(dose)에 의해 특징지어질 수 있다. 적합한 방사선 플루엔스는 약 1 mJ/㎠ 내지 약 175 mJ/㎠, 추가의 실시양태에서는 약 2 mJ/㎠ 내지 약 150 mJ/㎠, 추가의 실시양태에서는 약 3 mJ/㎠ 내지 약 125 mJ/㎠일 수 있다. 당업자는 상기 명시적인 범위 내의 방사선 플루엔스의 추가적인 범위가 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다.
코팅 물질의 설계에 기초하여, 실질적으로 손상되지 않은 유기 또는 카르복실레이트 리간드를 갖는 축합된 코팅 물질 및 미조사된 코팅 물질을 갖는 조사된 영역들 간의 물질 특성의 큰 대비가 있을 수 있다. 조사-후 열처리가 사용되는 실시양태의 경우, 조사-후 열처리는 약 45 ℃ 내지 약 250 ℃, 추가의 실시양태에서는 약 50 ℃ 내지 약 190 ℃, 추가의 실시양태에서는 약 60 ℃ 내지 약 175 ℃의 온도에서 수행될 수 있다. 노광-후 가열은 일반적으로 약 0.1분 이상, 추가의 실시양태에서는 약 0.5분 내지 약 30분, 추가적인 실시양태에서는 약 0.75분 내지 약 10분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내의 후-조사 가열 온도 및 시간의 추가적인 범위가 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다. 물질 특성의 이러한 높은 콘트라스트는 다음 섹션에서 설명되는 바와 같이 현상 이후에 패턴 내에 매끄러운 에지를 갖는 고-해상도 라인의 형성을 더 용이하게 한다.
네거티브 톤 이미징을 위해, 현상액은 전구체 용액을 형성하는데 사용되는 용매와 같은 유기 용매일 수 있다. 일반적으로, 현상제 선택은 조사된 코팅 물질과 미조사된 코팅 물질 둘 모두에 대한 용해도 파라미터, 뿐만 아니라 현상제 휘발성, 가연성, 독성, 점도 및 다른 공정 물질과의 잠재적인 화학적 상호작용에 의해 영향을 받을 수 있다. 특히, 적합한 현상제는 예를 들어 알콜(예를 들어, 4-메틸-2-펜타놀, 1-부탄올, 이소프로판올, 1-프로판올, 메탄올), 에틸 락테이트, 에테르(예를 들어, 테트라히드로푸란, 디옥산, 아니솔) 등을 포함한다. 상기 현상은 약 5초 내지 약 30분 동안, 추가의 실시양태에서는 약 8초 내지 약 15분 동안, 추가의 실시양태에서는 약 10초 내지 약 10분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내의 추가적인 범위가 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다.
1차 현상제 조성물 이외에, 현상제는 현상 공정을 용이하게 하기 위해 추가의 조성물을 포함할 수 있다. 적합한 첨가제는 예를 들어 점도 개질제, 가용화 보조제, 또는 다른 가공 보조제를 포함할 수 있다. 선택적인 첨가제가 존재하는 경우, 현상제는 약 10 중량% 이하의 첨가제를 포함할 수 있고, 추가의 실시양태에서 약 5 중량% 이하의 첨가제를 포함할 수 있다. 당업자는 상기 명시적인 범위 내의 부가적인 농도 범위가 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다.
보다 약한 현상제, 예를 들어 보다 낮은 농도의 수성 현상제, 희석된 유기 현상제, 또는 코팅이 낮은 현상 속도를 갖는 조성물의 사용시, 공정의 속도를 증가시키기 위해 보다 높은 온도의 현상 공정이 사용될 수 있다. 더 강한 현상제의 사용시, 현상 속도를 감소시키고/시키거나 현상 동역학을 제어하기 위해 현상 공정의 온도는 더 낮을 수 있다. 일반적으로, 현상 온도는 용매의 휘발성과 일치하는 적절한 값 사이에서 조절될 수 있다. 또한, 현상제-코팅 계면 근처에 용해된 코팅 물질을 갖는 현상제는 현상 동안 초음파처리에 의해 분산될 수 있다.
현상제는 임의의 합당한 접근법을 사용하여 패턴화된 코팅 물질에 도포될 수 있다. 예를 들어, 현상제는 패턴화된 코팅 물질 상에 분무될 수 있다. 또한, 스핀 코팅이 사용될 수 있다. 자동화된 처리를 위해, 현상액을 고정 포맷으로 코팅 물질 상에 붓는 것을 포함하는 퍼들(puddle) 방법이 사용될 수 있다. 경우에 따라 스핀 헹굼 및/또는 건조가 현상 공정을 완료하는데 사용될 수 있다. 적합한 헹굼 용액은, 예를 들어, 초순수, 메틸 알콜, 에틸 알콜, 프로필 알콜 및 이들의 조합을 포함한다. 이미지가 현상된 후, 코팅 물질은 패턴으로서 기판 상에 배치된다.
현상 단계의 완료 후, 코팅 물질을 열처리하여 물질을 추가로 축합시키고 추가로 탈수시키거나, 치밀화하거나, 또는 물질로부터 잔류 현상제를 제거할 수 있다. 이러한 열 처리는 산화물 코팅 물질이 최종 장치에 포함되는 실시양태에 특히 바람직할 수 있지만, 코팅 물질이 레지스트로서 사용되고, 궁극적으로 코팅 물질의 안정화가 추가 패턴화를 용이하게 하는데 바람직한 경우에는 궁극적으로 제거되어지는 일부 실시양태에 대해서는 열 처리를 수행하는 것이 바람직할 수 있다. 특히, 패턴화된 코팅 물질의 베이크는 패턴화된 코팅 물질이 원하는 수준의 에칭 선택도를 나타내는 조건 하에서 수행될 수 있다. 일부 실시양태에서, 패턴화된 코팅 물질은 약 100 ℃ 내지 약 600 ℃, 추가의 실시양태에서는 약 175 ℃ 내지 약 500 ℃, 추가의 실시양태에서는 약 200 ℃ 내지 약 400 ℃의 온도로 가열될 수 있다. 가열은 적어도 약 1분 동안, 다른 실시양태에서는 약 2분 내지 약 1시간 동안, 다른 실시양태에서는 약 2.5분 내지 약 25분 동안 수행될 수 있다. 가열은 공기, 진공, 또는 Ar 또는 N2와 같은 불활성 가스 분위기에서 수행될 수 있다. 당업자는 상기 명시적인 범위 내의 열처리에 대한 온도 및 시간의 추가적인 범위가 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다. 마찬가지로, 블랭킷 UV 노광을 포함하는 비-열 처리, 또는 O2와 같은 산화 플라즈마에 대한 노출이 또한 유사한 목적을 위해 사용될 수 있다.
일부 실시양태에서, 이웃하는 구조들의 인접한 선형 세그먼트들은 약 60 nm(30 nm 반-피치) 이하, 일부 실시양태에서 약 50 nm(25 nm 반-피치) 이하 및 추가의 실시양태에서 약 34 nm(17 nm 반-피치) 이하의 평균 피치(반-피치)를 가질 수 있다. 피치는 설계에 의해 평가될 수 있고, 탑-다운(top-down) 이미지와 같은 주사 전자 현미경(SEM)으로 확인될 수 있다. 본원에 사용되는 바와 같이, 피치는 반복 구조 요소들의 공간 주기, 또는 중심 대 중심 거리를 지칭하며, 일반적으로 종래 기술에서 사용되는 바와 같이, 반-피치는 피치의 절반이다. 패턴의 특징부 치수는 또한 특징부의 평균 폭과 관련하여 설명될 수 있으며, 이는 일반적으로 코너 등으로부터 떨어져 평가된다. 또한, 특징부는 재료 요소들 사이의 및/또는 재료 요소들과의 갭(gap)들을 지칭할 수 있다. 일부 실시양태에서, 평균 폭은 약 25 nm 이하, 추가의 실시양태에서는 약 20 nm 이하, 추가의 실시양태에서는 약 15 nm 이하일 수 있다. 당업자는 상기 명시적인 범위들 내의 피치 및 평균 폭들의 부가적인 범위들이 고려되고 본 개시내용 내에 있다는 것을 인식할 것이다. 이러한 공정에 기초하여, 패턴화는 일반적으로 반복적인 패턴화 공정을 통한 전자 집적 회로와 같은 다양한 장치의 형성에 채택되어 트랜지스터 또는 다른 구성요소와 같은 적절하게 적층된 구조를 형성할 수 있다.
웨이퍼 처리량은 고-체적 반도체 제조에서 EUV 리소그래피의 구현을 위한 실질적으로 제한적인 인자이며, 주어진 특징부를 패턴화하기 위해 요구되는 선량과 직접적으로 관련된다. 그러나, 화학적 전략은 화상화 선량(imaging dose)을 감소시키기 위해 존재하지만, 타겟 특징부를 인쇄하기 위해 요구되는 화상화 선량 및 특징부 크기 균일성(예컨대 LWR) 간의 네거티브 상관관계(negative correlation)가 특징부 크기 및 피치 < 50 nm에서 EUV 포토레지스트에 대해 통상 관찰되며, 이로써, 최종 디바이스 작동성 및 웨이퍼 수율을 제한한다. 패턴화 능력은 선량-대-겔(dosed-to-gel) 값 환산으로 표현될 수 있다. 노광 시간이 패드에서 패드로 단차져(stepped) 노광의 도징(dosing)을 변화시키는 노광된 패드의 어레이를 형성함으로써 화상화 선량 요건을 평가할 수 있다. 이어서, 필름을 현상할 수 있고, 나머지 레지스트의 두께는 모든 패드에 대해, 예를 들어, 분광타원법을 사용하여 평가할 수 있다. 측정된 두께는 최대 측정된 레지스트 두께로 정규화될 수 있고, 특성 곡선을 형성하기 위해 노광 선량의 대수에 대해 플롯팅될 수 있다. 정규화된 두께 대 로그 선량 곡선의 최대 기울기는 포토레지스트 콘트라스트(γ)로서 정의되고, 이 점을 통해 그려진 접선이 1인 선량 값은 포토레지스트 선량-대-겔(Dg)로서 정의된다. 이러한 방식으로, 포토레지스트 특성화에 사용되는 일반적인 파라미터는 Mack, C. Fundamental Principles of Optical Lithography, John Wiley &Sons, Chichester, U.K; pp 271-272, 2007에 따라 근사화될 수 있다.
실시예
실시예 1. 삼량체 (t-Bu) 3 Sn 3 (O 2 CH) 5 (OH) 2 O의 제조
본 실시예는 주석 클러스터 조성물의 합성을 입증한다.
클러스터 형성을 위한 반응물을 먼저 합성하였다. 3 L 둥근 바닥 플라스크에 자석 교반기 및 온도계를 장착하고 질소로 퍼징한다. 수성 아세트산 버블러(bubbler)를 플라스크에 부착하여 디메틸아민 아웃개싱을 수집한다. 이어서, 플라스크를 페닐아세틸렌 505 g 및 헥산 1 L로 충전한다. 격렬하게 교반하면서, 462 g의 tert-부틸주석 트리스(디메틸아미드)를 첨가하고, 온도를 50 ℃ 미만으로 유지한다. 첨가하는 내내, 아민의 신속한 아웃개싱이 일어난다. 완료되면, 교반을 중단하고 플라스크를 밤새 방치하여 결정화시킨다. tert-부틸주석 트리(페닐아세틸라이드)의 결정을 수집하고, 헥산에서 재결정화시켰다(698.6 g/97.2% 수율).
자석 교반기가 장착된 500 mL 둥근 바닥 플라스크에 50 g의 합성된 tert-부틸주석 트리(페닐아세틸라이드), 375 mL의 무수 에탄올, 125 mL의 92% 포름산(8% 물), 및 추가의 5 mL의 탈이온수를 충전하였다. 둥근 바닥 플라스크 내의 용액을 60 ℃로 10 분 동안 또는 아세틸라이드가 용해될 때까지 가열한 다음, 실온에서 밤새 교반하였다. 밤새 반응 후, 용매를 진공하에 증류시켰다. 용매를 제거한 후, 회수된 고체를 500 mL의 뜨거운 톨루엔(80 ℃)에 용해시킨 후 용액을 25 ℃로 서서히 냉각하게 두어 재결정화였다. 무색 결정을 여과에 의해 수집하였다(82% 수율).
무색 결정을 x-선 회절, 시차 주사 열량계, NMR 및 전기분무 이온화 질량 분광법을 사용하여 특성화하였다. 조성물의 특성화는 도 1 내지 도 6에 제시되어 있다. 도 1 은 BrukerTM SHELXL-2014 패키지(Bruker Corporation)를 이용하여 x-선 회절에 의해 얻어진 결정질 생성물의 추정된 결정 구조이며, Sheldrik, G.M.(2008) Acta. Crst. A64, 112-122를 참조한다. 구조는 3 개의 주석 원자 사이의 μ3-O 원자 가교를 나타낸다. 각 주석 원자는 t-부틸 리간드를 갖고, 3개의 가교 포르메이트 이온은 각 쌍의 주석 원자를 연결한다. 2개의 OH 기가 하나의 주석 원자와 다른 주석 원자 사이를 가교시켜, 2개의 등가 주석 원자 및 하나의 구별가능한 주석 원자를 생성한다. 2개의 비-가교 포르메이트 이온은 2개의 등가 주석 원자에 대한 리간드이다. 아래의 NMR 결과는 2개의 주석 원자가 등가이고 하나의 주석 원자는 결정질 환경에서 구별되는 것이 일치한다.
도 2 및 도 3은 결정의 시차 주사 열량계 측정이다. 도 2의 열중량 분석은 주위 공기 분위기로 얻어졌으며, 도 3의 열중량 분석은 아르곤 기체에 대해 얻어졌다. 도 2는 SnO2의 궁극적인 형성을 나타내고, 도 3은 SnO의 궁극적인 형성을 나타내며, 이는 최종 가중치에 따라 평가된다. 그 결과는 또한 최종 생성물에 도달하기 위한 공정에서 여러 열적 단계를 나타내는 열 흐름 결과를 제공한다.
도 4의 NMR 스펙트럼에 대해, 1H 및 119Sn 채널을 5 mm BBOF 프로브를 사용하여 500 MHz Bruker-Ascend NMR 분광계를 사용하여 취하였다. 데이터를 MestReNova v.12 NMR 소프트웨어를 사용하여 분석하였다. 주석 스펙트럼은 -550 및 -571 ppm에서의 2개의 공명을 나타내며, 2개의 주석 환경 및 3개의 총 주석(또는 3의 인자)을 나타내는 1:2 적분을 가진다. 양성자 NMR 스펙트럼은 포르메이트 양성자에 대해 8.44 ppm에서의 넓은 험프(hump) 및 히드록실 양성자에 대해 6.11 ppm에서의 또다른 넓은 험프를 나타낸다. 1.6 및 1.1 ppm에서의 피크들은 1.4 ppm에서 tert-부틸 피크들에 대한 119/117Sn 위성들이다. 이들 피크의 정확한 이동은 용매 내 물 수준에 민감한데, 이는 물과의 상호작용을 시사한다.
도 5 및 6은 용액으로부터 얻어진 생성물 주석 삼량체의 전기분무 이온화 질량 스펙트럼이다. 도 5는 1 mM 주석에서 테트라히드로푸란-25% 포름산 용매의 용액으로부터 수득되었고, 도 6은 1 mM 주석에서 메탄올 용액으로부터 수득되었다. 두 도면은 모두 자동주사기가 배치된 Agilent 1200 LC 액체 크로마토그래프 상에서 수집되었고, 그 후 바로 Agilent ESI-QTOF 6510으로 진행하였다. 두 스펙트럼은 2개의 비-가교 포르메이트와 물 또는 메탄올 중 하나와의 신속한 교환을 제시한다.
실시예 2. 코팅된 웨이퍼의 제조
본 실시예는 EUV 패턴화를 위한 코팅된 웨이퍼의 제조를 설명한다.
실시예 1로부터의 결정질 주석 삼량체를 95% 무수 아니솔 및 5% 포름산(1% 물을 갖는 99% 포름산)의 혼합 용매 중에 1.9 내지 9.4 mM의 범위의 농도로 용해하였다. 몰농도는, 이 분야에서 통상적인, 삼량체의 몰이 아니라 주석 원자를 기준으로 한다.
방사선 민감성 필름을 25 nm의 두께로 45초 동안 1500 rpm에서 8.6 mM의 주석 농도를 갖는 5% 포름산/아니솔 중의 주석 삼량체의 공기 중에서의 용액의 스핀 코팅에 의해 규소 웨이퍼 상에 퇴적시켰다. 4 개의 코팅된 웨이퍼를 100 ℃, 120 ℃, 150 ℃ 또는 180 ℃에서 60초 동안 노광-전 베이크하였다. 베이크 후, 필름을 원자력 현미경(Atomic force microscopy; AFM)으로 검사하였고, 제곱근 평균 제곱 표면 거칠기의 값은 0.16 nm 내지 12 nm인 것으로 밝혀졌다. AFM 결과는 상기 열거된 4가지의 베이크 온도에 대해 도 7 내지 도 10에 제시되어 있다. 필름은 100 ℃ 또는 120 ℃의 베이크 온도에 대해 원자적으로 평활화하였다. 더 높은 온도에서 베이크된 필름에 대해 입자 성장이 관찰되어, 필름 표면 거칠기를 생성하였다.
실시예 3. 필름 노광 및 EUV 콘트라스트
본 실시예는 제조된 방사선 민감성 필름에 대한 콘트라스트 곡선을 평가하는 것에 관한 것이다.
방사선 민감성 필름을 갖는 코팅된 웨이퍼의 세트를 상기 기재된 바와 같이 제조하였다. 필름을 Lawrence Berkeley National Laboratory에서 EUV 다이렉트 콘트라스트 툴(13.5 nm)에서 노광시켜 콘트라스트를 평가하였다. 노광 전에, 필름을 80 ℃에서 60초 동안 베이크하였다. 각 웨이퍼의 선택된 영역을 선량을 증가시키며 노광시켰다. 구체적으로, 패드의 어레이는 어레이를 따라 각 패드에 대해 전달된 EUV 선량이 단차지도록(step) 변조된 노광 시간을 가졌다. 노광 후, 상이한 웨이퍼의 필름을 80 ℃ 내지 150 ℃의 선택된 온도에서 베이크한 후(노광-후 베이크), 이어서 노광된 웨이퍼를 2-헵타논으로 현상하였다. 현상 후 웨이퍼 상의 각각의 노광된 패드의 잔류 두께는 분광타원법을 통해 평가하였다. 측정된 두께를 최대 측정된 레지스트 두께로 정규화하고, 노광 선량의 대수에 대해 플롯팅하여 일련의 노광-후 베이크 온도에서 각 레지스트에 대한 특성 곡선을 형성하였다. 도 8은 각각의 노광-후 베이크 온도에 대한 얻어진 콘트라스트 곡선을 도시한다.
실시예 4. EUV 노광에 의한 네거티브 톤 이미징
본 실시예는 주석 클러스터 전구체를 사용하여 고해상도 패턴을 형성하는 능력을 입증한다.
실시예 2 및 3에 기재된 5% 포름산/아니솔 레지스트 전구체 용액을 자연 산화물 표면을 갖는 실리콘 기판 상에 분배하고, 1500 rpm에서 45초 동안 스핀 코팅하고, 이어서 100 ℃에서 120초 동안 핫플레이트에서 베이크하였다. 코팅된 기판을 13.5 nm 파장 방사선, 다이폴 조명, 및 0.3의 개구수를 갖는 Berkeley Microfield Exposure Tool에서 노광시켰다. 노광된 레지스트 및 기판을 이후 170 ℃에서 120초 동안 핫플레이트 상에서 노광-후 베이크하였다. 노광된 웨이퍼를 15초 동안 2-헵타논 중에 침지시켜 레지스트의 미노광 부분을 제거한 후, 건조시켰다. 도 9는 36 nm 피치에서 생성된 라인/스페이스 패턴의 SEM 이미지를 도시한다. 즉, 클러스터 기반 레지스트 물질은 나노미터 스케일 EUV 패턴화에 적합한 것이 입증되었다.
상기 실시양태들은 예시적인 것이지 제한적인 것이 아니다. 추가적인 실시양태들은 청구범위 내에 있다. 또한, 본 발명이 특정 실시양태를 참조하여 설명되었지만, 당업자는 본 발명의 사상 및 범위를 벗어나지 않고 형태 및 세부사항에 있어서 변경이 이루어질 수 있음을 인식할 것이다. 상기 문헌들의 참조에 의한 임의의 포함은 본원에서 명시적인 개시와 상반되는 어떠한 주제도 포함되지 않도록 제한된다. 특정 구조, 조성물 및/또는 공정이 본원에서 컴포넌트, 요소, 성분 또는 다른 부분으로 설명되는 정도로, 본원의 개시내용은, 달리 구체적으로 지시되지 않는 한, 본 논의에서 제안된 바와 같이, 특정 실시양태, 특정 컴포넌트, 성분, 다른 부분 또는 이들의 조합을 포함하는 실시양태, 뿐만 아니라 청구 대상물의 기본적인 특성을 변경하지 않는 추가적인 특징들을 포함할 수 있는 이러한 특정 컴포넌트, 성분 또는 다른 부분 또는 이들의 조합으로 본질적으로 이루어지는 실시양태도 포괄한다는 것이 이해되어야 한다.

Claims (22)

  1. 모노알킬주석 트리(알킬아세틸라이드) 합성 방법으로서,
    유기 용매 중에서, 화학식 HC≡CR"의 알킬아세틸렌을 화학식 RSn(NR"'2)3 의 알킬주석 트리(디알킬아미드)와 반응시켜 화학식 RSn(C≡CR")3의 모노알킬주석 트리(알킬아세틸라이드)을 형성하는 단계를 포함하며, 여기서 R은 선택적으로 헤테로원자 관능기로 치환된 탄소수 1 내지 31의 히드로카빌기를 포함하고, R"는 알케닐, 아릴 또는 탄소수 1 내지 31의 알킬기를 포함하며, R"'은 탄소수 1 내지 31의 알킬기를 포함하는 것인 방법.
  2. 제1항에 있어서, R 및 R"는 독립적으로 아릴, 알케닐, 선형 알킬, 사이클로 알킬, 분지형 알킬 또는 이들의 조합인 방법.
  3. 제1항에 있어서, 상기 알킬아세틸렌은 페닐아세틸렌을 포함하며, 상기 알킬주석 트리(디알킬아미드)는 t-부틸주석 트리(디메틸아미드)를 포함하고, 상기 유기 용매는 헥산을 포함하며, 상기 모노알킬주석 트리(알킬아세틸라이드)는 t-부틸주석 트리(페닐아세틸라이드)를 포함하는 것인 방법.
  4. 제1항에 있어서, 상기 반응 온도는 50℃ 미만으로 유지하고, 디알킬아민 증기를 상기 반응으로부터 제거하는 것인 방법.
  5. 제1항에 있어서, 결정성 모노알킬주석 트리(알킬아세틸라이드)를 수집하는 것인 방법.
  6. 제1항에 있어서, 유기 용매 중에서, 제1항의 상기 모노알킬주석 트리(알킬아세틸라이드) 화합물을 카르복실산 및 물과 반응시켜 화학식 R3Sn3(O2CH)5(OH)2O의 결정성 화합물을 형성하는 것을 추가로 포함하는 방법.
  7. 화학식 R3Sn3(O2CH)5(OH)23-O)의 결정성 화합물로서,
    상기 화합물은 중앙 가교 산소 원자를 갖는 3개의 주석 원자, 3개의 주석 가교 카르복실레이토 리간드, 주석에 결합된 2개의 비-가교 카르복실레이토 리간드, 그리고 주석 가교 OH 리간드를 포함하며, 여기서 R은 헤테로원자 관능기로 선택적으로 치환된 탄소수 1 내지 31의 히드로카빌기를 포함하는 것인, 결정성 화합물.
  8. 제7항에 있어서, R은 아릴, 알케닐, 선형 알킬, 사이클로알킬, 분지형 알킬 또는 이들의 조합을 포함하는 것인 결정성 화합물.
  9. 제7항에 있어서, R은 t-부틸을 포함하는 것인 결정성 화합물.
  10. 유기 용매와 이 용매에 용해된 제7항의 화합물을 포함하는 용액.
  11. 제10항에 있어서, 상기 용매 중의 상기 화합물의 농도는 주석 양을 기준으로 1mM 내지 100 mM인 용액.
  12. 제10항 또는 제11항에 있어서, 상기 유기 용매는 알코올, 에테르, 에스테르 또는 이들의 혼합물을 포함하는 용액.
  13. 제10항 또는 제11항에 있어서, 상기 유기 용매는 5% v/v 내지 25% v/v의 카르복실산을 포함하는 용액.
  14. 제7항의 화합물을 합성하는 방법으로서, 유기 용매 중에서, 모노알킬주석 트리(알킬아세틸라이드)를 카르복실산 및 물과 반응시키는 것을 포함하는 방법.
  15. 제14항에 있어서, 반응 생성물을 재결정화하는 것을 추가로 포함하는 방법.
  16. 제14항 또는 제15항에 있어서, 상기 유기 용매는 에탄올을 포함하며, 상기 모노알킬주석 트리(알킬아세틸라이드)가 t-부틸주석 트리(페닐아세틸라이드)를 포함하며, 상기 카르복실산은 포름산을 포함하는 방법.
  17. 제10항의 용액으로 기판 상에 코팅을 형성하는 것을 포함하는 패턴화 코팅을 형성하는 방법.
  18. 매끄러운 패턴화 코팅을 형성하기 위한 방법으로서,
    유기 용매와 화학식 R3Sn3(O2CR')5-x(OR")2+x3-O) (여기서 0≤x<2)으로 표시되는 조성물을 포함하는 용액으로 코팅을 형성하는 단계 및
    노광-전 베이크를 수행하는 단계를 포함하며,
    여기서, R은 탄소수 1 내지 31의 분지형 알킬 또는 사이클로알킬을 포함하며, R'는 수소 또는 탄소수 1 내지 20의 알킬을 포함하고, R"는 수소 또는 탄소수 1 내지 20 의 유기기를 포함하고,
    상기 베이크는 낮은 제곱근 평균 제곱 표면 거칠기를 얻도록 선택된 온도에서 수행되는 것인 방법.
  19. 제18항에 있어서, 상기 온도는 55℃ 내지 180℃ 범위 내인 방법.
  20. 제18항에 있어서, 상기 코팅 형성은 스핀 코팅, 스프레이 코팅, 딥 코팅, 나이프 에지 코팅, 기상 퇴적 또는 프린팅 법을 포함하는 것인 방법.
  21. 제18항에 있어서, 상기 조성물은 화학식 (t-Bu)3Sn3(O2CH)5(OH)2O 으로 표시되며, 상기 온도는 100℃ 내지 120℃ 범위 내인 방법.
  22. 제18항에 있어서, 상기 낮은 제곱근 평균 제곱 표면 거칠기는 0.16 nm 내지 12 nm인 방법.
KR1020247003859A 2017-11-20 2018-11-19 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용 KR20240019399A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762588546P 2017-11-20 2017-11-20
US62/588,546 2017-11-20
KR1020207012752A KR102634520B1 (ko) 2017-11-20 2018-11-19 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
PCT/US2018/061769 WO2019099981A2 (en) 2017-11-20 2018-11-19 Organotin clusters, solutions of organotin clusters, and application to high resolution patterning

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207012752A Division KR102634520B1 (ko) 2017-11-20 2018-11-19 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용

Publications (1)

Publication Number Publication Date
KR20240019399A true KR20240019399A (ko) 2024-02-14

Family

ID=66534919

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207012752A KR102634520B1 (ko) 2017-11-20 2018-11-19 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
KR1020247003859A KR20240019399A (ko) 2017-11-20 2018-11-19 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020207012752A KR102634520B1 (ko) 2017-11-20 2018-11-19 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용

Country Status (5)

Country Link
US (2) US11098070B2 (ko)
JP (1) JP7487103B2 (ko)
KR (2) KR102634520B1 (ko)
TW (2) TWI803806B (ko)
WO (1) WO2019099981A2 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
TWI721125B (zh) 2016-03-11 2021-03-11 美商因普利亞公司 預圖案化微影模版、基於使用該模版的輻射圖案化之方法及形成該模版之方法
KR102634520B1 (ko) * 2017-11-20 2024-02-06 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
TWI778248B (zh) 2018-04-05 2022-09-21 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
US11972948B2 (en) 2018-06-13 2024-04-30 Brewer Science, Inc. Adhesion layers for EUV lithography
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11327398B2 (en) * 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
US11579531B2 (en) * 2019-09-25 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Organometallic cluster photoresists for EUV lithography
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
KR102586099B1 (ko) * 2020-09-14 2023-10-05 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102586112B1 (ko) * 2020-09-14 2023-10-05 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102598259B1 (ko) * 2020-12-18 2023-11-02 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20230131941A (ko) * 2021-01-28 2023-09-14 엔테그리스, 아이엔씨. 유기주석 화합물을 제조하는 방법
US20220365428A1 (en) * 2021-05-14 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist materials and associated methods
KR102518770B1 (ko) * 2021-12-20 2023-04-06 전남대학교산학협력단 클러스터 화합물 또는 이의 염 및 이를 포함하는 포토레지스트 조성물
KR102522001B1 (ko) * 2021-12-23 2023-04-20 전남대학교산학협력단 클러스터 화합물 또는 이의 염 및 이를 포함하는 포토레지스트 조성물

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2317993C1 (ru) * 2006-07-20 2008-02-27 Государственное образовательное учреждение высшего профессионального образования "Кубанский государственный университет" (КубГУ) Способ получения три- и тетраорганилалкинилолова
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
EP3889159B1 (en) * 2014-10-23 2024-06-05 Inpria Corporation Organometallic solution based high resolution patterning compositions
EP4089482A1 (en) 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
KR102634520B1 (ko) * 2017-11-20 2024-02-06 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
TWI778248B (zh) * 2018-04-05 2022-09-21 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
US10787466B2 (en) * 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
CA3190105A1 (en) * 2020-08-25 2022-03-03 Inpria Corporation Methods to produce organotin compositions with convenient ligand providing reactants

Also Published As

Publication number Publication date
TW201922767A (zh) 2019-06-16
KR20200078518A (ko) 2020-07-01
WO2019099981A3 (en) 2019-08-08
TW202134255A (zh) 2021-09-16
JP2021503482A (ja) 2021-02-12
US20190153001A1 (en) 2019-05-23
TWI803806B (zh) 2023-06-01
JP7487103B2 (ja) 2024-05-20
US11098070B2 (en) 2021-08-24
WO2019099981A2 (en) 2019-05-23
KR102634520B1 (ko) 2024-02-06
US20210347791A1 (en) 2021-11-11
TWI719360B (zh) 2021-02-21

Similar Documents

Publication Publication Date Title
KR102634520B1 (ko) 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
US11392028B2 (en) Tin dodecamers and radiation patternable coatings with strong EUV absorption
JP7483833B2 (ja) 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
KR102600795B1 (ko) 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US20230374338A1 (en) Radiation sensitive organotin compositions having oxygen heteroatoms in hydrocarbyl ligand

Legal Events

Date Code Title Description
A107 Divisional application of patent