TWI492298B - 雙重圖案化蝕刻製程 - Google Patents

雙重圖案化蝕刻製程 Download PDF

Info

Publication number
TWI492298B
TWI492298B TW101130462A TW101130462A TWI492298B TW I492298 B TWI492298 B TW I492298B TW 101130462 A TW101130462 A TW 101130462A TW 101130462 A TW101130462 A TW 101130462A TW I492298 B TWI492298 B TW I492298B
Authority
TW
Taiwan
Prior art keywords
substrate
features
forming
resist
gas
Prior art date
Application number
TW101130462A
Other languages
English (en)
Other versions
TW201316405A (zh
Inventor
Kedar Sapre
Jing Tang
Ajay Bhatnagar
Nitin Ingle
Shankar Venkataraman
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201316405A publication Critical patent/TW201316405A/zh
Application granted granted Critical
Publication of TWI492298B publication Critical patent/TWI492298B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

雙重圖案化蝕刻製程
本發明之實施例係關於雙重圖案化特徵結構之蝕刻製程。
將諸如積體電路、顯示電路、記憶體電路、電力電路及光伏電路(例如,太陽能電池)之電子電路製造得愈來愈小以增加可攜性、計算能力或功率輸出。因此,諸如半導體特徵結構、閘、電極、電阻器、記憶體、互連件及光伏電池之該等電路之主動特徵結構及被動特徵結構之大小亦變得愈來愈小。特徵結構經設定尺寸為65 nm或甚至45 nm或更小,且正開發新的製程以產生具有更小幾何形狀的特徵結構。舉例而言,雙重圖案化(double patterning;DP)製程使用多個微影處理步驟來獲得具有較小尺寸的特徵結構,例如,在Hendel等人於2008年8月17日提出申請且標題為「Resolution Enhancement Techniques Combining Interference-Assisted Lithography with other Photolithography Techniques」之共同讓渡的美國專利公開案第2009/0117491號中描述示例性雙重圖案化製程,該美國專利公開案以全文引用之方式併入本文。
在DP特徵結構之製造中,使用含矽之介電材料作為蝕刻終止層以保護下層特徵結構且在金屬接線、通孔與 記憶體元件之間形成上覆間隔物或縫隙填充物。常用的含矽介電特徵結構由二氧化矽、氮化矽及氮氧化矽組成。在DP製程中,需要在不損傷周圍特徵結構及下層特徵結構之情況下蝕刻沉積之介電特徵結構。舉例而言,在一些應用中,在蝕刻DP堆疊中之其他特徵結構之後蝕刻掉作為蝕刻終止層的介電特徵結構。在其他應用中,蝕刻掉介電特徵結構之部分,同時允許其他部分保持在DP結構中。
在習知圖案化製程中,濕式化學蝕刻製程用來以化學方法蝕刻習知圖案化特徵結構之介電部分,此後沖洗且乾燥圖案化基板。濕式化學蝕刻製程允許以受控制的蝕刻終止能力蝕刻介電特徵結構,因為該化學蝕刻製程在完全移除正經蝕刻之特定介電材料時自動地停止。蝕刻終止能力對防止特徵結構之介電部分之過度蝕刻及後續對下層材料或周圍材料之蝕刻很重要。使用於圖案化製程中以移除介電層之習知化學溶液包括氫氟酸或稀釋之氫氟酸(該稀釋之氫氟酸可為氫氟酸及去離子水之溶液)或熱磷酸。
然而,當前正開發之進階DP特徵結構具有較小寬度尺寸,且可能受濕式處理的不利影響,該較小寬度尺寸導致具有高深寬比之溝槽或線。詳言之,使用濕式化學稀釋之酸溶液蝕刻在該等高深寬比特徵結構之間或之上的介電材料可導致對不同介電質的可變蝕刻速度。可變蝕刻速度之原因可為濕式化學溶液之表面張力,該表面 張力可阻礙在高深寬比特徵結構之間的窄縫隙中之有效蝕刻。另外,當移除化學蝕刻液體時或自緊密的高深寬比間隔之間移除後續的沖洗液體時,嚴重的特徵結構線彎曲可能發生在乾燥步驟期間,如第1圖所圖示。特徵結構彎曲發生係因為高深寬比特徵結構強度不足以在乾燥製程期間抵抗表面張力。在乾燥製程中,隨著液體蒸發逐漸移除藉由特徵結構之間的液體施加之表面張力,此舉引起窄尺寸的特徵結構彎曲。藉由以下公式定量給出特徵結構之間的最高可容忍側壁形變δ:
其中,σ為液體之表面張力,θ為在乾燥液體表面與側壁之新月形之間的彎曲線圖案之頂部的形變角度,H為特徵結構之高度,d為相鄰高深寬比特徵結構之間的間距,E為楊氏模數且L為高深寬比特徵結構之厚度。
若未適當地處理,則乾燥亦可留下水印,因為在濕式化學試劑與介電材料之間的化學反應可能遺留化學殘留物,該化學殘留物既不能在濕式化學蝕刻製程中被完全移除,亦不能藉由後續的沖洗製程移除。更進一步,諸如氮氧化矽之某些介電材料以比非氮氧化物特徵結構慢得多的速率蝕刻,且熱氧化物特徵結構及退火氧化物特徵結構亦以與沉積氧化層不同的速率蝕刻。此舉引起特定基板上之不同特徵結構之間的顯著蝕刻可變性。
反應性離子蝕刻(reactive ion etching;RIE)製程為乾 式蝕刻製程,該等乾式蝕刻製程已用來蝕刻習知介電材料,例如在Lu等人於2008年10月7日提出申請且標題為「Selective Etching of Silicon Nitride」之共同讓渡之美國專利公開案第20090104782 A1號中所描述,該美國專利公開案以全文引用之方式併入本文。然而,在RIE蝕刻製程中,電漿物種帶能量地碰撞基板產生蝕刻製程,該蝕刻製程具有化學蝕刻及物理轟擊組分兩者。在習知RIE蝕刻製程期間之物理轟擊可能過度蝕刻且引起介電層中之晶格損傷。另外,因為RIE製程依靠蝕刻物種之動能,故RIE不具有良好的蝕刻選擇性且可能過度蝕刻相鄰特徵結構。RIE之不良選擇性進一步意謂RIE製程可蝕刻穿過特徵結構且進入下層,此舉可能為不當的。為此,習知RIE製程將不用於DP特徵結構製造製程中之硬掩膜移除。
由於包括該等缺陷及其他缺陷之各種原因,儘管用於蝕刻部分之雙重圖案化特徵結構之各種製程有發展,但吾人仍持續尋找此等蝕刻製程之進一步改良。
一種蝕刻基板之方法包含在基板上形成由氧化矽、氮化矽或氮氧化矽組成之複數個雙重圖案化特徵結構。在製程腔室之製程區提供具有雙重圖案化特徵結構之基板。在遠端腔室中激勵包含三氟化氮、氨及氫之蝕刻氣體。將受激勵之蝕刻氣體引入至製程區以蝕刻雙重圖案 化特徵結構而形成固態殘留物於基板上。藉由將基板加熱至至少約100℃之溫度來昇華固態殘留物。
在另一蝕刻方法中,在製程腔室之製程區中提供具有由氮氧化矽組成之複數個雙重圖案化特徵結構之基板。在遠端腔室中激勵蝕刻氣體,該蝕刻氣體包含三氟化氮、氨及氫。蝕刻氣體包含為至少1:1之氨對三氟化氮之莫耳比及自約1:1至約1:10之氨對氫之莫耳比。將受激勵之蝕刻氣體引入至製程腔室之製程區以蝕刻雙重圖案化特徵結構而形成固態殘留物於基板上。藉由將基板加熱至至少約100℃之溫度來昇華固態殘留物。
在又一蝕刻方法中,在製程腔室之製程區中提供具有由氮氧化矽組成之複數個雙重圖案化特徵結構之基板。在遠端腔室中激勵蝕刻氣體,該蝕刻氣體包含處於自約10sccm至約3000sccm之流動速率的氫、處於自約10sccm至約1000sccm之流動速率的三氟化氮及處於自約10sccm至約1000sccm之流動速率的氨。將受激勵之蝕刻氣體引入至製程腔室之製程區以蝕刻雙重圖案化特徵結構而形成固態殘留物於基板上。藉由將基板加熱至自約115℃至約200℃之溫度來昇華固態殘留物。
在蝕刻基板之另一方法中,於基板上形成包含氧化矽、氮化矽或氮氧化矽之複數個雙重圖案化特徵結構且在製程腔室之製程區中提供該基板。將蝕刻氣體引入至製程腔室之製程區以蝕刻雙重圖案化特徵結構而形成固態殘留物於基板上,該蝕刻氣體包含氟化氫、氨及氫。 藉由將基板加熱至自約115℃至約200℃之溫度來昇華固態殘留物。
本蝕刻製程之實施例用於在基板28上製造雙重圖案化(DP)特徵結構26期間蝕刻部分之半導體結構20,例如如第1A圖至第1I圖所示。參閱第1A圖,基板28可為(例如)矽基板、諸如砷化鎵之III-V族複合基板、鍺基板或矽/鍺(SiGe)基板、磊晶矽基板、絕緣體上矽(silicon-on-insulator;SOI)基板、諸如液晶顯示器(liquid crystal display;LCD)、電漿顯示器、電致發光(electro luminescence;EL)燈顯示器之顯示器基板或發光二極體(light-emitting diode;LED)基板。在一些方案中,基板28可為諸如矽晶圓或鍺晶圓之半導體晶圓,或諸如碳化矽鍺、磷化鎵砷或磷化鎵銦之合適複合半導體。在其他方案中,基板28可為諸如聚合物或玻璃面板(例如,丙烯酸酯、聚醯亞胺及矽酸鹽玻璃面板)之介電板材、金剛石或諸如碳化矽、砷化銦或磷化銦之合適複合半導體。或者,基板28可為非半導體材料,諸如用於薄膜電晶體液晶顯示器(thin-film-transistor liquid crystal display;TFT-LCD)器件之玻璃基板或用於光罩(掩膜或主光罩)之熔融石英或氟化鈣。基板28可包括各種摻雜區域、介電特徵結構及多層互連件。在一個實施例中,基板28包括各種摻雜特徵結構,該各種摻雜特徵結構用 於各種微電子元件,諸如互補式金氧半導體場效電晶體(complementary metal-oxide-semiconductor field-effect transistor;CMOSFET)、成像感測器、記憶體單元及/或電容元件。在另一實施例中,基板28包括由導電材料或介電材料製成之特徵結構,該等特徵結構經設置用於耦接或隔離主動特徵結構。基板28亦可包括形成於該基板上之一或更多個材料層。基板可具有200mm、300mm或甚至400mm之直徑。
第2圖中圖示雙重圖案化製程200之實施例,該實施例在一系列製造步驟中使用雙重微影製程來圖案化半導體結構20之複數個雙重圖案化(DP)特徵結構26。蝕刻製程用來蝕刻部分之DP特徵結構26,該部分之DP特徵結構26使用於雙重圖案化處理方法或自對準雙重圖案化(self-aligned double patterning;SADP)處理方法中。DP特徵結構26可為連續層(如第1D圖所示)或複數個特徵結構(如第1E圖至第1G圖所示)之形式。DP特徵結構26包括如下所述之任何特徵結構,諸如介電層30、掩膜層34、抗反射層36、第一阻劑(resist)特徵結構38、第二阻劑特徵結構42、頂層44、光阻劑層50、模板層52、經修整阻劑特徵結構54、模板特徵結構58、間隔物60、縫隙填充物64、線圖案68或其他特徵結構。
DP特徵結構26包含含矽之介電材料,諸如氧化矽、氮化矽或氮氧化矽。DP特徵結構26要求可蝕刻終止於 含矽介電質開始處或剛好在蝕刻穿過介電材料之後蝕刻終止之蝕刻方法。作為一個實例,DP特徵結構26可為蝕刻終止層。作為另一實例,DP特徵結構26可為側壁間隔物。與習知濕式蝕刻製程相比較,本蝕刻製程可用來以受控制的蝕刻終止能力蝕刻DP特徵結構26之該等部分及其他部分且提供進入特徵結構之間的間隔的更好蝕刻穿透,該等習知濕式蝕刻製程藉由表面張力限制穿透高深寬比DP特徵結構26之間的間隔。DP特徵結構26可具有自約100埃至約10000埃之厚度(或高度)。
如在步驟202中,雙重圖案化製程200藉由於基板28上形成介電層30而開始。可於介電層30上形成掩膜層34以充當稍後蝕刻製程期間之硬掩膜來圖案化介電層30及/或基板28。在一個實施例中,掩膜層34包括藉由諸如旋塗之合適製程形成之含碳材料層,例如,包含碳化矽之含碳層。掩膜層34可具有範圍在約50埃與約1000埃之間的厚度。亦可於掩膜層34上形成抗反射層36以減少微影術期間之反射,諸如具有自約50埃至約1000埃之厚度的底部抗反射塗層(bottom anti-reflective coating;BARC),藉由旋塗形成該底部抗反射塗層。
然後於基板28上形成複數個第一阻劑特徵結構38,如步驟204。舉例而言,藉由用阻劑層塗覆基板且隨後用習知微影術製程圖案化該阻劑層可形成第一阻劑特徵結構38。根據預定圖案,藉由複數個開口將第一阻劑特徵結構38間隔開,以使得部分之抗反射層36、掩膜層 34及介電層30在曝露之開口下方。舉例而言,第一阻劑特徵結構38可包括互連線,該等互連線具有自約100埃至約5000埃之厚度,該等互連線間隔開約50nm至約200nm。第一阻劑特徵結構38由耐蝕刻材料製得,該耐蝕刻材料可為正型阻劑或負型阻劑。藉由第一微影術製程形成第一阻劑特徵結構38,該第一微影術製程包括以下步驟:阻劑塗覆、軟烘烤、掩膜對準、曝光、曝光後烘烤、顯影及硬烘烤。為了說明,可經由具有預先界定之圖案(或反向圖案)的掩膜藉由使阻劑層曝光於輻射束(諸如紫外線(UV)或EUV,例如,來自氟化氪(KrF)準分子雷射之248nm光束或來自氟化氬(ArF)準分子雷射之193nm光束)下來執行曝光製程。微影術圖案化製程亦可使用其他適當方法,諸如無掩膜微影術、電子束輻射或離子束輻射。在圖案化阻劑特徵結構38之後,特徵結構38藉由固化而變硬,如步驟206。固化製程使第一阻劑特徵結構38變硬以防止稍後用來形成第二阻劑層之微影術製程導致形變。固化製程包括熱固化、紫外線(UV)固化、離子植入轟擊、電子束處理或以上之組合。
此後,藉由第二微影術製程形成複數個第二阻劑特徵結構42,如步驟208,圖示於第1B圖。在此製程中,形成第二阻劑層且隨後圖案化該第二阻劑層以形成之間有複數個開口之第二阻劑特徵結構42。在一個實施例中,將第二阻劑特徵結構42插入第一阻劑特徵結構38 之間。相對於第一阻劑特徵結構38來設置第二阻劑特徵結構42,以便利用自對準圖案化結構。在一個實施例中,分別設置第一阻劑特徵結構38及第二阻劑特徵結構42中之開口以達到節距分裂,例如,可設置第一阻劑特徵結構38及第二阻劑特徵結構42以具有為第一阻劑特徵結構38或第二阻劑特徵結構42之節距之一半的分裂節距。在形成第一阻劑特徵結構38及第二阻劑特徵結構42兩者之後,對分節距,將該節距界定為第一阻劑特徵結構38與相鄰第二阻劑特徵結構42之間的距離,從而導致在蝕刻下層之後形成之所得大小減小的特徵結構。在另一實施例中,可設置藉由第一阻劑特徵結構38及第二阻劑特徵結構42界定之開口以形成用於金屬互連接線之接觸孔或溝槽。舉例而言,第二阻劑特徵結構42可為與第一阻劑特徵結構38之線交錯之線。第二阻劑特徵結構42在組分及厚度上通常實質上類似於第一阻劑特徵結構38。
如在步驟210中,隨後於基板28上形成頂層44以填充藉由第一阻劑特徵結構38及第二阻劑特徵結構42界定之開口,且在一些方案中覆蓋阻劑特徵結構38、42,如第1C圖所圖示。頂層44可具有大於第一阻劑特徵結構38及第二阻劑特徵結構42之厚度的厚度,例如約500埃至約2000埃。在一個方案中,頂層44包含藉由旋塗形成之富矽材料,諸如含矽有機聚合物,將該富矽材料熱烘烤進行交聯。或者,頂層44可包括含矽無機聚合 物,諸如聚矽氧。在其他實施例中,頂層44可包括一層氧化矽、氮化矽或氮氧化矽,該層氧化矽、氮化矽或氮氧化矽藉由旋塗或化學氣相沉積(chemical vapor deposition;CVD)而形成。在按照步驟212之蝕刻製程中,如下所述蝕刻頂層44以曝露如第1D圖中所示之第一阻劑特徵結構38及第二阻劑特徵結構42之頂表面。
此後,在步驟214中移除第一阻劑特徵結構38及第二阻劑特徵結構42兩者,如第1E圖所示。在氧環境中加熱殘留阻劑之習知灰化製程或阻劑剝除製程可用來移除阻劑材料及未覆蓋之抗反射層36。在蝕刻製程期間亦蝕刻掉未由頂層44覆蓋之抗反射層36。
第一阻劑特徵結構38及第二阻劑特徵結構42之移除引起複數個DP特徵結構26之形成,如第1E圖及第1F圖所圖示。將介電層30曝露在由DP特徵結構26界定之開口之間,且隨後可以相對於第一阻劑特徵結構38及第二阻劑特徵結構42之反向圖案蝕刻該介電層30。作為一個實例,反向圖案可對應於待形成於介電層30中的接觸孔或溝槽。在另一實例中,反向圖案可對應於互連接線。
在步驟216中,蝕刻介電層30之曝露部分。在一個方案中,移除未由頂層44覆蓋之掩膜層34,因此將在頂層44中界定之開口移送至掩膜層34以形成更加長之DP特徵結構26。在一個實施例中,蝕刻製程以高於頂層44之蝕刻速度的蝕刻速度蝕刻掩膜層34,從而引起掩膜層 34之曝露部分之移除。舉例而言,蝕刻製程氣體可包括氮、氧、氫及氟化碳,該蝕刻製程氣體可將頂層44之含矽材料轉換成為氮化矽或氮氧化物,此舉提供更快之蝕刻速度。
在又一方案中,如在步驟218中且如第1G圖中所示,在蝕刻介電層30之後蝕刻掉頂層44。此外,此步驟218可使用電漿蝕刻製程來移除頂層44,此時該頂層44經轉變成為氮化矽或氮氧化物。亦可藉由相同蝕刻製程或另一蝕刻製程移除抗反射層36。
在另一方案中,可使用作為硬掩膜之圖案化掩膜層34移除介電層30以將界定之開口自掩膜層34移送至介電層30,如第1H圖所圖示。在此方案中,使用本文中描述之蝕刻製程,在圖案化掩膜層34之開口內蝕刻掉介電層30以形成通過介電層30之複數個溝槽或接觸孔。在蝕刻製程期間,亦逐漸蝕刻掉殘留掩膜層34,留下第1I圖中所示之結構。
上文參閱第1A圖至第1I圖描述之方法說明雙重圖案化製程之示例性實施例,該雙重圖案化製程之示例性實施例使用雙重微影術曝光、蝕刻製程及灰化製程或剝除製程以製造特徵結構於基板28上。
如第3圖之流程圖所圖示,包含自對準雙重圖案化(SADP)製程300之自對準圖案化製程之說明性實例使用包含用於側壁圖案化方法中之間隔物60之DP特徵結構26,如第4A圖至第4G圖中所示。在此方案中,在側壁 圖案化方法中使用側壁間隔物以產生硬掩膜層或光阻劑層,該硬掩膜層或光阻劑層可增加(例如,倍增)形成於基板28上之特徵結構之印刷線密度。在此方案中,習知干涉微影術系統及方法用來提供干涉線(interference line;IL)圖案,該干涉線圖案具有大約22nm至30nm半節距之解析度,這是透過使用(例如)在高折射率浸潤法(high index immersion)中於157nm操作之光源所達成。SADP方法隨後用於倍增此線圖案之密度以提供具有大約11nm至15nm半節距之解析度之線圖案。
干涉線及SADP製程於硬掩膜上使用正型阻劑及IL圖案以製造高密度SADP圖案。下文描述硬掩膜層53及55,但應理解,該等層之任一者或兩者替代地可為具有一些硬掩膜性質之抗反射塗層。首先,將兩對模板層沉積於基板之上,該兩對模板層為具有第一硬掩膜層53之第一模板層52及具有第二硬掩膜層55之第二模板層57。將光阻劑層50沉積於第二硬掩膜層55之上,如第4A圖中所示。模板層52及57各自由可選擇性蝕刻之材料製得,諸如進階圖案化膜(Advanced Patterning Film;APF)、摻碳之硬掩膜、光阻劑或圖案化多晶矽特徵結構。舉例而言,合適APF材料可為可剝除無定形碳硬掩膜,該可剝除無定形碳硬掩膜可購自加利福尼亞州聖克拉拉市(Santa Clara California)之應用材料公司。按照第3圖之步驟302,IL技術隨後用來在光阻劑層50中形成複數個第一阻劑特徵結構38,如第4B圖中所示。在第 一阻劑特徵結構38之曝光及/或顯影之後,按照步驟304,使用諸如習知電漿乾式蝕刻步驟之第一蝕刻修整步驟306修整第一阻劑特徵結構38,以形成經修整阻劑特徵結構54,該經修整阻劑特徵結構54具有(例如)約1:3之線寬度對線間隔比,如第4C圖中所示。隨後使用第二蝕刻製程步驟308蝕刻下層之第二硬掩膜層55及第二模板層57以蝕刻在經修整阻劑特徵結構54周圍之第二硬掩膜層55及第二模板層57之曝露部分。在蝕刻步驟期間或在另一阻劑灰化製程或剝除製程期間移除第一阻劑特徵結構38之殘餘,以留下模板特徵結構58之圖案。模板特徵結構58之圖案由部分之第二硬掩膜層55及第二模板層57組成,在蝕刻步驟期間藉由阻劑覆蓋該部分之第二硬掩膜層55及第二模板層57,如第4D圖中所示。
在形成模板特徵結構58之後,在藉由沉積膜於模板特徵結構58之上之膜沉積步驟310中將間隔物層60沉積於模板特徵結構58上,如第4E圖中所示。在步驟312中,隨後蝕刻間隔物層60直至間隔物60具有適當尺寸,此舉可包括按照步驟314應用微影術方法修改線及按照步驟316將間隔物剪裁成圓形,如第4F圖中所示。隨後在按照步驟322局部蝕刻製程中蝕刻掉模板特徵結構58之全部或一部分,諸如第二硬掩膜層55,如第4G圖至第4H圖中所示及下文所述。在一些實施例中,第二模板層57由可藉由灰化移除之材料組成。此使得基板28具有剩餘間隔物60且無模板特徵結構58,如第4I 圖所示。使用間隔物60,可在步驟324中蝕刻第一硬掩膜層53以在第一硬掩膜層53中形成間隔物圖案,在此之後移除間隔物以形成第4J圖中所示之結構。隨後可蝕刻下層之第一模板層52且可移除剩餘之硬掩膜層53以在第一模板層52中形成一組SADP特徵結構,如第4K圖中所示。可使得SADP特徵結構具有22nm至30nm之節距,該節距為IL圖案化源之節距之一半。
第5A圖至第5E圖中圖示使用負型阻劑之IL及SADP製程之另一示例性製程流程。首先,如上第4A圖至第4I圖所述之一系列製程步驟用來形成複數個間隔物60,該複數個間隔物60各圍繞基板28上之模板特徵結構58,如第5A圖中所示。在所示方案中,模板特徵結構58包含第一模板層52及硬掩膜層53。此後,藉由局部蝕刻製程移除模板特徵結構58之全部或一部分,諸如硬掩膜層53,如下所述。按照步驟318沉積縫隙填充物64以填充間隔物60之間的縫隙,如第5B圖中所示。隨後可藉由化學機械研磨(步驟320)研磨縫隙填充物64及間隔物60或藉由乾式電漿蝕刻修整縫隙填充物64及間隔物60,以移除覆蓋間隔物60之頂部分的縫隙填充物64之多餘部分,如第5C圖中所示。然後,可使用不移除全部縫隙填充物64之按照步驟322的選擇性蝕刻製程移除間隔物60,以在如第5D圖所示之縫隙填充物64中留下線圖案68。縫隙填充物64中之所得線圖案68隨後可用來藉由蝕刻步驟324蝕刻之基板28上之下層中之 另一線圖案結構,在此之後移除縫隙填充物64,如第5E圖中所示。
儘管DP製程及SADP製程之實施例已描述作為示例說明性實施例,但在不脫離本發明之精神及範疇情況下可使用該等方法之其他變型,包括其他程序及製程步驟。舉例而言,額外層、蝕刻製程步驟及沉積製程步驟可用作說明性製程步驟之替代物或結合該等說明性製程步驟使用,同樣一般技術者將顯而易見,亦可變更所使用之材料類型。
在上述製程中,藉由各種疊加之微影術製程形成DP特徵結構26,該等各種疊加之微影術製程使用阻劑特徵結構、介電特徵結構、掩膜層、間隔物及其他特徵結構及層。在該等製程中,DP特徵結構26之各種實施例包含含矽介電質,諸如氧化矽、氮化矽或氮氧化矽,使用熱方法、化學氣相沉積(CVD)方法、電漿增強化學氣相沉積(PECVD)方法或物理氣相沉積(PVD)方法沉積該含矽介電質。在一些實施例中,含矽介電質可為:熱CVD氧化物,諸如高深寬比製程(high aspect ratio process;HARP)氧化物、eHARP氧化物、大氣壓力CVD(APCVD)氧化物或高溫未摻雜矽酸鹽玻璃(high temperature undoped silicate glass;HTUSG);高密度電漿(high density plasma;HSP)氧化物;電漿增強CVD(PECVD)氧化物;爐沉積氧化物,諸如高溫氧化物、中等溫度氧化物或低溫氧化物;原子層沉積(atomic layer deposition; ALD)氧化物;及其他氧化物。在進一步實施例中,含矽介電質可為,例如,PECVD氮化物、爐沉積氮化物、HSP氮化物、熱CVD氮化物、ALD氮化物及其他氮化物。同樣,在一些實施例中,可將含矽介電質稱為高深寬比製程(HARP)內襯。
在一個實例中,使用用沉積氣體之習知CVD製程將DP特徵結構26沉積於基板28上,該沉積氣體包括含矽組分、含氧組分及含氮組分,在熱製程或電漿製程中分解該沉積氣體。合適的含矽組分包括矽烷(SiH4 )、二氯矽烷(SiH2 Cl2 )、三氯矽烷(SiHCl3 )及四氯化矽(SiCl4 )。含矽組分亦可為烷氧基二矽烷、烷氧基烷基二矽烷、烷氧基乙醯氧基二矽烷及聚矽烷。合適的烷氧基二矽烷包括Si2 (EtO)6 乙氧基二矽烷、Si2 (MeO)6 甲氧基二矽烷及Si6 (MeO)12 甲氧基環己基矽烷,其中Et表示乙基(C2 H6 )且Me表示甲基(CH3 )。在一些實施例中,烷氧基烷基二矽烷可包括Si2 (EtO)4 (Me)2 四乙氧基二甲基二矽烷、Si2 (EtO)4 (Et)2 四乙氧基二乙基二矽烷、Si2 (EtO)2 (Me)4 二乙氧基四甲基二矽烷、Si2 (MeO)4 (Me)2 四甲氧基二甲基二矽烷及Si4 O2 (Me)8 甲基環己基矽氧烷、Si6 (MeO)6 (Me)6 甲氧基甲基環己基矽烷、Si4 O2 (H2 )4 氫化環己基矽氧烷。在一些實施例中,烷氧基乙醯氧基二矽烷可包括Si2 (AcO)6 乙醯氧基二矽烷、Si2 (Me)4 (AcO)2 四甲基二乙醯氧基二矽烷及Si2 (Me)2 (AcO)4 二甲基四乙醯氧基二矽烷,其中Ac表示乙醯基。在一些實施例中, 聚矽烷可包括環戊基矽烷或其他替代物。合適的含氧組分包括氧、臭氧及其他此類氣體。合適的含氮組分包括氮(N2 )、氨(NH3 )、肼(N2 H4 )或疊氮酸(HN3 )。
儘管DP特徵結構26可由二氧化矽、氮化矽或氮氧化矽組成,但在一些應用中使用氮氧化矽可提供優於二氧化矽及氮化矽之數個優點。舉例而言,氮氧化矽可用來在製程中形成諸如蝕刻終止層之DP特徵結構26,在該等製程中需要蝕刻之曝露層上覆有特徵結構,該特徵結構之尺寸對正製造之結構的效能至關重要。將蝕刻終止層置放在曝露層與特徵結構之間,該特徵結構具有關鍵尺寸,該等關鍵尺寸在蝕刻之後不應變化超過該等關鍵尺寸之設計尺寸之5%。在蝕刻製程中,蝕刻終止層對正蝕刻曝露層之蝕刻電漿更有抵抗力,因此當蝕刻到達此層時實質上降低了蝕刻速度,有效地阻止蝕刻製程而不會蝕刻穿過關鍵特徵結構。在介電質應用中,蝕刻終止層亦必須為具有所要絕緣性質或低k性質之有效介電質。氮氧化矽層提供所要介電性質及充分增加之蝕刻抵抗力兩者以在此等應用中作為有效蝕刻終止層而起作用。包含蝕刻終止層之合適DP特徵結構26為第4D圖或第5A圖中之模板特徵結構58,該模板特徵結構58包含硬掩膜層53或硬掩膜層55及模板層57或模板層52;或為第5J圖或第4A圖中之圖案化硬掩膜層53。第4F圖至第4I圖及第5A圖至第5C圖中圖示包含側壁間隔物60之合適DP特徵結構26。
在本蝕刻製程中,在製程腔室400之製程區404中蝕刻包含複數個DP特徵結構26之基板28,該複數個DP特徵結構26包括含矽介電質,第6圖中圖示製程腔室400之示例性實施例。在此實施例中,製程腔室400包括安置在腔室外殼412之上端的蓋組件408及至少局部安置在腔室外殼412內之基板支撐件414。製程腔室400亦包括遠端電漿源416,該遠端電漿源416具有有U形橫截面之遠端電極(未圖示)。製程腔室400及該製程腔室內之元件可由例如鋁、陽極化鋁、鍍鎳鋁、鍍鎳鋁6061-T6、不銹鋼以及以上之組合及合金形成。將製程腔室400結合至相鄰移送腔室及/或裝載鎖定腔室(未圖示)或群集工具內之另一腔室。說明性群集工具包括(但不限於)PRODUCERTM 平臺、CENTURATM 平臺、ENDURATM 平臺及ENDURASLTM 平臺,該等平臺可購自加利福尼亞州聖克拉拉市之應用材料公司(Applied Materials,Inc.of Santa Clara,Calif.)。製程腔室之額外細節可在於2005年2月22日提出申請之美國專利申請案第11/063,645號中找到,該美國專利申請案以全文引用之方式併入本文中。
基板28可經由狹縫閥410進入腔室外殼412,該狹縫閥410形成於腔室外殼412之側壁中以允許進入腔室400之內部體積。藉由習知晶圓處理機器人(未圖示)選擇性地打開及關閉狹縫閥410以允許進入腔室外殼412之內部體積。將基板28安置在基板支撐件414之基 板接收表面415上,藉由軸418升起及降低該基板支撐件414,波紋管420圍住該軸418。經由卡盤424抽拉真空或藉由靜電電荷可將基板28卡緊至卡盤424之上表面。隨後將卡盤424舉升至腔室外殼412內之處理位置,且將腔室外殼412較佳地維持在介於50℃與80℃之間的溫度下。藉由經由通道426傳遞輸熱介質可將基板28維持處於所要溫度,提供該通道426用於經由該通道流動輸熱流體。輸熱流體可為加熱流體或冷卻劑且用來在處理及基板移送期間控制腔室外殼412之溫度。腔室外殼412之溫度對防止氣體或副產物於腔室400之壁上的不當冷凝至關重要。示例性輸熱流體包括水、乙二醇或該兩者之混合物或甚至氮氣。
腔室外殼412進一步包括內襯428,該內襯428圍繞基板支撐件414且可移除進行維護及清洗。內襯428可由諸如鋁之金屬或陶瓷材料製得;然而,可使用任何製程相容之材料。內襯428亦可經珠粒噴擊以增加沉積於該內襯428上之任何材料之黏附,從而防止材料剝落引起腔室400污染。內襯428通常包括一或更多個孔430及形成於該一或更多個孔430中之泵通道434,該泵通道434與真空系統流體連通。孔430提供氣體進入泵通道434的流動路徑,且該泵通道提供流動路徑穿過內襯428,因而製程氣體可排出腔室400。
排氣系統438包含真空泵440及節流閥442以調節製程腔室400內之氣體流動。將真空泵440耦接至安置於 腔室外殼412上之真空埠444且與形成於內襯428內之泵通道434流體連通。藉由節流閥442選擇性隔離真空泵440及腔室外殼412以調節腔室400內之氣體流動。
蓋組件408包含堆疊在一起之許多元件,包括(例如)蓋墊環450、氣體輸送組件452及頂板454。蓋墊環450經設計以固持組成蓋組件408之元件之重量,且將蓋墊環450耦接至腔室外殼412之上表面以提供至內部腔室元件之入口。氣體輸送組件452耦接至蓋墊環450之上表面以降低與蓋墊環450之熱接觸。蓋組件408之元件可由具有高熱導率及低熱阻率之材料(諸如鋁合金)構造。
氣體輸送組件452可包含氣體分配器460,該氣體分配器460為具有氣孔462之噴淋頭。氣體供應面板(未圖示)通常用來提供製程氣體至腔室400。製程氣體之組分取決於腔室400內欲執行之製程。舉例而言,製程氣體可包括蝕刻氣體、前驅物、還原氣體、催化劑、載氣、淨化氣體、清洗氣體或以上之任何混合物或組合。通常,將製程氣體引入腔室400進入蓋組件408且隨後經由氣體輸送組件452進入腔室外殼412。電子操作閥及/或流量控制機構(未圖示)可用來控制自氣體供應器進入腔室400之氣體流動。在一個態樣中,自氣體供應面板輸送氣體至腔室400,其中氣體管路分叉成為兩個單獨氣體管路,如上所述該兩個單獨氣體管路饋送氣體至腔室外殼412。取決於製程,可以此方式輸送任何數 量的氣體,且可在腔室400或在該等氣體輸送至腔室400之前混合該等氣體。
蓋組件408可進一步包括電極464以在蓋組件408內產生反應性物種之電漿。在此實施例中,將電極464支撐於頂板454上且藉由隔離器環(未圖示)將該電極464與頂板454電性隔離,該等隔離器環分離電極464與頂板454。將電極464耦接至電源468,同時將氣體輸送組件452接地。因此,可在形成於電極464與氣體輸送組件452之間的容積內點燃製程氣體之電漿。藉由阻隔板形成之容積內亦可含有電漿。在無阻隔板組件之情況下,電漿是在電極464與氣體輸送組件452之間的製程區404內點燃且容納在該製程區404內。電源468激勵製程氣體以基於功率放電使用射頻(RF)、直流(DC)、交流(AC)或微波(MW)形成電漿。在一個方案中,將RF電源耦接至電極464。
或者,藉由遠端電漿源416激勵製程氣體以形成反應性物種之激勵氣體,該反應性物種之激勵氣體隨後輸送至腔室400內。在電漿源416內之氣體外殼中,遠端電漿源416藉由施加微波能量至製程氣體或使用施加至製程氣體之電感耦合能量或電容耦合能量來激勵製程氣體。示例性遠端電漿源可購自供應商,諸如MKS Instruments公司及Advanced Energy Industries公司。
可藉由加熱器470(例如,電阻加熱器)加熱氣體輸送組件452,該加熱器470耦接至氣體輸送組件452。在 一個實施例中,加熱器470為管狀構件且壓入氣體輸送組件452之上表面。氣體輸送組件452之上表面包括槽或凹陷通道,以使得使用干涉配合將加熱器470固持在槽內,該槽或凹陷通道具有略小於加熱器470之外徑的寬度。加熱器470調節氣體輸送組件452之溫度。
製程腔室400尤其對執行電漿輔助乾式蝕刻製程有用,該電漿輔助乾式蝕刻製程要求加熱及冷卻基板28而不破壞真空。在一個實施例中,製程腔室400用來選擇性蝕刻及移除部分之DP特徵結構26,該部分之DP特徵結構26包含含矽介電質,諸如二氧化矽、氮化矽及氮氧化矽。
以下實例說明根據本發明之原理執行之蝕刻製程的實施例。雖然該等實例可針對具體實施例,但該等實例不應理解為限制本發明。
在此實例中,將包含DP特徵結構26之基板28移送至基板支撐件414之基板接收表面上以曝露基板28之表面至製程區404及製程腔室400。藉由經由基板支撐件414內之流體通道426傳遞輸熱介質將基板28冷卻低於65℃(例如,在15℃與50℃之間)。通常,將基板支撐件414之卡盤424維持在低於約22℃下以達到所要基板溫度。冷卻劑之連續流動為較佳的,以更好控制卡盤424之溫度。在一個方案中,冷卻劑包含50體積%乙二醇及50體積%水。
將蝕刻氣體引入至腔室400以藉由選擇性移除含矽介 電質(諸如二氧化矽、氮化矽及氮氧化矽)而蝕刻掉正形成於基板28上之部分之DP特徵結構26。引入至腔室400內之蝕刻氣體之體積取決於(例如)欲移除之含矽介電質之厚度、欲清洗之基板之幾何形狀、電漿源之體積容量、腔室外殼412之體積容量以及真空系統耦接至腔室外殼412之能力。
在一個方案中,蝕刻氣體包含氫(H2 )、三氟化氮(NF3 )及氨(NH3 )之混合物。可預定蝕刻氣體之不同成分之比率以選擇性移除二氧化矽、氮化矽或氮氧化矽。在一個方案中,蝕刻氣體包含為至少1:1或甚至至少約3:1之氨對三氟化氮之莫耳比。在另一方案中,蝕刻氣體包含為自約5:1至約30:1或甚至自約5:1至約10:1之氨對三氟化氮之莫耳比。更進一步,蝕刻氣體包含為自約1:1至約1:10或甚至自約1:1至約1:2之氨對氫之莫耳比。
可選擇蝕刻氣體之組分以控制基板28上二氧化矽、氮化矽或氮氧化矽相對於其他層之蝕刻速度,該等其他層可在DP特徵結構26之含矽介電質下方或與DP特徵結構26之含矽介電質相鄰。舉例而言,藉由調整蝕刻氣體之不同成分之流動速率比,可控制蝕刻含矽介電質至包含芯部材料或間隔物材料氧化物之相鄰層或特徵結構之蝕刻選擇性。詳言之,對於與氮化物芯部材料或間隔物材料相鄰之氧化物DP特徵結構26之選擇性蝕刻,可選擇蝕刻氣體組分以獲得約2:1之蝕刻選擇性。對於與氧化物芯部材料或間隔物材料相鄰之氮化物DP特徵結構 26之選擇性蝕刻,可選擇蝕刻氣體組分以獲得約30:1之蝕刻選擇性。
在一個方案中,蝕刻氣體包含氫,該氫具有自約10標準立方公分每分鐘(standard cubic centimeter per minute;sccm)至約3000sccm或甚至約600sccm之流動速率。蝕刻氣體亦包含三氟化氮,該三氟化氮具有介於約10sccm至約1000sccm或甚至約100sccm之間的流動速率。蝕刻氣體進一步包含氨氣,該氨氣具有自約10sccm至約1000sccm或甚至自約100sccm至約800sccm或甚至約100sccm之流動速率。
蝕刻氣體亦可包括稀釋劑氣體,諸如氬、氦、氫、氮或以上之混合物。在一個實施例中,蝕刻氣體包含體積為自約80%至約95%之稀釋劑氣體,且氨、三氟化氮及氫之總體積為自約5%至約20%。同樣,可在引入蝕刻氣體之反應性成分之前將稀釋劑氣體首先引入至腔室外殼412內以穩定腔室外殼412內之壓力。調整腔室400之節流閥442以維持製程區404內之製程氣體於自約0.5托至約10托或甚至自約2托至約5托(例如,約2.5托)之製程壓力。
將具有所選擇之組分的蝕刻氣體引入至遠端電漿源416內,該遠端電漿源416位於製程腔室400之製程區404之外。藉由施加5瓦特至60瓦特(諸如自約15瓦特至約35瓦特)微波或RF功率至蝕刻氣體而在遠端電漿源416中激勵蝕刻氣體。將功率電容耦合至蝕刻氣體 以激勵蝕刻氣體。遠端電漿源416在含有基板28之製程區404外部激勵且電離蝕刻氣體,在此之後將受激勵之氣體引入至氣體分配器460內且進入製程腔室400之製程區404。
施加至蝕刻氣體之遠端電漿能量解離氨氣及三氟化氮氣體成為反應性物種,該等反應性物種組合形成氣相之高度反應性氟化銨(NH4 F)化合物及/或氟化氫銨(NH4 F.HF)。該等分子隨後經由氣體分配器460之氣孔462流動通過氣體輸送組件452以在正處理之基板28之表面上與DP特徵結構26之含矽介電質反應。儘管不意欲受理論所限,但應相信,在蝕刻製程期間氫對三氟化氮對氨之比值有利地增加含矽介電質相對於諸如相鄰芯部材料或間隔物材料之其他材料之蝕刻選擇性,該等其他材料可為(例如)氧化物材料或氮化物材料。不受說明所限制,應相信,受激勵之NF3 與H2 及NH3 反應為:H2 +NH3 +NF3 →NH4 F(氣態) 及/或NH4 Fy .HF(氣態)
包含NH4 F(氣態) 及/或NH4 Fy.HF(氣態) 之氣態反應物產物與含矽介電質之表面反應。NH4 F(氣態) 及/或NH4 Fy.HF(氣態) 與含矽介電質之交互作用形成固態反應物產物62,例如如第4G圖中所示,諸如(NF4 )2 SiF6 ,在反應中:(NH4 F(氣態) 或NH4 Fy .HF(氣態) )+(SiOx 或SiNy 或SiOx Ny )(固態) →(NF4 )2 SiF6(固態) +H2 O
當製程氣體與含矽介電質內存在之矽原子及氧原子直接反應時,發生另一可能反應機制。在一個假設方案中, H2 氣體、NH3 氣體及NF3 氣體與含矽介電質如下交互作用:H2 +NH3 +NF3 +(SiOx 或SiNy 或SiOx Ny ) → (NF4 )2 SiF6(固態)
在蝕刻製程之第二階段,例如如第4H圖中所圖示,藉由加熱基板支撐件414上之基板28來昇華第一蝕刻階段形成之固態反應物產物62。可將固態產物(NF4 )2 SiF6 之分解及/或昇華描述為:(NF4 )2 SiF6(固態)SiF 4(g) +NH3(g) +HF(g)
供應至基板28之熱能分解固態產物(NF4 )2 SiF6 以形成揮發之蒸汽。在一個實施例中,在昇華期間將基板28維持在至少約100℃(例如,自約115℃至約200℃)之溫度下。將基板28加熱足夠時間以實質上揮發所有固態反應物產物。舉例而言,可將基板28加熱長達約10秒至約300秒(例如,約60秒)以揮發固態反應物產物。
在一個方案中,藉由使用嵌入在基板支撐件414內之加熱器(未圖示)加熱基板支撐件414而加熱基板28。在另一方法中,藉由使用軸418及波紋管420升起基板支撐件414而加熱基板28,以便將基板28升高至非常接近於腔室400中之加熱之氣體分配器460之退火位置,該加熱之氣體分配器處於較高溫度。或者,藉由升高升舉銷(未圖示)可將基板28舉升離開卡盤424且置放於非常接近於加熱之氣體分配器460處,該等升舉銷穿過基板支撐件414。在一個方案中,將基板28維持在 離氣體分配器460自約0.25mm(約10密耳)至約5mm(約200密耳)之距離處。藉由加熱器470加熱氣體分配器460至自約100℃至約400℃之溫度,諸如自約100℃至約180℃(例如,約120℃)。自氣體分配器460輻射之熱量解離或昇華形成於基板28之表面上之(NH4 )2 SiF6 膜成為揮發性SiF4 產物、NH3 產物及HF產物。隨後藉由排氣系統438之真空泵440自腔室400移除該等揮發性產物。一旦已自基板28移除膜,則淨化且抽空製程腔室400。隨後藉由降低基板支撐件414至移送位置、鬆開基板28及移送基板28通過狹縫閥410而自腔室外殼412移除經處理基板28。在又一方案中,可藉由移送基板28至例如烘箱、爐、快速熱退火(rapid thermal anneal;RTA)裝置或其他熱裝置來執行熱昇華製程。
在替代實施例中,蝕刻氣體包含氫(H2 )、氨(NH3 )及氟化氫(HF)之混合物。氟化氫源可為無水氟化氫,該無水氟化氫以氣體形式提供至腔室。可預定蝕刻氣體之不同成分之比率以選擇性移除二氧化矽、氮化矽或氮氧化矽。在一個方案中,蝕刻氣體包含為至少1:3或甚至至少約3:1之氨對氟化氫之莫耳比。在另一方案中,蝕刻氣體包含為自約5:3至約30:1或甚至自約5:3至約10:1之氨對氟化氫之莫耳比。更進一步,蝕刻氣體包含為自約1:1至約1:10或甚至自約1:1至約1:2之氨對氫之莫耳比。
可選擇蝕刻氣體之組成以控制基板28上二氧化矽、氮化矽或氮氧化矽相對於其他層之蝕刻速度,該等其他層可在DP特徵結構26之含矽介電質下方或與DP特徵結構26之含矽介電質相鄰。舉例而言,藉由調整蝕刻氣體之不同成分之流動速率比率,可控制蝕刻含矽介電質對包含芯部材料或間隔物材料氧化物之相鄰層或特徵結構之蝕刻選擇性。詳言之,對於與氮化物芯部材料或間隔物材料相鄰之氧化物DP特徵結構26之選擇性蝕刻,可選擇蝕刻氣體組分以獲得約2:1之蝕刻選擇性。對於與氧化物芯部材料或間隔物材料相鄰之氮化物DP特徵結構26之選擇性蝕刻,可選擇蝕刻氣體組分以獲得約30:1之蝕刻選擇性。
在一個方案中,蝕刻氣體包含氫,該氫具有自約10標準立方公分每分鐘(sccm)至約3000sccm或甚至約600sccm之流動速率。蝕刻氣體亦包含氟化氫,該氟化氫具有介於約10sccm至約1000sccm或甚至約100sccm之間的流動速率。蝕刻氣體進一步包含氨氣,該氨氣具有自約10sccm至約1000sccm或甚至自約100sccm至約800sccm或甚至約100sccm之流動速率。
蝕刻氣體亦可包括稀釋劑氣體,諸如氬、氦、氫、氮或以上之混合物。在一個實施例中,蝕刻氣體包含體積為自約80%至約95%之稀釋劑氣體,且氨、氟化氫及氫之總體積為自約5%至約20%。另外,可在引入蝕刻氣體之反應性成分之前將稀釋劑氣體首先引入至腔室外殼 412內以穩定腔室外殼412內之壓力。調整腔室400之節流閥442以維持製程區404內之製程氣體於自約0.5托至約10托甚至自約2托至約5托(例如,約2.5托)之製程壓力。
在替代實施例中,可將具有選擇之組分的蝕刻氣體直接引入至製程腔室400之製程區404。氟化氫與氨及氫反應以形成氣相之高度反應性氟化銨(NH4 F)化合物及/或氟化氫銨(NH4 F.HF)。該等分子在正處理之基板28之表面上與DP特徵結構26之含矽介電質反應。儘管不意欲受理論所限,但應相信,在蝕刻製程期間氫對氟化氫對氨之比率有利地增加含矽介電質相對於諸如相鄰芯部材料或間隔物材料之其他材料之蝕刻選擇性,該等其他材料可為(例如)氧化物材料或氮化物材料。不受說明所限制,應相信,HF與H2 及NH3 反應為:H2 +NH3 +HF NH4 F(氣態) 及/或NH4 Fy .HF(氣態)
包含NH4 F(氣態) 及/或NH4 Fy.HF(氣態) 之氣態反應物產物與含矽介電質之表面反應。NH4 F(氣態) 及/或NH4 Fy.HF(氣態) 與含矽介電質之交互作用形成固態反應物產物62,例如如第4G圖中所示,諸如(NF4 )2 SiF6 ,在反應中:(NH4 F(氣態) 或NH4 Fy .HF(氣態) )+(SiOx 或SiNy 或SiOx Ny )(固態) →(NF4 )2 SiF6(固態) +H2 O
當製程氣體與含矽介電質內存在之矽原子及氧原子直接反應時,發生另一可能反應機制。在一個假設方案中,H2 氣體、NH3 氣體及HF氣體與含矽介電質如下交互作 用:H2 +NH3 +HF+(SiOx 或SiNy 或SiOx Ny )→(NF4 )2 SiF6(固態)
在蝕刻製程之第二階段,例如如第4H圖中所圖示,藉由加熱基板支撐件414上之基板28來昇華於第一蝕刻階段形成之固態反應物產物62。可將固態產物(NF4 )2 SiF6 之分解及/或昇華描述為:(NF4 )2 SiF6(固態 ) →SiF 4(g) +NH3(g) +HF(g)
供應至基板28之熱能分解固態產物(NF4 )2 SiF6 以形成揮發之蒸汽。在一個實施例中,在昇華期間將基板28維持在至少約100℃(例如,自約115℃至約200℃)之溫度下。將基板28加熱足夠時間以實質上揮發所有固態反應物產物。舉例而言,可將基板28加熱長達約10秒至約300秒(例如,約60秒)以揮發固態反應物產物。
如上文所詳細描述,可藉由使用嵌入在基板支撐件414中之加熱器加熱基板支撐件414或藉由升起基板支撐件以置放基板於非常接近於氣體分配器460處且加熱氣體分配器460來加熱基板28。提供至基板28之熱量解離或昇華形成於基板28之表面上之(NH4 )2 SiF6 膜成為揮發性SiF4 產物、NH3 產物及HF產物。隨後藉由排氣系統438自腔室400移除該等揮發性產物。
雖然圖示且描述本發明之示例性實施例,但一般技術者可設計其他實施例,該等其他實施例結合本發明且同時在本發明之範疇內。此外,在圖式中關於示例性實施 例圖示術語在下方在上方底部頂部第一第二 及其他相對術語或位置術語,且該等術語可互換。因此,附加申請專利範圍不應受限於說明本發明的本文中描述之較佳方案、材料或空間佈置。
20‧‧‧半導體結構
26‧‧‧雙重圖案化(DP)特 徵結構
28‧‧‧基板
30‧‧‧介電層
34‧‧‧掩膜層
36‧‧‧抗反射層
38‧‧‧第一阻劑特徵結構
42‧‧‧第二阻劑特徵結構
50‧‧‧光阻劑層
44‧‧‧頂層
52‧‧‧模板層
53‧‧‧硬掩膜層
54‧‧‧經修整阻劑特徵結 構
55‧‧‧硬掩膜層
57‧‧‧模板層
58‧‧‧模板特徵結構
60‧‧‧間隔物/間隔物層
62‧‧‧固態反應物產物
64‧‧‧縫隙填充物
68‧‧‧線圖案
200‧‧‧雙重圖案化製程
202‧‧‧步驟
204‧‧‧步驟
206‧‧‧步驟
208‧‧‧步驟
210‧‧‧步驟
212‧‧‧步驟
214‧‧‧步驟
216‧‧‧步驟
218‧‧‧步驟
300‧‧‧自對準雙重圖案化 製程
302‧‧‧步驟
304‧‧‧步驟
306‧‧‧步驟
308‧‧‧步驟
310‧‧‧步驟
312‧‧‧步驟
314‧‧‧步驟
316‧‧‧步驟
318‧‧‧步驟
320‧‧‧步驟
322‧‧‧步驟
324‧‧‧步驟
400‧‧‧製程腔室
404‧‧‧製程區
408‧‧‧蓋組件
410‧‧‧狹縫閥
412‧‧‧腔室外殼
414‧‧‧基板支撐件
415‧‧‧基板接收表面
416‧‧‧遠端電漿源
418‧‧‧軸
420‧‧‧波紋管
424‧‧‧卡盤
426‧‧‧通道
428‧‧‧內襯
430‧‧‧孔
434‧‧‧泵通道
438‧‧‧排氣系統
440‧‧‧真空泵
442‧‧‧節流閥
444‧‧‧真空埠
450‧‧‧蓋墊環
452‧‧‧氣體輸送組件
454‧‧‧頂板
460‧‧‧氣體分配器
462‧‧‧氣孔
464‧‧‧電極
468‧‧‧電源
470‧‧‧加熱器
本發明之該等特徵結構、態樣及優點參考以下描述、附加申請專利範圍及隨附圖式將變得更好理解,該等描述、附加申請專利範圍及隨附圖式說明本發明之實例。然而,應理解,大體每一特徵結構皆可使用於本發明中,不僅僅用於特定圖式之上下文中,且本發明包括該等特徵結構之任何組合,其中:第1圖(先前技術)為高深寬比DP特徵結構之示意性橫截面側視圖,該圖圖示乾燥製程期間之特徵結構之彎曲,該乾燥製程旨在移除在濕式沖洗特徵結構之介電部分之後保持在DP特徵結構之間的殘留沖洗液體;第1A圖至第1I圖為經歷雙重圖案化製造製程之示例性實施例之基板的示意性橫截面圖;第2圖為用來製造第1A圖至第1I圖之結構的雙重圖案化製程之流程圖;第3圖為自對準雙重圖案化製程之示例性實施例之流程圖;第4A圖至第4K圖為使用正型阻劑的經歷第3圖之自對準雙重圖案化製程之基板的示意性橫截面圖; 第5A圖至第5E圖為使用負型組劑的經歷第3圖之自對準雙重圖案化製程之基板的示意性橫截面圖;及第6圖為用於執行蝕刻製程之製程腔室之實施例之示意圖。
26‧‧‧雙重圖案化(DP)特徵結構
28‧‧‧基板
52‧‧‧模板層
53‧‧‧硬掩膜層
57‧‧‧模板層
60‧‧‧間隔物/間隔物層
62‧‧‧固態反應物產物

Claims (20)

  1. 一種雙重圖案化蝕刻方法,該方法包含以下步驟:(a)在一基板上形成複數個雙重圖案化特徵結構,其透過以下步驟達成:(i)在該基板上形成至少一個介電層:(ii)在該基板上形成複數個第一阻劑(resist)特徵結構,該等第一阻劑特徵結構間隔開以形成多個第一開口;(iii)在(ii)之後,於每一第一開口中形成一第二阻劑特徵結構,以界定多個第二開口,該等第二開口每一者介於相鄰的第一與第二阻劑特徵結構之間;(iv)形成一頂層,以填充該等第二開口;以及(v)移除該等第一與第二阻劑特徵結構,以形成複數個雙重圖案化特徵結構;(b)在一製程腔室之一製程區中提供具有該等雙重圖案化特徵結構之該基板;(c)在一遠端腔室中激勵(energize)包含三氟化氮、氨及氫之一蝕刻氣體;(d)將該受激勵之蝕刻氣體引入至該製程腔室之該製程區內以蝕刻該等雙重圖案化特徵結構,而於該基板上形成一固態殘留物;以及(e)藉由將該基板加熱到至少約100℃之一溫度來昇華該固態殘留物。
  2. 如請求項1所述之方法,其中(a)之步驟包含以下步驟:形成包含雙重圖案化特徵結構之一基板,該等雙重圖案化特徵結構由氧化矽、氮化矽、及氮氧化矽之至少一者組成。
  3. 如請求項1所述之方法,其中(a)之步驟包含以下步驟:形成雙重圖案化特徵結構,該等雙重圖案化特徵結構包含一蝕刻終止層。
  4. 如請求項1所述之方法,其中(a)之步驟包含以下步驟:形成雙重圖案化特徵結構,該等雙重圖案化特徵結構包含一側壁間隔物。
  5. 如請求項1所述之方法,其中該蝕刻氣體包含氨對三氟化氮之莫耳比為至少約1:1。
  6. 如請求項1所述之方法,其中該蝕刻氣體包含氨對三氟化氮之莫耳比為自約5:1至約30:1。
  7. 如請求項1所述之方法,其中該蝕刻氣體包含氨對氫之莫耳比為自約1:1至約1:10。
  8. 如請求項1所述之方法,其中該蝕刻氣體包含處於自約 10sccm至約3000sccm之一流動速率的氫、處於自約10sccm至約1000sccm之一流動速率的三氟化氮及處於自約10sccm至約1000sccm之一流動速率的氨。
  9. 如請求項1所述之方法,其中該蝕刻氣體包括一稀釋劑氣體,該稀釋劑氣體包含氬、氦、氫、氮或上述氣體之混合物。
  10. 如請求項9所述之方法,其中該蝕刻氣體包含處於自約80體積%至約95體積%之稀釋劑氣體。
  11. 如請求項1所述之方法,其中藉由以自約5瓦特至約60瓦特之一功率位準施加RF或微波功率來激勵該蝕刻氣體。
  12. 如請求項1所述之方法,其中(e)之步驟包含以下步驟:將該基板維持在自約115℃至約200℃之一高溫下。
  13. 如請求項12所述之方法,其中將該基板維持在該高溫下長達約10秒至約300秒。
  14. 如請求項1所述之方法,其中該製程區包含一氣體分配器,且其中藉由將該基板升至離該氣體分配器約0.25mm至約5mm之一距離來加熱該基板。
  15. 如請求項14所述之方法,其中將該氣體分配器加熱至自約100℃至約400℃之一溫度。
  16. 一種雙重圖案化蝕刻之方法,該方法包含以下步驟:(a)形成具有複數個雙重圖案化特徵結構之一基板,其透過下述步驟達成(i)在該基板上形成至少一個介電層,該介電層包含氧化矽、氮化矽、或氮氧化矽;(ii)在該基板上形成複數個第一阻劑特徵結構,該等第一阻劑特徵結構間隔開以形成多個第一開口;(iii)在(ii)之後,於每一第一開口中形成一第二阻劑特徵結構,以界定多個第二開口,該等第二開口每一者介於相鄰的第一與第二阻劑特徵結構之間;(iv)形成一頂層,以填充該等第二開口;以及(v)移除該等第一與第二阻劑特徵結構,以形成複數個雙重圖案化特徵結構;(b)在一遠端腔室中激勵包含三氟化氮、氨及氫之一蝕刻氣體,該蝕刻氣體包含氨對三氟化氮之莫耳比為至少約1:1,以及氨對氫之莫耳比為自約1:1至約1:10;(c)於一製程腔室之一製程區中提供具有該等雙重圖案化特徵結構的該基板,且將該受激勵之蝕刻氣體引入至該製程區內以蝕刻該等雙重圖案化特徵結構,而於基板上形成一固態殘留物;以及 (d)藉由將該基板加熱到至少約100℃之一溫度來昇華該固態殘留物。
  17. 一種雙重圖案化蝕刻之方法,該方法包含以下步驟:(a)形成具有複數個雙重圖案化特徵結構之一基板,其透過下述步驟達成:(i)在該基板上形成至少一個介電層,該介電層包含氧化矽、氮化矽、或氮氧化矽;(ii)在該基板上形成複數個第一阻劑特徵結構,該等第一阻劑特徵結構間隔開以形成多個第一開口;(iii)在(ii)之後,於每一第一開口中形成一第二阻劑特徵結構,以界定多個第二開口,該等第二開口每一者介於相鄰的第一與第二阻劑特徵結構之間;(iv)形成一頂層,以填充該等第二開口;以及(v)移除該等第一與第二阻劑特徵結構,以形成複數個雙重圖案化特徵結構;(b)在一遠端腔室中激勵一蝕刻氣體,該蝕刻氣體包含處於自約10sccm至約3,000sccm之一流動速率的氫、處於自約10sccm至約1,000sccm之一流動速率的三氟化氮及處於自約10sccm至約1,000sccm之一流動速率的氨;(c)於一製程腔室之一製程區中提供具有該等雙重圖案化特徵結構的該基板,且將該受激勵之蝕刻氣體引入至該製程區以蝕刻該等雙重圖案化特徵結構,而在該基板上形成一固態殘留物;以及 (d)藉由將該基板加熱至自約115℃至約200℃之一溫度來昇華該固態殘留物。
  18. 一種雙重圖案化蝕刻之方法,該方法包含以下步驟:(a)在一基板上形成複數個雙重圖案化特徵結構,其透過下述步驟達成:(i)在該基板上形成至少一個介電層,該介電層包含氧化矽、氮化矽、或氮氧化矽;(ii)在該基板上形成複數個第一阻劑特徵結構,該等第一阻劑特徵結構間隔開以形成多個第一開口;(iii)在(ii)之後,於每一第一開口中形成一第二阻劑特徵結構,以界定多個第二開口,該等第二開口每一者介於相鄰的第一與第二阻劑特徵結構之間;(iv)形成一頂層,以填充該等第二開口;以及(v)移除該等第一與第二阻劑特徵結構,以形成複數個雙重圖案化特徵結構;(b)在一製程腔室之一製程區中提供具有該雙重圖案化特徵結構之該基板;(c)將一蝕刻氣體引入至該製程腔室之該製程區以蝕刻該等雙重圖案化特徵結構,而在該基板上形成一固態殘留物,該蝕刻氣體包含氟化氫、氨及氫;以及(d)藉由將該基板加熱至自約115℃至約200℃之一溫度來昇華該固態殘留物。
  19. 如請求項18所述之方法,其中該蝕刻氣體包含氨對氟化氫之莫耳比為至少約1:3。
  20. 如請求項18所述之方法,其中該蝕刻氣體包含氨對氟化氫之莫耳比為自約5:3至約10:1。
TW101130462A 2011-08-26 2012-08-22 雙重圖案化蝕刻製程 TWI492298B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161528137P 2011-08-26 2011-08-26

Publications (2)

Publication Number Publication Date
TW201316405A TW201316405A (zh) 2013-04-16
TWI492298B true TWI492298B (zh) 2015-07-11

Family

ID=47742132

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101130462A TWI492298B (zh) 2011-08-26 2012-08-22 雙重圖案化蝕刻製程

Country Status (5)

Country Link
US (1) US8759223B2 (zh)
KR (1) KR101556574B1 (zh)
CN (1) CN103843110B (zh)
TW (1) TWI492298B (zh)
WO (1) WO2013032873A1 (zh)

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20130015145A (ko) * 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8518836B1 (en) * 2012-11-19 2013-08-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor patterning
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9268228B2 (en) * 2013-02-23 2016-02-23 Varian Semiconductor Equipment Associates, Inc. Techniques for patterning a substrate
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9472416B2 (en) * 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
CN104658939B (zh) * 2013-11-22 2017-11-10 中芯国际集成电路制造(上海)有限公司 用于自对准双构图技术的关键尺寸补偿方法
WO2015084523A1 (en) * 2013-12-05 2015-06-11 Tokyo Electron Limited Direct current superposition freeze
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9209279B1 (en) * 2014-09-12 2015-12-08 Applied Materials, Inc. Self aligned replacement fin formation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
TWI552313B (zh) * 2015-02-26 2016-10-01 華邦電子股份有限公司 同時製作晶胞區與周邊區之半導體元件的方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI610361B (zh) * 2015-06-26 2018-01-01 東京威力科創股份有限公司 具有可控制的含矽抗反射塗層或矽氮氧化物相對於不同薄膜或遮罩之蝕刻選擇性的氣相蝕刻
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9548243B1 (en) * 2015-06-30 2017-01-17 International Business Machines Corporation Self aligned via and pillar cut for at least a self aligned double pitch
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
TWI627704B (zh) * 2015-09-03 2018-06-21 東京威力科創股份有限公司 間隔件輪廓之修整方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102394042B1 (ko) * 2016-03-11 2022-05-03 인프리아 코포레이션 사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10553720B2 (en) * 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN108206131B (zh) * 2016-12-20 2020-08-07 中芯国际集成电路制造(上海)有限公司 半导体结构以及半导体结构的形成方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP7109165B2 (ja) * 2017-05-30 2022-07-29 東京エレクトロン株式会社 エッチング方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
CN117293018A (zh) * 2017-07-24 2023-12-26 应用材料公司 改善在氧化硅上的超薄非晶硅膜的连续性的预处理方法
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107464749B (zh) * 2017-07-28 2021-09-17 北京北方华创微电子装备有限公司 蚀刻方法和蚀刻系统
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN107564804A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种自对准双图案化方法
KR102419532B1 (ko) * 2017-09-12 2022-07-08 도쿄엘렉트론가부시키가이샤 질화물 에칭을 위한 표면 보수 방법
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10354874B2 (en) * 2017-11-14 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Directional processing to remove a layer or a material formed over a substrate
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) * 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020123672A (ja) * 2019-01-30 2020-08-13 東京エレクトロン株式会社 基板処理装置の制御方法、基板処理装置及びクラスタシステム
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020209939A1 (en) * 2019-04-08 2020-10-15 Applied Materials, Inc. Methods for modifying photoresist profiles and tuning critical dimensions
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
TW200834732A (en) * 2006-12-07 2008-08-16 Applied Materials Inc Multi-step dep-etch-dep high density plasma chemicl vapor deposition processes for dielectric gapfills
JP2008235857A (ja) * 2006-12-07 2008-10-02 Applied Materials Inc 薄膜プロセスの方法
TW201110227A (en) * 2009-03-26 2011-03-16 Ulvac Inc Vacuum processing method and vacuum processing apparatus

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4259145A (en) 1979-06-29 1981-03-31 International Business Machines Corporation Ion source for reactive ion etching
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US20050287307A1 (en) 2004-06-23 2005-12-29 Varian Semiconductor Equipment Associates, Inc. Etch and deposition control for plasma implantation
US20070087573A1 (en) 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
KR100714305B1 (ko) * 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
JP2007214538A (ja) 2006-01-11 2007-08-23 Renesas Technology Corp 半導体装置およびその製造方法
US20090117491A1 (en) 2007-08-31 2009-05-07 Applied Materials, Inc. Resolution enhancement techniques combining interference-assisted lithography with other photolithography techniques
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7935477B2 (en) * 2007-11-30 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench
US7989329B2 (en) 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
US8008206B2 (en) 2009-09-24 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8536064B2 (en) * 2010-02-08 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
TW200834732A (en) * 2006-12-07 2008-08-16 Applied Materials Inc Multi-step dep-etch-dep high density plasma chemicl vapor deposition processes for dielectric gapfills
JP2008235857A (ja) * 2006-12-07 2008-10-02 Applied Materials Inc 薄膜プロセスの方法
TW201110227A (en) * 2009-03-26 2011-03-16 Ulvac Inc Vacuum processing method and vacuum processing apparatus

Also Published As

Publication number Publication date
KR101556574B1 (ko) 2015-10-01
KR20140069027A (ko) 2014-06-09
TW201316405A (zh) 2013-04-16
US8759223B2 (en) 2014-06-24
CN103843110A (zh) 2014-06-04
US20130048605A1 (en) 2013-02-28
WO2013032873A1 (en) 2013-03-07
CN103843110B (zh) 2016-10-19

Similar Documents

Publication Publication Date Title
TWI492298B (zh) 雙重圖案化蝕刻製程
TWI708322B (zh) 製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
TWI605503B (zh) 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
JP6810059B2 (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
TWI389251B (zh) 處理薄膜之方法
TWI640040B (zh) 用於穩定蝕刻後界面以減少下一處理步驟前佇列時間問題的方法
TW201914951A (zh) 用於製造半導體應用的奈米線之選擇性氧化
US20040058517A1 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US20020064944A1 (en) Method of manufacturing a contact of a semiconductor device using cluster apparatus having at least one plasma pretreatment module
US20160218012A1 (en) Method of forming fine pattern, method of manufacturing semiconductor device, substrate processing apparatus and recording medium
JP2011508433A (ja) 自然酸化物の成長を低減するためのプラズマクリーンプロセスによるパッシベーション層の形成
JP2008205440A (ja) Nh3−nf3化学による酸化物エッチング
TW201517122A (zh) 將用於離子植入製程之硬光罩層圖案化的方法
CN102187450A (zh) 以低蚀刻速率介电质衬里改善间隙填充的方法
JP7176106B2 (ja) 誘電体材料の堆積方法
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
CN113366639A (zh) 用于存储器应用的垂直晶体管制造
WO2020236303A1 (en) In-situ atomic layer deposition process
JPWO2009054232A1 (ja) 半導体製造装置、半導体製造方法及び電子機器
TW201721740A (zh) 表面介面工程方法
JP2023552977A (ja) 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees