JP2023552977A - 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料 - Google Patents

半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料 Download PDF

Info

Publication number
JP2023552977A
JP2023552977A JP2023530170A JP2023530170A JP2023552977A JP 2023552977 A JP2023552977 A JP 2023552977A JP 2023530170 A JP2023530170 A JP 2023530170A JP 2023530170 A JP2023530170 A JP 2023530170A JP 2023552977 A JP2023552977 A JP 2023552977A
Authority
JP
Japan
Prior art keywords
layer
patterned
substrate
silicon
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023530170A
Other languages
English (en)
Inventor
ユンチェン リン,
チ-イ ラン,
ホユン ファン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023552977A publication Critical patent/JP2023552977A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Abstract

マンドレル材料、ハードマスク材料、およびライナー材料としてスズベースの材料を使用して半導体材料をパターニングするための方法および装置が提供される。本開示の1つまたは複数の実装形態は、様々なパターニングアプリケーション中に、ハードマスク材料、マンドレル材料、および/またはライナー材料として酸化スズおよび/または炭化スズ材料を使用する。酸化スズまたは炭化スズ材料は、限界寸法に影響を及ぼすことと、欠陥を発生させることとを回避するために、金属酸化物(たとえば、TiO2、ZrO2、HfO2、Al2O3)のような他の高選択性材料と比較して、剥離することが容易である。さらに、酸化スズおよび炭化スズは、低い屈折率(k-値)を有し、リソグラフィオーバーレイの場合は663nm未満で透明である。【選択図】図2

Description

本明細書で説明する実装形態は、一般に、膜スタックと、パターニングプロセスに対する高い選択性および良好なプロファイル制御を伴う、膜スタックをエッチングするためのエッチングプロセスとに関する。
超大規模集積(VLSI)および超超大規模集積(ULSI)半導体デバイスの製造は、サブミクロンフィーチャおよびサブミクロンよりも小さいフィーチャを確実に製造することを伴う。しかしながら、回路技術の微細化の継続に伴って、相互接続部などの回路フィーチャのサイズおよびピッチの寸法は処理能力に対する需要をさらに課した。デバイスおよび相互接続密度をさらに高めるために、この技術の中心にあるマルチレベル相互接続は、ビアおよび他の相互接続構造など、高アスペクト比フィーチャの高精度撮像および配置を伴う。さらに、レジストおよびハードマスク材料など、中間材料の浪費を低減しながらサブミクロンサイズのフィーチャおよび相互接続部を形成することが求められる。
フィーチャサイズがより小さくなったのに伴い、フィーチャの深さとフィーチャの幅との比として定義される、より高いアスペクト比に対する要求は10:1、さらにはそれを超えるまでに着実に高まった。そのような高いアスペクト比をもつフィーチャを確実に形成することが可能である、膜スタックおよびエッチングプロセスを開発することはかなりの課題を提起する。リソグラフィ露光および現像プロセスの不正確な制御または低い解像度は、膜スタックにフィーチャを転写するために利用される様々な層の限界寸法の不良につながり、容認できない線幅粗さ(line width roughness)(LWR)を生じ得る。大きい線幅粗さ(LWR)および不要なウィグリング(wiggling)プロファイルは膜スタックへの不正確なフィーチャ転写を引き起こし、したがって、最終的にデバイス故障および収率損失につながることがある。
さらに、そのような膜スタックのエッチング中に、エッチングプロセス中に生成された副生成物または他の材料の再堆積または蓄積は、エッチングされているフィーチャの上部および/または側壁に蓄積し、したがって、望ましくないことに、材料層中に形成されているフィーチャの開口をふさぐことがある。膜スタックのために選択される材料が異なると、膜スタック中に再堆積する副生成物の量またはプロファイルが異なることがある。さらに、エッチングされたフィーチャの開口は材料の蓄積された再堆積によって狭くなり、および/または密封されるので、反応性エッチャントがフィーチャの下面に到達することがなくなり、したがって得られ得るアスペクト比が限定される。さらに、再堆積材料または副生成物の蓄積は、エッチングされているフィーチャの上面および/または側壁にランダムにおよび/または不規則に付着することがあり、得られた不規則なプロファイルおよび再堆積材料の増大は反応性エッチャントの流路を変更することがあり、その結果、材料層中に形成されるフィーチャの曲がりプロファイルまたはねじれプロファイルが生じる。不正確なプロファイルまたは構造寸法はデバイス構造の崩壊を生じ、最終的にデバイス故障および低い製品収率につながることがある。さらに、膜スタック中に含まれる材料に対するエッチング選択性が不十分であると、望ましくないことに、プロファイルが不正確になり、したがって、最終的にデバイス故障につながることがある。
したがって、適切な膜スタックと、膜スタック中のターゲットにされたプロファイルと小さい寸法とをもつフィーチャをエッチングするためのエッチング方法が当技術分野では必要である。
本明細書で説明する実装形態は、一般に、膜スタックと、パターニングプロセスに対する高い選択性および良好なプロファイル制御とを伴う、膜スタックをエッチングするためのエッチングプロセスとに関する。
一態様では、基板上にフィーチャを形成する方法が提供される。本方法は、基板上にマンドレル層を形成することを含み、マンドレル層は炭化スズ層または酸化スズ層である。本方法は、マンドレル層をパターニングすることをさらに含む。本方法は、パターニングされたマンドレル層上にスペーサ層を共形に形成することをさらに含む。本方法は、スペーサ層をパターニングすることをさらに含む。
実装形態は以下のうちの1つまたは複数を含み得る。パターニングされたマンドレル層は、パターニングされたスペーサ層から選択的に除去される。基板上にマンドレル層を形成することは、物理的気相堆積(PVD)プロセス、化学気相堆積(CVD)プロセス、または原子層堆積(ALD)プロセスを使用してマンドレル層を堆積することを含む。マンドレル層をパターニングすることは、ハロゲン含有ガスと酸素ガスとを含む第1の混合ガスを供給することと、第1の混合ガス中に第1のRFソース電力設定を適用することとを含む。ハロゲン含有ガスは、Clガス、HBrガス、またはそれらの組合せから選択される。第1の混合ガスは、N、O、COS、SO、またはそれらの組合せから選択されるパッシベーションガスをさらに含む。スペーサ層は、マンドレル層の材料とは異なり、酸化ケイ素、窒化ケイ素、金属酸化物、またはポリシリコンから選択される、材料を含む。マンドレルは、その上に形成されたハードマスク層を有する。ハードマスク層は、ポリシリコン、ナノ結晶性シリコン、アモルファスシリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、オキシ炭化ケイ素、アモルファスカーボン、ダイヤモンド様炭素、窒化チタン、酸化チタン、チタンオキシナイトライド、窒化タンタル、酸化タンタル、タンタルオキシナイトライド、または任意の他の好適な材料、またはそれらの組合せから選択される材料を含む。基板は、酸化ケイ素、窒化ケイ素、酸化ハフニウム、酸化アルミニウム、酸化ジルコニウム、酸化チタン、またはそれらの組合せを含む。
別の態様では、基板上にフィーチャを形成する方法が提供される。本方法は、基板の上に形成された膜スタック上にハードマスク層を形成することを含み、ハードマスク層は酸化スズまたは炭化スズを含む。本方法は、基板に第1のエッチング混合ガスを供給することと、パターニングされたハードマスク層を形成するためにハードマスク層をエッチングすることとをさらに含む。
実装形態は以下のうちの1つまたは複数を含み得る。本方法は、基板に第2のエッチング混合ガスを供給することと、パターニングされたハードマスク層によって露出させられた膜スタックをエッチングすることとをさらに含む。膜スタックは複数の誘電体層を含む。膜スタックは酸化物窒化物酸化物(ONO)層を含む。膜スタックはシリコンとシリコンゲルマニウムとの交互層を含む。ハードマスク層は選択的に除去される。第1のエッチング混合ガスはハロゲン含有ガスを含む。ハロゲン含有ガスは、Clガス、HBrガス、またはそれらの組合せから選択される。第1のエッチング混合ガスは、N、O、COS、SO、またはそれらの組合せから選択されるパッシベーションガスをさらに含む。
また別の態様では、基板上にフィーチャを形成する方法が提供される。本方法は、基板の上に形成された膜スタック上にパターニングされたハードマスク層を形成することを含み、パターニングされたハードマスク層は炭素を含む。本方法は、基板に第1のエッチング混合ガスを供給することをさらに含む。本方法は、パターニングされた膜スタックを形成するために、ハードマスク層によって露出させられた膜スタックをエッチングすることをさらに含む。本方法は、パターニングされたハードマスク層とパターニングされた膜スタックとの上にライナー層を形成することを含み、ライナー層は酸化スズまたは炭化スズをさらに含む。
実装形態は以下のうちの1つまたは複数を含み得る。ライナー層はALDプロセスによって形成される。ライナー層は、ライナー層を除去するためにウェットケミストリーまたはドライプラズマにさらされる。パターニングされたハードマスク層は、アモルファスカーボン、ダイヤモンド様炭素、またはそれらの組合せを含む。膜スタックは複数の誘電体層を含む。膜スタックは酸化物窒化物酸化物(ONO)層を含む。膜スタックはシリコンとシリコンゲルマニウムとの交互層を含む。
別の態様では、非一時的コンピュータ可読媒体は、プロセッサによって実行されたときに、プロセスに上記装置および/または方法の動作を実行させる命令をその上に記憶している。
実装形態を参照することによって、本開示の上記の特徴が詳細に理解され得るように、それらのうちのいくつかが添付の図面に示されている上記で手短に要約した実装形態のより詳細な説明が得られ得る。しかしながら、添付の図面は、本開示の典型的な実装形態のみを示し、本開示は他の等しく効果的な実装形態も許容し得るので、したがって、本開示の範囲を限定するものと見なされるべきではないことに留意されたい。
本開示の1つまたは複数の実装形態による、エッチングプロセスを実行するために利用され得るプラズマ処理チャンバの1つの例の断面図を示す図である。 は、本開示の1つまたは複数の実装形態による、高アスペクト比フィーチャパターニングプロセスを実行するための方法の流れ図を示す図である。 本開示の1つまたは複数の実装形態による、高アスペクト比フィーチャパターニングプロセスの様々な段階を示す図である。 本開示の1つまたは複数の実装形態による、高アスペクト比フィーチャパターニングプロセスを実行するための別の方法の流れ図を示す図である。 本開示の1つまたは複数の実装形態による、高アスペクト比フィーチャパターニングプロセスの様々な段階を示す図である。 本開示の1つまたは複数の実装形態による、高アスペクト比フィーチャパターニングプロセスを実行するための別の方法の流れ図を示す図である。 本開示の1つまたは複数の実装形態による、高アスペクト比フィーチャパターニングプロセスの様々な段階を示す図である。
理解を容易にするために、可能な場合、図に共通である同等の要素を示すために同等の参照番号が使用されている。さらなる具陳なしに、1つの実装形態の要素および特徴が他の実装形態に有利に組み込まれ得ることが企図される。
以下の開示では、高アスペクト比フィーチャの形成について説明する。本開示の様々な実装形態の完全な理解を与えるために、いくつかの詳細が以下の説明および図1~図7Dに記載されている。様々な実装形態の説明を不必要に不明瞭にすることを回避するために、以下の開示では、しばしば高アスペクト比フィーチャの形成に関連するよく知られている構造およびシステムについて説明する他の詳細は記載されてない。さらに、本明細書で説明する装置説明は例示的なものであり、本明細書で説明する実装形態の範囲を限定するとして解釈または理解されるべきでない。
図に示された詳細、動作、寸法、角度および他の特徴の多くは特定の実装形態を示すものにすぎない。したがって、他の実装形態は、本開示の趣旨または範囲から逸脱することなく、他の詳細、構成要素、寸法、角度および特徴を有することができる。さらに、以下で説明するいくつかの詳細なしに本開示のさらなる実装形態を実施することができる。
本明細書で説明する実装形態は、一般に、膜スタックと、パターニングプロセスに対する高い選択性および良好なプロファイル制御とを伴う、膜スタックをエッチングするためのエッチングプロセスに関する。本開示の1つまたは複数の実装形態は、有利には、酸化スズ(たとえば、SnO、SnO)材料または炭化スズ(たとえば、SnC)材料を使用することによって、高アスペクト比フィーチャにおける材料選択性の改善を可能にする。本開示の1つまたは複数の実装形態は、様々なパターニングアプリケーション中に、ハードマスク材料、マンドレル材料、および/またはライナー材料として、酸化スズおよび/または炭化スズ材料を使用する。本開示のいくつかの実装形態は、酸化スズと炭化スズと不揮発性エッチング副生成物(たとえば、SnF)との高いヤング係数により、メモリアプリケーションのための材料、たとえば、酸化ケイ素または窒化ケイ素のキャパシタエッチング中の、炭素、高密度炭素、およびダイヤモンド様炭素材料の不十分な選択性を改善する。酸化スズまたは炭化スズ材料は、限界寸法に影響を及ぼすことと、欠陥を発生させることとを回避するために、金属酸化物(たとえば、TiO、ZrO、HfO、Al)のような他の高選択性材料と比較して、剥離することが容易である。炭素に勝る高い選択性を与える可能性により、酸化スズおよび炭化スズ材料は、メモリアプリケーションにおけるディープエッチハードマスクのために使用され得る。さらに、酸化スズおよび炭化スズは低い屈折率(k-値)を有し、リソグラフィオーバーレイの場合は663nm未満で透明である。さらに、炭素、スズおよびSnOx(空気中の酸化)をドライプラズマエッチングまたはウェットエッチャントによって容易に除去することができ、それはマルチパターニングのための正確な限界寸法制御を行うことを助ける。スズおよび酸化スズは、製造プロセスにおける欠陥を低減するためにチャンバ洗浄中に容易に除去することができ、チャンバ生産性を高めることもできる。
本明細書で説明する実装形態が実施され得る個々の装置は限定されないが、カリフォルニア州サンタクララのApplied Materials社が販売しているSYM3(R)エッチングシステムにおける実装形態を実施することが特に有益である。さらに、他の利用可能なエッチングシステムも、本明細書で説明する実装形態から利益を得ることができる。
本明細書で使用する「基板」は、作製プロセス中に膜処理がそれの上で実行される材料の表面、または表面もしくは材料の一部分を指す。たとえば、処理がそれの上で実行され得る基板表面は、アプリケーションに応じて、シリコン、酸化ケイ素、窒化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素ドープ酸化ケイ素、アモルファスシリコン、ドープシリコン、ドープアモルファスシリコン、ポリシリコン、ドープポリシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイアなどの材料、ならびに、金属、金属窒化物、金属合金、および他の導電性材料などの任意の他の材料を含む。基板は、限定はしないが、半導体ウエハを含む。基板自体の表面上への直接の膜処理に加えて、本開示では、以下により詳細に開示するように、開示された膜処理ステップのいずれも、基板上に形成された下層(under-layer)上で実行され得、「基板表面」という用語は、文脈が示すそのような下層を含むものである。したがって、たとえば、膜/層または部分的な膜/層が基板表面上に堆積されている場合、新たに堆積された膜/層の露出させられた表面が基板表面になる。
基板は、それの上に堆積された誘電体材料、導電性材料、または半導電性材料などの材料の1つまたは複数の層を有するウエハを含む、シリコンウエハ、たとえば、200mmウエハ、300mmウエハ、または450mmウエハであり得る。パターニングされた基板は、狭い開口および/またはリエントラント(re-entrant)開口と、フィーチャ内の収縮と、高いアスペクト比とのうちの1つまたは複数を特徴とし得る、ビア、開口、またはコンタクトホールなどの「フィーチャ」を有することができる。フィーチャは、上記で説明した層のうちの1つまたは複数の中に形成され得る。フィーチャの1つの例は半導体基板または基板上の層の中のホールもしくはビアである。別の例は基板または層の溝である。いくつかの実装形態では、フィーチャは、バリア層または接着層など、下層を有することができる。下層の非限定的な例としては、誘電体層および導電層、たとえば、酸化ケイ素、窒化ケイ素、炭化ケイ素、金属酸化物、金属窒化物、金属カーバイド、および金属層がある。
いくつかの実装形態では、開示される実施形態を実行することから作製される基板のタイプは、開示される実施形態を実行する前の基板上のフィーチャのアスペクト比に依存し得る。アスペクト比は、フィーチャの限界寸法(たとえば、幅/直径)に対するフィーチャの深さの比較である。いくつかの実装形態では、基板上のフィーチャは、少なくとも約2:1、少なくとも約3:1、少なくとも約4:1、少なくとも約6:1、少なくとも約10:1、少なくとも約20:1、またはより高いアスペクト比を有することができる。フィーチャはまた、開口に近い寸法、たとえば、約5nmと500nmとの間、たとえば約25nmと約300nmとの間の開口直径またはライン幅を有することができる。
本開示の1つまたは複数の実装形態は、一般に、メモリ構造中に実装され得るような誘電体材料をパターニングすることによって形成される、高アスペクト比構造を含む構造を提供する。例として、本開示の実装形態に従って形成される高アスペクト比フィーチャは、NANDタイプメモリデバイスなど、メモリタイプ半導体デバイスであり得る。
図1は、材料層をパターニングすること、ならびにプラズマ処理チャンバ100中で基板102上に配設された材料層を形成することのために好適なプラズマ処理チャンバ100の一例の簡略化した破断図である。プラズマ処理チャンバ100は、本明細書で説明するエッチングプロセスを実行するために好適である。本開示から利益を得るように適応され得るプラズマ処理チャンバ100の一例は、カリフォルニア州サンタクララに所在するApplied Materials社から入手可能なCENTRIS(R)SYM3(R)処理チャンバである。他の製造業者からのプロセスチャンバを含む他のプロセスチャンバを、本開示の実装形態を実施するように適応させることができることが企図される。
プラズマ処理チャンバ100は、それの中に画定された処理容積101を有するチャンバ本体105を含む。チャンバ本体105は、接地126に結合された、側壁112と底部118とを有する。側壁112は、側壁112を保護し、プラズマ処理チャンバ100の保守サイクル間の時間を延ばすためのライナー115を有する。チャンバ本体105の寸法およびプラズマ処理チャンバ100の関係する構成要素の寸法は限定されず、それの中で処理されるべき基板102のサイズよりも比例的に大きくなり得る。基板サイズの例としては、とりわけ、直径200mm、直径250mm、直径300mmおよび直径450mmがある。
チャンバ本体105は、処理容積101を密閉するためにチャンバリッドアセンブリ110を支持する。チャンバ本体105はアルミニウムまたは他の好適な材料から作製され得る。基板アクセスポート113は、チャンバ本体105の側壁112を通って形成され、プラズマ処理チャンバ100中へのおよびプラズマ処理チャンバ100からの基板102の移送を促進する。基板アクセスポート113は、基板処理システム(図示せず)の移送チャンバおよび/または他のチャンバに結合され得る。
ポンピングポート145がチャンバ本体105中に画定され、処理容積101に接続される。ポンピングデバイス(図示せず)は、処理容積101を排気し、処理容積101の圧力を制御するために、ポンピングポート145を通って処理容積101に結合される。ポンピングデバイスは1つまたは複数のポンプとスロットルバルブとを含むことができる。
処理容積101中にプロセスガスを供給するために、ガスパネル160がガスライン167によってチャンバ本体105に結合される。ガスパネル160は、1つまたは複数のプロセスガスソース161、162、163、164を含むことができ、さらに、不活性ガス、非反応性ガス、および反応ガスを含むことができる。ガスパネル160によって与えられ得るプロセスガスの例としては、限定はしないが、O、HO、H、O、NO、NOを含む酸素含有ガス、Cl、HCl、HF、F、Br、HCl、HBr、SF、NFを含むハロゲン含有ガス、窒素(N)とカルボニル硫化物(COS)と硫黄ジオキシド(SO)をと含むパッシベーションガス、およびアルゴン、ヘリウムを含む不活性ガスがある。さらに、プロセスガスは、とりわけ、BCl、C、C、C、CHF、CH、CHF、NF、NH、CO、SO、CO、N、NO、NOおよびHなど、窒素、塩素、フッ素、酸素および水素含有ガスを含むことができる。
バルブ166は、ガスパネル160からソース161、162、163、164からのプロセスガスのフローを制御し、システムコントローラ165によって管理される。ガスパネル160からチャンバ本体105に供給されるガスのフローはガスの組合せを含むことができる。
チャンバリッドアセンブリ110はノズル114を含むことができる。ノズル114は、ガスパネル160のソース161、162、164、163からのプロセスガスを処理容積101中に導入するための1つまたは複数のポートを有する。プロセスガスがプラズマ処理チャンバ100中に導入された後に、ガスは、プラズマを形成するために活性化される。1つまたは複数のインダクタコイルなど、アンテナ148がプラズマ処理チャンバ100に隣接して与えられ得る。プラズマ処理チャンバ100の処理容積101中のプロセスガスから形成されたプラズマを維持するために、RFエネルギーなど、エネルギーをプロセスガスに誘導結合するために、アンテナ電源142は整合回路141を通してアンテナ148に電力供給することができる。代替的に、またはアンテナ電源142に加えて、処理容積101内のプラズマを維持するためにRF電力をプロセスガスに容量結合するために、基板102の下方および/または基板102の上方のプロセス電極を使用することができる。アンテナ電源142の動作は、プラズマ処理チャンバ100中の他の構成要素の動作をも制御する、システムコントローラ165など、コントローラによって制御され得る。
処理中に基板102を支持するために、基板支持ペデスタル135が処理容積101中に配設される。基板支持ペデスタル135は、処理中に基板102を保持するための静電チャック(ESC)122を含むことができる。ESC122は、基板102を基板支持ペデスタル135に対して保持するために静電気引力を使用する。ESC122は、整合回路124と一体化されたRF電源125によって電力供給される。ESC122は、誘電体内に埋め込まれた電極121を含む。電極121は、RF電源125に結合され、処理容積101中のプロセスガスによって形成されたプラズマイオンをESC122とそれの上に配置された基板102とに引き付けるバイアスを与える。RF電源125は、基板102の処理中に周期的にオンおよびオフするか、またはパルス発振することができる。ESC122は、ESC122の保守ライフサイクルを延長するために、ESC122の側壁がプラズマにあまり引き付けられないようにする目的でアイソレータ128を有する。さらに、基板支持ペデスタル135は、基板支持ペデスタル135の側壁をプラズマガスから守るために、およびプラズマ処理チャンバ100の保守間の時間を延ばすためにカソードライナー136を有することができる。
さらに、電極121は電源150に結合される。電源150は、約200ボルト~約2000ボルトのチャッキング電圧を電極121に与える。電源150はまた、基板102をチャッキングおよびチャッキング解除するために電極121にDC電流を導くことによって電極121の動作を制御するためのシステムコントローラ、たとえば、システムコントローラ165を含むことができる。
ESC122は、基板を加熱するために、それの中に配設され、電源(図示せず)に接続された加熱器を含むことができるが、ESC122を支持する冷却ベース129は、ESC122およびそれの上に配設された基板102の温度を維持するために熱伝達流体を循環させるためのコンジットを含むことができる。ESC122は、基板102上で作製されているデバイスのサーマルバジェット(thermal budget)によって規定される温度範囲内で動作するように構成される。たとえば、ESC122は、基板102をセ氏-50度~セ氏約250度、たとえば、セ氏約25度からセ氏約150度までの温度に維持するように構成され得る。
冷却ベース129は、基板102の温度を制御することを助けるために与えられる。プロセスドリフトおよびプロセス時間を軽減するために、基板102の温度は、基板102がプラズマ処理チャンバ100中にある時間全体にわたって、冷却ベース129によって実質的に一定に維持され得る。一実装形態では、基板102の温度は、エッチングプロセス全体にわたってセ氏-50度~セ氏約250度、たとえば、セ氏約25度からセ氏約150度までに維持される。
カバーリング130がESC122上におよび基板支持ペデスタル135の周囲に沿って配設される。カバーリング130は、基板支持ペデスタル135の上面をプラズマ処理チャンバ100の内側のプラズマ環境から遮蔽しながら、エッチングガスを基板102の露出させられた上面のターゲットにされた部分に閉じ込めるように構成される。移送ロボット(図示せず)または他の好適な移送機構による基板102へのアクセスを容易にするために、基板102を基板支持ペデスタル135の上方に持ち上げるために、リフトピン(図示せず)が基板支持ペデスタル135を通して選択的に移動される。
システムコントローラ165は、プロセスシーケンスを制御し、それにより、ガスパネル160からプラズマ処理チャンバ100中へのガスフローと他のプロセスパラメータとを調整するために利用され得る。ソフトウェアルーチンは、CPUによって実行されたときに、CPUを、プロセスが本開示に従って実行されるようにプラズマ処理チャンバ100を制御する特殊目的コンピュータ(コントローラ)に変換する。ソフトウェアルーチンはまた、プラズマ処理チャンバ100とともに配列された第2のコントローラ(図示せず)によって記憶および/または実行され得る。
図2は、本開示の1つまたは複数の実装形態による、スズベースのマンドレル材料を利用する高アスペクト比フィーチャパターニングプロセスを実行するための方法200の流れ図を示す。図3A~図3Dは、方法200による、高アスペクト比フィーチャパターニングプロセスの様々な段階における膜スタック300の断面図を示す。マンドレル材料は、酸化スズ(たとえば、SnO、SnO)材料または炭化スズ(たとえば、SnC)材料を含む。方法200は、必要に応じて、論理デバイスまたはメモリデバイスのための、コンタクト構造、ゲート構造、NAND構造、または相互接続構造など、ターゲットにされた限界寸法とプロファイルとをもつフィーチャを形成するために利用され得る。代替的に、方法200は、他のタイプの構造をパターニングするために有利に利用され得る。
方法200は、図3Aに示されているように、動作210において、基板310上に配設されたパターニングされたマンドレル層320上に配設されたパターニングされたハードマスク層330を有する膜スタック300を与えることによって開始する。パターニングされたハードマスク層330は、画定された開口を有するパターニングされたフォトレジスト層(図示せず)を使用してパターニングされ、それにより、エッチングのためにハードマスク層の一部分が露出し得る。
動作220中に、パターニングされたハードマスク層330は、次いで、パターニングされたマンドレル層320を形成するために使用される。動作220のマンドレルパターニングプロセスは、プラズマ処理チャンバ、たとえば、図1に示されたプラズマ処理チャンバ100中で実行され得る。マンドレルパターニングプロセスは、パターニングされたマンドレル層320の側壁324を形成するために、パターニングされたハードマスク層330の材料よりも高いレートで選択的にマンドレル層の材料を選択的に除去する第1の混合ガスを供給することによって実行される。マンドレルパターニングプロセス中に供給される第1の混合ガスは、炭化スズまたは酸化スズ材料をエッチングするために利用される反応性エッチャントを含む。
第1の混合ガスはハロゲン含有ガスを含むことができる。ハロゲン含有ガスは、HBr、塩素ガス(Cl)、CF、CHF、Cなどの炭素およびフッ素含有ガス、またはそれらの組合せのグループから選択され得る。第1の混合ガスは酸素含有ガスまたはHをさらに含むことができる。酸素含有ガスは、O、HO、H、O、NO、NO、CO、またはそれらの組合せのグループから選択され得る。第1の混合ガスはパッシベーションガスをさらに含むことができる。パッシベーションガスは、窒素(N)、硫黄ジオキシド(SO)、カルボニル硫化物(COS)、またはそれらの組合せのグループから選択され得る。第1の混合ガスは、パターニングされたマンドレル層320の側壁324を形成するために、マンドレル層から材料を除去するように構成される。理論によって束縛されるべきでないが、パッシベーションガスの含有は側壁324の垂直エッチプロファイルを達成することを助けると考えられる。1つの特定の例では、第1の混合ガスは、O/HBrおよび塩素ガス(Cl)のうちの少なくとも1つ/N、COS、およびSOのうちの少なくとも1つを含む。
第1の混合ガスがプラズマ処理チャンバ、たとえば、図1に示されたプラズマ処理チャンバ100中に供給されている間に、いくつかのプロセスパラメータが調整される。一実装形態では、第1の混合ガスの存在下のチャンバ圧力が調整される。一例では、エッチチャンバ中のプロセス圧力は、約1ミリトールから約80ミリトールまで、たとえば、約3ミリトールから約60ミリトールまでに調整される。第1の混合ガスから形成されたプラズマを維持するために、RFソースおよびバイアス電力が適用され得る。たとえば、プラズマ処理チャンバの内側のプラズマを維持するために、約100ワットから約3000ワットまで(約200ワットから約1500ワットまで、約200ワットから約1,000ワットまで、または約500ワットから約3,000ワットまで)のRFソース電力が誘導結合アンテナソースに適用され得る。第1の混合ガスを供給している間に、約1500ワット未満の(約40ワットから400ワットまで、約150ワットから約400ワットまで、または約500ワットから約1、500ワットまでの)RFバイアス電力が適用され得る。第1の混合ガスは約50sccmから約1,000sccmまでの流量でチャンバ中に流され得る。基板の温度は、セ氏約-50度からセ氏約250度まで、たとえば、セ氏約-20度からセ氏約80度までに維持され得る。
第1の混合ガスを供給している間に、主にマンドレル層のいくつかの部分を除去することを促進するために、RFソースおよびバイアス電力範囲は変動させられ得る。たとえば、第1の混合ガスを供給している間に、必要に応じて、RFソース電力は、たとえば、第1のRFソース電力設定から第2のRFソース電力設定に上げられ得るが、RFバイアス電力は、たとえば、第1のRFバイアス電力設定から第2のRFバイアス電力設定に下げられ得る。一例では、第1のRFソースおよびバイアス電力設定が約5秒から約20秒までの時間期間の間実行された後に、第1のRFソース電力設定およびバイアス電力設定は、パターニングプロセスを継続するために第2のRFソースおよびバイアス電力設定に移行され得る。一例では、第2のRFソース電力設定は第1のRFソース電力設定よりも約30%から約80%高い。第2のバイアス電力設定は第1のバイアス電力設定よりも約30パーセントから約70パーセント少なくなり得る。
1つの特定の例では、第1のRFソース電力設定は約500ワットから約600ワットまでであり、第1のRFバイアス電力設定は約50ワットから約150ワットまでである。第2のRFソース電力設定は約700ワットから約900ワットまでであり、第2のRFバイアス電力設定は約20ワットから約100ワットまでである。
いくつかの実装形態では、パターニングされたハードマスク層330は、ポリシリコン、ナノ結晶性シリコン、アモルファスシリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、オキシ炭化ケイ素、アモルファスカーボン、ダイヤモンド様炭素、窒化チタン、酸化チタン、チタンオキシナイトライド、窒化タンタル、酸化タンタル、タンタルオキシナイトライド、または任意の他の好適な材料のグループから選択される第1のタイプの誘電体層であり得る。1つの特定の例では、パターニングされたハードマスク層330を形成するために選択される第1のタイプの誘電体層の第1のタイプは、アモルファスカーボン、ダイヤモンド様炭素、SiOCなど、炭素含有層である。マンドレル材料が酸化スズであるいくつかの実装形態では、ハードマスク層330は、炭素ベース膜(たとえば、スピンオンカーボン膜、アモルファスカーボン膜、炭素ベースフォトレジスト、極紫外線(「EUV」)レジスト材料、誘電体材料(たとえば、SiO、SiN、SiON、SiOCN、またはSiOC)、およびシリコン含有膜(たとえば、シリコンまたはポリシリコン膜)を含むことができる。マンドレル材料が炭化スズであるいくつかの実装形態では、ハードマスク層330は、パターニングされた誘電体(たとえば、SiO、SiN、SiON、SiOCN、またはSiOC)またはシリコン含有膜(たとえば、シリコンまたはポリシリコン膜)を含む。
パターニングされたマンドレル層320は、パターニングされたハードマスク層330とは異なる材料を含む。パターニングされたマンドレル層320はスズ含有材料である。一例では、パターニングされたマンドレル層320は酸化スズ層(たとえば、SnOx、SnO、またはSnO)である。別の例では、パターニングされたマンドレル層320は、炭化スズ層(たとえば、Sn-C、あるいはSn-Sn、Sn-C、および/またはC-Cの結合を含有するSn(C))である。理論によって束縛されるべきでないが、Sn-Cの強い結合は、パターニングされたマンドレル層320の側壁324の垂直プロファイルを維持することを助けると考えられる。パターニングされたマンドレル層320は、PVD、CVD、ALD、または他の好適な堆積技法など、任意の好適な堆積プロセスによって形成され得る。パターニングされたマンドレル層320は、後で堆積されるスペーサ材料をパターニングするために使用される。一例では、パターニングされたマンドレル層320は、約5nmと約200nmとの間、たとえば、約40nmから約100nmまでの厚さを有する。
いくつかの実装形態では、図3Bに示されているように、パターニングされたハードマスク層330は、パターニングされたマンドレル層320を形成した後に除去される。いくつかの実装形態では、パターニングされたハードマスク層330は、パターニングされたマンドレル層320上に残り、後で除去され得る。いくつかの実装形態では、パターニングされたハードマスク層330は、パターニングされたマンドレル層320をパターニングしている間に使い果たされる。
いくつかの実装形態では、基板310は、コンタクト層を形成するために利用される誘電体層、デュアルダマシン構造、または任意の好適な材料を含むことができる。誘電体層の好適な例としては、炭素含有酸化ケイ素(SiOC)、オルトケイ酸テトラエチル(TEOS)、熱酸化ケイ素、ポリアミドなどポリマー材料、SOG、USG、酸化ケイ素、窒化ケイ素(たとえば、SiNx)、酸窒化ケイ素(SiON)、炭化ケイ素(SiC)、ケイ素炭素-窒化物(SiCN)、オキシ炭化ケイ素、窒化ホウ素(BN)、たとえば、酸化ハフニウム(たとえば、HfOx、HfO)を含む高k誘電体、酸化アルミニウム(たとえば、AlxOy、Al)、酸化ジルコニウム(ZrO)、酸化チタン、またはそれらの組合せがある。一例では、基板310は、酸化ケイ素、窒化ケイ素、酸化ハフニウム、酸化アルミニウム、酸化ジルコニウム、酸化チタン、またはそれらの組合せを含む。
動作230において、図3Bに示されているように、基板310とパターニングされたマンドレル層320との上にスペーサ層340が形成される。スペーサ層340は、パターニングされたマンドレル層320および基板310の材料とは異なる材料から形成される。いくつかの実装形態では、スペーサ層340は、パターニングされたマンドレル層320の材料とは異なり、酸化ケイ素、窒化ケイ素、金属酸化物、またはポリシリコンから選択される材料を含む。一例では、スペーサ層340は、ホウ素ドープシリコン材料、リンドープシリコン、または他の好適なIII族、IV族またはV族ドープシリコン材料など、ドープシリコン含有層である。一例では、スペーサ層340はホウ素ドープシリコン層である。
いくつかの実装形態では、スペーサ層340はCVDプロセスによって形成される。スペーサ層340は、PECVD(プラズマ化学気相堆積)、ALD、SACVD、HDPCVD、スピンオンコーティングまたは他の好適な堆積技法など、任意の好適な堆積プロセスによって形成され得ることに留意されたい。一例では、スペーサ層340は約5nmから約25nmまでの厚さを有する。
一例では、スペーサ層340は、パターニングされたマンドレル層320上に共形に形成され、パターニングされたマンドレル層320の上面322と側壁324との上に共形にライニングする。パターニングプロセス後のスペーサ層340の良好なプロファイルが必要に応じて得られ得るように、スペーサ層340は後のパターニングプロセス中に良好なエッチング選択性を与えることができると考えられる。
動作240において、スペーサ層340は、図3Cに示されているようにパターニングされる。動作240のスペーサパターニングプロセスは、プラズマ処理チャンバ、たとえば、図1に示されたプラズマ処理チャンバ100中で実行され得る。スペーサ構造350を形成するために、ターゲットにされたプロファイルをもつ基板310上にスペーサ層340のいくつかの部分(たとえば、側壁342)が残ることができるように、パターニングプロセスは、ターゲットにされた指向性を用いてスペーサ層340の部分を選択的に除去することができる第2の混合ガスを供給することによって実行される。一例では、スペーサパターニングプロセス中に供給される第2の混合ガスは、特に、ドープシリコン含有材料を異方性エッチングするために、スペーサ層340からのシリコン含有材料の異方性エッチングのために利用される反応性エッチャントを含むことができる。
いくつかの実装形態では、第2の混合ガスは、HBr、塩素ガス(Cl)、三塩化ホウ素(BCl)、三フッ化窒素(NF)、六フッ化硫黄ガス(SF)、CF、CHF、Cなど、炭素およびフッ素含有ガス、またはそれらの組合せなどのグループから選択されるハロゲン含有ガスを含む。一例では、第2の混合ガスは、スペーサ層340をエッチングするために利用されるHBrおよび塩素ガス(Cl)を含む。第2の混合ガスは、スペーサ層340の側壁342を著しく腐食することなしにスペーサ層340の上部部分と底部部分とを除去するように構成される。一例では、スペーサ層340のエッチングによりスペーサ構造350の上面は実質的に正方形になる。
第2の混合ガスがプラズマ処理チャンバ中に供給されている間に、いくつかのプロセスパラメータが調整される。一実装形態では、第2の混合ガスの存在下のチャンバ圧力が調整される。一例では、プラズマ処理チャンバ中のプロセス圧力は、約1ミリトールから約80ミリトールまで、たとえば、約3ミリトールから約60ミリトールまでに調整される。第2の混合ガスから形成されたプラズマを維持するために、RFソースおよびバイアス電力が適用され得る。たとえば、プラズマ処理チャンバの内側のプラズマを維持するために、約100ワットから約3000ワットまで(約200ワットから約1500ワットまで、約200ワットから約1,000ワットまで、または約500ワットから約3000ワットまで)のRFソース電力が誘導結合アンテナソースに適用され得る。第2の混合ガスを供給している間に、約1500ワット未満の(約40ワットから400ワットまで、約150ワットから約400ワットまで、または約500ワットから約1,500ワットまでの)RFバイアス電力が適用され得る。第2の混合ガスは約50sccmから約1,000sccmまでの流量でチャンバ中に流され得る。基板の温度は、セ氏約-50度からセ氏約250度まで、たとえば、セ氏約-20度からセ氏約80度までに維持され得る。
第2の混合ガスを供給している間に、主にスペーサ層340のいくつかの部分を除去することを促進するために、RFソースおよびバイアス電力範囲は変動させられ得る。たとえば、第2の混合ガスを供給している間に、必要に応じて、RFソース電力は、たとえば、第1のRFソース電力設定から第2のRFソース電力設定に上げられ得るが、RFバイアス電力は、(たとえば、第1のRFバイアス電力設定から第2のRFバイアス電力設定に)下げられ得る。一例では、第1のRFソースおよびバイアス電力設定が約5秒と約20秒との間の時間期間の間実行された後に、第1のRFソース電力設定およびバイアス電力設定は、スペーサパターニングプロセスを継続するために第2のRFソースおよびバイアス電力設定に移行され得る。一例では、第2のRFソース電力設定は第1のRFソース電力設定よりも約30%から約80%高い。第2のバイアス電力設定は第1のバイアス電力設定よりも約30パーセントから約70パーセント少ない。
一例では、第1のRFソース電力設定は約500ワットから約600ワットまでであり、第1のRFバイアス電力設定は約50ワットから約150ワットまでである。第2のRFソース電力設定は約700ワットから約900ワットまでであり、第2のRFバイアス電力設定は約20ワットから約100ワットまでである。
動作250において、図3Dに示されているように、スペーサ構造350を形成するために、パターニングされたマンドレル層320が除去される。パターニングされたマンドレル層320の除去のために選択されるエッチケミストリーは、パターニングされたマンドレル層320とスペーサ層340とを形成するために使用された材料に基づいて選択され得る。
一例では、スペーサパターニングプロセスは1つまたは複数の処理段を含むことができる。たとえば、主にスペーサ層340の上部部分と底部部分とを除去するために第2の混合ガスが供給された後に、主にパターニングされたマンドレル層320を除去するために、第3の混合ガスが供給される。第3の混合ガスは、O、H、H/N、Cl、HBr、HO、H、またはそれらの組合せ、および/またはN、He、Arなど、キャリアガスなどを含むことができる。第3の混合ガスは、酸素含有混合ガス、および/または、N、He、Arなど、キャリアガスなどを含むことができる。一例では、必要に応じて、CH、CFなど、炭素およびフッ素含有ガスも利用され得る。一例では、第2の混合ガスは、OおよびN、またはOとNとCHとを含む。
第3の混合ガスがプラズマ処理チャンバ中に供給されている間に、いくつかのプロセスパラメータが調整され得る。一実装形態では、第3の混合ガスの存在下のチャンバ圧力が調整される。一例では、プラズマ処理チャンバ中のプロセス圧力は、約1ミリトールから約80ミリトールまで、たとえば、約3ミリトールから約60ミリトールまでに調整される。第3の混合ガスから形成されたプラズマを維持するために、RFソースおよびバイアス電力が適用され得る。たとえば、プラズマ処理チャンバの内側のプラズマを維持するために、約100ワットから約3000ワットまで(約200ワットから約1500ワットまで、約200ワットから約1,000ワットまで、または約500ワットから約3,000ワットまで)のRFソース電力が誘導結合アンテナソースに適用され得る。第3の混合ガスを供給している間に、約1500ワット未満の(約40ワットから400ワットまで、約150ワットから約400ワットまで、または約500ワットから約1、500ワットまでの)RFバイアス電力が適用され得る。第3の混合ガスは約50sccmから約1,000sccmまでの流量でチャンバ中に流され得る。基板の温度は、セ氏約-50度からセ氏約250度まで、たとえば、セ氏約-20度からセ氏約80度までに維持され得る。スペーサ構造350はさらなる処理にかけられ得る。
スズベースのマンドレルを使用する実装形態は以下の潜在的な利点のうちの1つまたは複数を含むことができる。Sn-Cの強い結合により、Sn-Cマンドレルは小さい寸法(たとえば、<10nm)においてさえ垂直プロファイルを維持する。炭素、SnまたはSnOx(空気中の酸化)をドライプラズマエッチングまたはウェットエッチャントによって容易に除去することができ、それはマルチパターニングのための正確な限界寸法制御を行う。
図4は、スズベースのハードマスク層530を有する膜スタック500をエッチングするための別の方法400の流れ図を示す。図5A~図5Dは、方法400による、高アスペクト比フィーチャパターニングプロセスの様々な段階における膜スタック500の断面図を示す。方法400は、必要に応じて、論理デバイスまたはメモリデバイスのための、コンタクト構造、ゲート構造、NAND構造、または相互接続構造など、ターゲットにされた限界寸法とプロファイルとをもつフィーチャを形成するために利用され得る。代替的に、方法400は、他のタイプの構造をエッチングするために有利に利用され得る。
方法400は、図5Aに示されているように、動作410において、基板510の上に複数の層520上に配設されたハードマスク層530を有する膜スタック500を与えることによって開始する。
ハードマスク層530は、本明細書で説明するように酸化スズ(SnO、SnO)または炭化スズ(SnC)を含む。いくつかの実装形態では、複数の層520は複数の誘電体層を含む。一例では、複数の誘電体層は、交互に重なる酸化物窒化物酸化物(ONO)層を含む。別の実装形態では、複数の層520は、交互に重なるシリコン層とシリコンゲルマニウム層とを含む。
いくつかの実装形態では、図5Aに示されているように、ハードマスク層530は、それの上に配設されたパターニングされたフォトレジスト層540を有する。パターニングされたフォトレジスト層540は、化学的に増幅された反応を受けることが可能なポジティブトーンフォトレジストおよび/またはネガティブトーンフォトレジストであり得る。一例では、パターニングされたフォトレジスト層540はEUVリソグラフィプロセスのために好適なポリマー有機材料である。1つまたは複数の例では、パターニングされたフォトレジスト層540は、Sn、Ta、In、Ga、Zr、Zn、それらの任意の合金、またはそれらの任意の組合せのうちの少なくとも1つから選択される少なくとも1つの金属元素を含む。パターニングされたフォトレジスト層540中に含まれる金属元素は、必要に応じて、リソグラフィ露光プロセス中に光吸収効率を変更することができる。
パターニングされたフォトレジスト層540はハードマスク層530上に配設される。いくつかの実装形態では、ハードマスク層530は、追加の層、たとえば、底面反射防止コーティング(BARC)層および/または有機層(図示せず)上に配設される。有機層は、有機材料、または有機材料と無機材料との混合物のいずれかを含むことができる。
膜スタック500中に形成されるべきハードマスク層530は酸化スズまたは炭化スズ材料を含む。ハードマスク層530は単一の層または複数の層を含むことができる。図5Aに示されている例では、ハードマスク層530は、酸化スズ、炭化スズ、またはそれらの組合せを含有するか、または酸化スズ、炭化スズ、またはそれらの組合せから形成される、単一の層である。1つまたは複数の例では、ハードマスク層530は、約10Åから約500Åまで、たとえば、約20Å~約200Å、たとえば、約50Åから約100Åまでの厚さを有する。
ハードマスク層530は任意の好適な堆積技法によって形成され得る。いくつかの実装形態では、ハードマスク層530は、CVD、ALD、PVD、または他の好適な堆積プロセスによって形成される。
いくつかの実装形態では、ハードマスク層530はCVDまたはPVDプロセスによって形成され、ハードマスク層530のプラズマ堆積プロセス中に、XeまたはKrなど、比較的高い原子量をもつキャリアガスおよび/または不活性ガスが使用され得る。ハードマスク層530の形成中に制御される基板温度はセ氏約-50度からセ氏約250度までに制御され得る。理論によって束縛されるべきでないが、ハードマスク層530を形成している間の、たとえば、セ氏250度未満の比較的低い基板温度制御は、比較的遅い堆積レートでハードマスク層530を形成することを助け、比較的滑らかな表面をもつ膜表面を与えることができると考えられる。
基板510は、半導体基板、シリコンウエハ、ガラス基板などのうちのいずれか1つであり得る。基板510は、結晶シリコン(たとえば、Si<100>またはSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ゲルマニウム、ドープポリシリコンまたは非ドープポリシリコン、ドープシリコンウエハまたは非ドープシリコンウエハおよびパターニングされたまたはパターニングされていないウエハ、シリコンオンインシュレータ(SOI)、炭素ドープ酸化ケイ素、窒化ケイ素、ドープシリコン、ゲルマニウム、ガリウムヒ素、ガラス、またはサファイアなどの材料であり得る。基板510は、200mm、300mm、450mmまたは他の直径などの様々な寸法を有することができ、ならびに、長方形または正方形のパネルであり得る。別段に記載されていない限り、本明細書で説明する例は、直径200mm、直径300mm、または直径450mmをもつ基板に関して行われる。
動作420中に、図5Bに示されているように、パターニングされたハードマスク層550を形成するために、ハードマスク層530をパターニングするためにエッチングプロセスが実行される。ハードマスク層530は、画定された開口542を有するパターニングされたフォトレジスト層540を使用してパターニングされ、それにより、エッチングのためにハードマスク層530の表面の一部分が露出し得る。パターニングされたハードマスク層550は画定された開口またはフィーチャ552を有し、複数の層520の表面の一部分を露出させる。パターニングされたフォトレジスト層540によって画定された開口542の寸法は小さい、たとえば、100nm未満であるので、下にある複数の層520に損傷を与えることなしに、良好なプロファイル制御を伴ってハードマスク層530をエッチングするために、ハードマスク層530をエッチングするための混合ガスならびにプロセスパラメータは慎重に選択される。
1つまたは複数の例では、動作420のエッチングプロセスは、基板支持ペデスタル135の温度を室温(たとえば、セ氏約23度)からセ氏約150度までに維持しながら、プラズマ処理チャンバ中に第1のエッチング混合ガスを供給することによって実行される。
いくつかの実装形態では、第1のエッチング混合ガスは少なくとも1つのハロゲン含有ガスを含む。ハロゲン含有ガスは、フッ素含有ガス、塩素含有ガス、臭素含有ガス、またはそれらの組合せを含むことができる。ハロゲン含有ガスの好適な例としては、SF、SiCl、SiCl、NF、HBr、Br、CHF、CH、CF、CF、C、C、HCl、C、Cl、HF、CCl、CHCl、CHCl、およびCH3Clがある。いくつかの例では、シリコン含有ガスも第1のエッチング混合ガス中に供給され得る。シリコン含有ガスの好適な例としては、SiCl、SiCl、SiH、Siなどがある。さらに、特に、塩素含有ガスの例としては、HCl、Cl、CCl、CHCl、CHCl、CHCl、SiCl、SiClなどがあり、臭素含有ガスの例としては、HBr、Brなどがある。たとえば、O、N、NO、NO、O、HOなど、酸素含有ガスまたは窒素含有ガスなど、反応性ガスなども、必要に応じて第1のエッチング混合ガス中に供給され得る。
いくつかの実装形態では、ハードマスク層530をエッチングするために使用されるハロゲン含有ガスは塩素含有ガスまたは臭素含有ガスを含む。プラズマ処理チャンバ中に第1のエッチング混合ガスを供給している間に、必要に応じて、プロファイル制御を助けるために不活性ガスがエッチング混合ガス中に供給され得る。混合ガス中に供給される不活性ガスの例としては、Ar、He、Ne、Kr、Xeなどがある。
一例では、酸化スズまたは炭化スズなど、ハードマスク層530をエッチングするために利用される第1のエッチング混合ガスは、HBr、Cl、Ar、He、またはそれらの組合せを含む。
動作420中に、第1のエッチング混合ガスのチャンバ圧力も調整される。いくつかの実装形態では、プラズマ処理チャンバ中のプロセス圧力は、約6ミリトールなど、約2ミリトールから約100ミリトールまで、たとえば、約3ミリトールから約20トールまでに調整される。第1のエッチング混合ガスの存在下で、必要に応じて、連続モードまたはパルスモードから形成されるプラズマを維持するために、RFソースまたはバイアス電力が適用され得る。たとえば、プラズマ処理チャンバの内側のプラズマを維持するために、約13.56MHzの周波数をもつRFソース電力が、約500ワットからなど、約200ワットから約1,000ワットまでのエネルギーレベルで誘導結合アンテナソースに適用され得る。さらに、約2MHzから約13.56MHzまでの周波数をもつRFバイアス電力が、約150ワットなど、約0ワットから約450ワットまでなど、500ワット未満で適用され得る。
いくつかの実装形態では、動作420のエッチング中に、RFバイアス電力およびRFソース電力はプラズマ処理チャンバ中にパルス発振され得る。RFバイアス電力およびRFソース電力はプラズマ処理チャンバ中に同期または非同期パルス発振され得る。いくつかの例では、RFバイアス電力およびRFソース電力はプラズマ処理チャンバ中に非同期パルス発振される。たとえば、RFソース電力は、RFバイアス電力をパルス発振する前に処理チャンバにパルス発振され得る。たとえば、RFバイアス電力は、RFソース電力と同期した、またはRFソース電力に対する時間遅延を伴う、パルスモードであり得る。いくつかの例では、RFソース電力およびRFバイアス電力は各デューティサイクルの約5%と約75%との間でパルス発振される。たとえば各時間単位間の、各デューティサイクルは約0.1ミリ秒(ms)から約10msまでである。
一例では、動作220において供給される第1のエッチング混合ガスは、約0sccmから約50sccmまでの流量でチャンバ中に供給されるOガスを含む。第1のエッチング混合ガスは、約100sccmなど、約25sccmから約250sccmまでの流量で供給される、HBrなど、ハロゲン含有ガスをさらに含む。一例では、ハロゲン含有ガスはフッ素含有ガスを含む。フッ素ベースのエッチングケミストリーは、誘電体エッチング中に選択性を改善するために不揮発性であるSnFを形成する。SnFまたはSnOは、SnHベースプラズマまたはハロゲンベースプラズマ(たとえば、Cl/HBr)を形成するために水素プラズマによって除去され得る。
ハードマスク層530中にフィーチャ552が形成された後に、残りのパターニングされたフォトレジスト層540を除去するために、デスカム(de-scum)プロセスまたは剥離プロセスが実行され得る。
動作430において、図5Cに示されているように、それの中に形成されたフィーチャ562を有するパターニングされた複数の層560を形成するために、複数の層520中にフィーチャ552を転写することを継続するために、さらなるエッチングまたはパターニングが実行され得る。いくつかの実装形態では、動作430のエッチングまたはパターニングは、動作420の第1の混合ガスを使用して実行される。他の実装形態では、動作430のエッチングまたはパターニングは、動作420中に使用される第1の混合ガスとは異なる第2の混合ガスを使用して実行される。第2の混合ガスは、パターニングされたハードマスク550を形成するために使用される材料のタイプと、複数の層520を形成するために使用される材料のタイプとに基づいて選択され得る。
動作440において、図5Dに示されているように、パターニングされた複数の層560からパターニングされたハードマスク550を除去するために、ハードマスク除去プロセスが実行され得る。動作440の剥離プロセスは酸化ケイ素および窒化ケイ素に対して極めて選択的であり得、それは、パターニングされた複数の層520の限界寸法が変化しないことにつながり得る。
スズベースのハードマスクを使用する実装形態は以下の潜在的な利点のうちの1つまたは複数を含むことができる。フッ素ベースのエッチングケミストリーは、誘電体エッチング中に選択性を改善するために不揮発性であるSnFを形成する。SnFまたはSnOは、SnHベースのプラズマまたはハロゲンベースのプラズマ(たとえばCl/HBr)を形成するためにHプラズマによって除去され得る。スズベースのハードマスク膜の剥離プロセスは、酸化ケイ素および窒化ケイ素に対して極めて選択的であり得る(ONO構造ポストエッチ、限界寸法変化なし)。スズ酸化はまた、ウェットケミストリー(たとえば、HCl、HNO、またはHSO)によって選択的に除去され得る。
図6は、本開示の1つまたは複数の実装形態による、スズベースのライナー材料を利用する高アスペクト比フィーチャパターニングプロセスを実行するための方法600の流れ図を示す。図7A~図7Dは、方法600による、高アスペクト比フィーチャパターニングプロセスの様々な段階における膜スタック700の断面図を示す。方法600は、必要に応じて、論理デバイスまたはメモリデバイスのための、コンタクト構造、ゲート構造、NAND構造、または相互接続構造など、ターゲットにされた限界寸法とプロファイルとをもつフィーチャを形成するために利用され得る。代替的に、方法600は、他のタイプの構造をエッチングするために有利に利用され得る。
方法600は、動作610において、図7Aに示されているように、基板710上に配設された複数の層720上に配設されたパターニングされたハードマスク層730を有する膜スタック700を与えることによって開始する。パターニングされたハードマスク層730は、画定された開口を有するパターニングされたフォトレジスト層(図示せず)を使用してパターニングされ、それにより、エッチングのためにハードマスク層の一部分が露出し得る。パターニングされたハードマスク層730は画定された開口またはフィーチャ732を有し、複数の層720の表面の一部分を露出させる。
一例では、パターニングされたハードマスク層730は、ポリシリコン、ナノ結晶性シリコン、アモルファスシリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、オキシ炭化ケイ素、アモルファス炭素、ダイヤモンド様炭素、窒化チタン、酸化チタン、チタンオキシナイトライド、窒化タンタル、酸化タンタル、タンタルオキシナイトライド、または任意の他の好適な材料のグループから選択される、第1のタイプの誘電体層であり得る。1つの特定の例では、パターニングされたハードマスク層730を形成するために選択される第1のタイプの誘電体層は、アモルファス炭素、ダイヤモンド様炭素、SiOCなど、炭素含有層である。
パターニングされたハードマスク層730は、任意の好適なパターニングプロセスを使用して形成され得る。
動作620において、図7Bに示されているように、それの中に形成されたフィーチャ742を有するパターニングされた複数の層740を形成するために、フィーチャ732を複数の層720に転写することを継続するために、さらなるエッチングまたはパターニングが実行され得る。フィーチャ742は、フィーチャの深さを、パターニングされた複数の層740の上面744からフィーチャ742の底面746まで拡大する。フィーチャ742は、少なくとも1つの側壁748によって画定された幅を有する。
パターニングされた複数の層740を形成するために、任意の好適なエッチングまたはパターニングプロセスが実行され得る。動作620のエッチングまたはパターニングは、パターニングされたハードマスク層730を形成するために使用される材料のタイプと、複数の層720を形成するために使用される材料のタイプとに基づいて選択される混合ガスを使用して実行され得る。いくつかの実装形態では、動作620のエッチングまたはパターニングは、動作420の第1の混合ガスおよびエッチング条件と同様の混合ガスおよびエッチング条件を使用して実行される。他の実装形態では、動作620のエッチングまたはパターニングは、動作420中に使用される第1の混合ガスおよびエッチング条件とは異なる混合ガスおよび処理条件を使用して実行される。
動作630において、図7Cに示されているように、(存在する場合)パターニングされたハードマスク層730と、パターニングされた複数の層740との上にライナー層760が形成される。ライナー層760はスズベースのライナー層である。ライナー層760は、酸化スズ、炭化スズ、またはそれらの組合せを含む。ライナー層760は、必要に応じて、低減された寸法でそれらの間に画定された開口742の寸法を低減することを助ける別のスペーサ層として見ることができる(たとえば、スペーサオンスペーサ方式)。ライナー層760は、CVD、ALD、または任意の他の好適な堆積技法によって形成され得る。一例では、ライナー層760は、ALDプロセスによって形成される酸化スズ層または炭化スズ層である。図7Cに示されているライナー層760は、パターニングされたハードマスク層730とパターニングされた複数の層740との上に共形に形成され、それにより、パターニングされたハードマスク層730の上面734とパターニングされた複数の層740の側壁748とを共形にライニングすることに留意されたい。ライナー層760は、側壁748間に画定されたフィーチャ742の寸法をさらに低減し、それは、さらに、必要に応じて、低減された小さい寸法で、パターニングされた複数の層740の下層またはパターニングされていない部分にフィーチャを転写するためにマスク層として利用され得る。一例では、ライナー層760は、約1nmから約10nmまで、たとえば、約2nmから約5nmまでの厚さを有する。
動作640において、図7Dに示されているように、必要に応じて、低減された小さい寸法をもつフィーチャ752を形成するために、パターニングされた複数の層740の下層またはパターニングされていない部分にフィーチャ742を転写するために、別のパターニングプロセスが実行される。動作640中に、ライナー層760の一部分、たとえば、パターニングされたハードマスク層730の上面734上に形成されたライナー層760が除去され得る。ライナー層760、およびパターニングされた複数の層740のパターニングされていない部分は、フィーチャ752が形成されるまで異方性エッチングまたはパターニングを受ける。動作640のパターニングプロセスは、動作240において実行されるパターニングプロセスと同様であり得る。いくつかの実装形態では、動作640により基板710の上面が露出する。
スズベースのライナーを使用する実装形態は以下の潜在的な利点のうちの1つまたは複数を含むことができる。スズベースのライナーは、誘電体ビアホールが限界寸法において反ることを低減または防ぐことができる。スズベースのライナーは、フィーチャの限界寸法を損なうことなしに、ウェットプラズマまたはドライプラズマ中で容易に除去され得る。
本明細書で説明する実装形態およびすべての機能的動作は、デジタル電子回路において、または、本明細書で開示されている構造的手段およびそれの構造的等価物を含む、コンピュータソフトウェア、ファームウェア、またはハードウェアにおいて、またはそれらの組合せにおいて実装され得る。本明細書で説明する実装形態は、データ処理装置、たとえば、プログラマブルプロセッサ、コンピュータ、または複数のプロセッサもしくはコンピュータによる実行のために、またはそのようなデータ処理装置の動作を制御するために、機械可読記憶デバイス中に有形に実施される1つまたは複数のコンピュータプログラムなど、1つまたは複数の非一時的コンピュータプログラム製品として実装され得る。
本明細書で説明するプロセスおよび論理フローは、入力データを操作することと、出力を生成することとによって機能を実行するために1つまたは複数のコンピュータプログラムを実行する1つまたは複数のプログラマブルプロセッサによって実行され得る。プロセスおよび論理フローは、専用論理回路、たとえば、FPGA(フィールドプログラマブルゲートアレイ)またはASIC(特定用途向け集積回路)によっても実行され得、装置は、専用論理回路、たとえば、FPGA(フィールドプログラマブルゲートアレイ)またはASIC(特定用途向け集積回路)としても実装され得る。
「データ処理装置」という用語は、例として、プログラマブルプロセッサ、コンピュータ、または複数のプロセッサもしくはコンピュータを含む、データを処理するためのすべての装置、デバイスおよび機械を包含する。装置は、ハードウェアに加えて、当該のコンピュータプログラムのための実行環境を作成するコード、たとえば、プロセッサファームウェア、プロトコルスタック、データベース管理システム、オペレーティングシステム、またはそれらのうちの1つまたは複数の組合せを構成するコードを含むことができる。コンピュータプログラムの実行のために好適なプロセッサは、例として、汎用マイクロプロセッサと専用マイクロプロセッサの両方、および、任意の種類のデジタルコンピュータの任意の1つまたは複数のプロセッサを含む。
コンピュータプログラム命令とデータとを記憶するための好適なコンピュータ可読媒体は、例として、半導体メモリデバイス、たとえば、EPROM、EEPROM、およびフラッシュメモリデバイス、磁気ディスク、たとえば、内蔵ハードディスクまたはリムーバブルディスク、光磁気ディスク、ならびにCD ROMディスクおよびDVD-ROMディスクを含む、すべての形態の不揮発性メモリ、媒体およびメモリデバイスを含む。プロセッサおよびメモリは、専用論理回路によって補完されるか、または専用論理回路に組み込まれ得る。
本開示の要素またはそれの例示的な態様または実装形態を紹介するとき、冠詞「a」、「an」、「the」および「前記(said)」は、その要素が1つまたは複数があることを意味するものである。
「備える(comprising)」、「含む(including)」および「有する(having)」という用語は包含的なものであり、記載された要素以外の追加の要素があり得ることを意味する。
上記は本開示の実装形態を対象とするが、本開示の他のおよびさらなる実装形態が本開示の基本的範囲から逸脱することなく考案され得、本開示の範囲は以下の特許請求の範囲によって決定される。

Claims (20)

  1. 基板上にフィーチャを形成する方法であって、
    基板上に、炭化スズ層または酸化スズ層であるマンドレル層を形成することと、
    前記マンドレル層をパターニングすることと、
    パターニングされた前記マンドレル層上にスペーサ層を共形に形成することと、
    前記スペーサ層をパターニングすることと
    を含む、基板上にフィーチャを形成する方法。
  2. パターニングされた前記スペーサ層からパターニングされた前記マンドレル層を選択的に除去すること
    をさらに含む、請求項1に記載の方法。
  3. 前記マンドレル層をパターニングすることが、
    ハロゲン含有ガスと酸素ガスとを含む第1の混合ガスを供給することと、
    前記第1の混合ガス中に第1のRFソース電力設定を適用することと
    を含む、請求項1に記載の方法。
  4. 前記スペーサ層が、前記マンドレル層の材料とは異なる材料を含み、酸化ケイ素、窒化ケイ素、金属酸化物、またはポリシリコンから選択される、請求項1に記載の方法。
  5. 前記マンドレル層が、該マンドレル層の上に形成されたハードマスク層を有する、請求項1に記載の方法。
  6. 前記ハードマスク層が、ポリシリコン、ナノ結晶性シリコン、アモルファスシリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、オキシ炭化ケイ素、アモルファスカーボン、ダイヤモンド様炭素、窒化チタン、酸化チタン、チタンオキシナイトライド、窒化タンタル、酸化タンタル、タンタルオキシナイトライド、または任意の他の好適な材料、またはそれらの組合せから選択される材料を含む、請求項5に記載の方法。
  7. 前記基板が、酸化ケイ素、窒化ケイ素、酸化ハフニウム、酸化アルミニウム、酸化ジルコニウム、酸化チタン、またはそれらの組合せを含む、請求項1に記載の方法。
  8. 基板上にフィーチャを形成する方法であって、
    基板の上に形成された膜スタック上に、酸化スズまたは炭化スズを含むハードマスク層を形成することと、
    前記基板に第1のエッチング混合ガスを供給することと、
    パターニングされたハードマスク層を形成するために前記ハードマスク層をエッチングすることと
    を含む方法。
  9. 前記基板に第2のエッチング混合ガスを供給することと、
    パターニングされた前記ハードマスク層によって露出させられた前記膜スタックをエッチングすることと
    をさらに含む、請求項8に記載の方法。
  10. 前記ハードマスク層を選択的に除去することをさらに含む、請求項9に記載の方法。
  11. 前記第1のエッチング混合ガスがハロゲン含有ガスを含む、請求項8に記載の方法。
  12. 前記ハロゲン含有ガスが、Clガス、HBrガス、またはそれらの組合せから選択される、請求項3または請求項11に記載の方法。
  13. 前記第1のエッチング混合ガスが、N、O、COS、SO、またはそれらの組合せから選択されるパッシベーションガスをさらに含む、請求項9に記載の方法。
  14. 基板上にフィーチャを形成する方法であって、
    基板の上に形成された膜スタック上に、炭素を含むパターニングされたハードマスク層を形成することと、
    前記基板に第1のエッチング混合ガスを供給することと、
    パターニングされた膜スタックを形成するために、前記ハードマスク層によって露出させられた前記膜スタックをエッチングすることと、
    パターニングされた前記ハードマスク層とパターニングされた前記膜スタックとの上に、酸化スズまたは炭化スズを含むライナー層を形成することと
    を含む、基板上にフィーチャを形成する方法。
  15. 前記ライナー層がALDプロセスによって形成される、請求項14に記載の方法。
  16. 前記ライナー層を除去するために前記ライナー層をウェットケミストリーまたはドライプラズマにさらすことをさらに含む、請求項14に記載の方法。
  17. パターニングされた前記ハードマスク層が、アモルファスカーボン、ダイヤモンド様炭素、またはそれらの組合せを含む、請求項14に記載の方法。
  18. 前記膜スタックが複数の誘電体層を含む、請求項9または請求項14に記載の方法。
  19. 前記膜スタックが酸化物窒化物酸化物(ONO)層を含む、請求項18に記載の方法。
  20. 前記膜スタックがシリコンとシリコンゲルマニウムとの交互層を含む、請求項18に記載の方法。
JP2023530170A 2020-12-15 2021-11-23 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料 Pending JP2023552977A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063125576P 2020-12-15 2020-12-15
US63/125,576 2020-12-15
PCT/US2021/060531 WO2022132413A1 (en) 2020-12-15 2021-11-23 Tin oxide and tin carbide materials for semiconductor patterning applications

Publications (1)

Publication Number Publication Date
JP2023552977A true JP2023552977A (ja) 2023-12-20

Family

ID=81941646

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023530170A Pending JP2023552977A (ja) 2020-12-15 2021-11-23 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料

Country Status (6)

Country Link
US (1) US20220189786A1 (ja)
JP (1) JP2023552977A (ja)
KR (1) KR20220085728A (ja)
CN (1) CN116457919A (ja)
TW (1) TW202242953A (ja)
WO (1) WO2022132413A1 (ja)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
KR102630349B1 (ko) * 2018-01-30 2024-01-29 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
US10790154B2 (en) * 2018-02-07 2020-09-29 Tokyo Electron Limited Method of line cut by multi-color patterning technique
US11315787B2 (en) * 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes

Also Published As

Publication number Publication date
KR20220085728A (ko) 2022-06-22
CN116457919A (zh) 2023-07-18
US20220189786A1 (en) 2022-06-16
TW202242953A (zh) 2022-11-01
WO2022132413A1 (en) 2022-06-23

Similar Documents

Publication Publication Date Title
JP6734973B2 (ja) プラズマ処理方法
TWI770310B (zh) 用於間隔件界定圖案化之垂直間隔件的形成方法
TWI828989B (zh) 半導體裝置製造中之氧化錫膜
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US9922839B2 (en) Low roughness EUV lithography
JP7179172B2 (ja) 半導体用途の構造体をエッチングするための方法
US9287124B2 (en) Method of etching a boron doped carbon hardmask
TWI352387B (en) Etch methods to form anisotropic features for high
EP3038142A1 (en) Selective nitride etch
JP7314293B2 (ja) リソグラフィ応用のための膜積層体
US9595451B1 (en) Highly selective etching methods for etching dielectric materials
US10497578B2 (en) Methods for high temperature etching a material layer using protection coating
JP2004336029A (ja) 電界効果トランジスタのゲート構造の製造方法
US9741566B2 (en) Methods for manufacturing a spacer with desired profile in an advanced patterning process
TW201807748A (zh) 用於化學蝕刻矽的方法
US11658043B2 (en) Selective anisotropic metal etch
TW202213459A (zh) 以氧脈衝蝕刻結構的方法
JP2023552977A (ja) 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料
TWI832964B (zh) 用於微影蝕刻應用之膜堆疊
US20240112919A1 (en) Low-Temperature Etch
WO2024064550A1 (en) In-situ carbon liner for high aspect ratio features
WO2022039849A1 (en) Methods for etching structures and smoothing sidewalls