KR102630349B1 - 패터닝에서 주석 옥사이드 맨드렐들 (mandrels) - Google Patents

패터닝에서 주석 옥사이드 맨드렐들 (mandrels) Download PDF

Info

Publication number
KR102630349B1
KR102630349B1 KR1020207024840A KR20207024840A KR102630349B1 KR 102630349 B1 KR102630349 B1 KR 102630349B1 KR 1020207024840 A KR1020207024840 A KR 1020207024840A KR 20207024840 A KR20207024840 A KR 20207024840A KR 102630349 B1 KR102630349 B1 KR 102630349B1
Authority
KR
South Korea
Prior art keywords
tin oxide
spacer material
protruding features
semiconductor substrate
etching
Prior art date
Application number
KR1020207024840A
Other languages
English (en)
Other versions
KR20200106087A (ko
Inventor
정이 유
사만다 시암화 탄
성준 허
보리스 볼로스키
시바난다 크리슈난 카나카사바파티
리처드 와이즈
양 판
후이-정 우
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020217017290A priority Critical patent/KR102604345B1/ko
Publication of KR20200106087A publication Critical patent/KR20200106087A/ko
Application granted granted Critical
Publication of KR102630349B1 publication Critical patent/KR102630349B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/53After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone involving the removal of at least part of the materials of the treated article, e.g. etching, drying of hardened concrete
    • C04B41/5338Etching
    • C04B41/5346Dry etching
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/91After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics involving the removal of part of the materials of the treated articles, e.g. etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/467Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Structural Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

주석 옥사이드 막들이 반도체 디바이스 제작에서 맨드렐들로 사용된다. 일 구현예에서 프로세스는 노출된 에칭 정지 층 상에 존재하는 복수의 돌출 주석 옥사이드 피처들 (맨드렐들) 을 갖는 기판을 제공함으로써 시작된다. 다음에, 스페이서 재료의 컨포멀한 층이 맨드렐들의 수평 표면들 상에 그리고 측벽들 모두 상에 형성된다. 스페이서 재료는 이후 맨드렐의 측벽들에 존재하는 스페이서 재료를 완전히 제거하지 않고 (예를 들어, 적어도 50 %, 예컨대 측벽들에서 초기 높이의 적어도 90 %를 남기고), 맨드렐들의 주석 옥사이드 재료를 노출하여 수평 표면들로부터 제거된다. 다음에, 맨드렐들의 측벽들에 존재하는 스페이서 재료를 남기는 동안, 맨드렐들은 (예를 들어, 수소 기반 에칭 화학물질을 사용하여) 선택적으로 제거된다. 발생되는 스페이서들은 에칭 정지 층 및 아래에 있는 층들을 패터닝하기 위해 사용될 수 있다.

Description

패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
관련된 출원들에 대한 교차 참조
본 출원은 2018년 4월 10일에 출원되고, Yu 등이 발명자들로서 명명된 명칭이 “Tin Oxide Mandrels in Patterning”인 미국 특허 가출원 번호 제 62/655,678 호, 및 2018년 1월 30일에 출원되고, Tan 등이 발명자들로서 명명된 명칭이 “Spacer formation Using Tin Oxide Mandrels”인 미국 특허 가출원 번호 제 62/624,066 호의 이익을 주장하고, 이들은 전체가 참조로서 본 명세서에 인용된다.
본 발명은 반도체 디바이스 제작의 방법들에 관련된다. 구체적으로, 본 발명의 실시예들은 반도체 프로세싱에서 주석 옥사이드 막들을 사용하는 방법들에 관련된다.
집적 회로 (IC : Integrated Circuit) 제조에서, 증착 및 에칭 기법들은 재료들의 패턴들을 형성하기 위해, 예컨대 유전체 층들에 임베딩된 (embedded) 금속 라인들을 형성하기 위해 사용된다. 일부 패터닝 (patterning) 스킴들 (schemes) 은 정확한 패터닝 및 작은 스케일 피처들의 형성을 인에이블하는 (enable) 스페이서들의 사용을 수반한다. 스페이서들은 규정된 거리들 (통상적으로 이전 패터닝에 의해 결정됨) 만큼 분리되고, 아래에 있는 층들의 패터닝을 위한 마스크들로 사용되도록, 기판 상에 형성된다. 스페이서들 및 둘러싼 층들의 재료들은 스페이서들의 형성 및 아래에 있는 층들의 패터닝 모두를 인에이블하는 적절한 에칭 선택도를 갖도록 선택된다. 패터닝이 완료된 후, 스페이서들은 에칭에 의해 제거되고, 최종 제조된 반도체 디바이스의 일부가 아니다.
스페이서들은 DRAM (Dynamic Random Access Memory) 의 형성, finFETs (fin Field Effect Transistors) 의 핀들 패터닝, 및 BEOL (Back End Of Line) 프로세싱을 포함하는, 다양한 적용예들에서 패터닝을 위해 사용된다.
스페이서들은 맨드렐들 (mandrels)―스페이서들에 대해 스케폴드 (scaffold) 로 역할하고, 기판 상에 스페이서들을 남기는 에칭 방법들에 의해 후속하여 선택적으로 제거되는 보다 큰 돌출 피처들―을 수반하는 패터닝 프로세스들을 사용하여 반도체 기판들 상에 형성될 수 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시하기 위한 목적들이다. 이 배경기술 섹션에 기술된 정도의 현재 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은, 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
주석 옥사이드가 반도체 기판들의 패터닝에서 맨드렐 재료로 사용된다. 본 명세서에 제공된 패터닝 방법들이 스페이서 및 맨드렐 기하구조에 대해 높은 수준의 제어, 뿐만 아니라 고 효율성을 달성할 수 있다.
일 양태에서, 주석 옥사이드 맨드렐들을 사용하여 반도체 기판을 프로세싱하는 방법이 제공된다. 일부 구현예들에서 방법은: (a) 에칭 정지 층 (ESL : Etch Stop Layer) 상에 존재하는 (residing) 복수의 주석 옥사이드 돌출 피처들 (맨드렐들) 을 갖는 반도체 기판을 제공하는 단계; (b) 주석 옥사이드 돌출 피처들의 수평 표면들 및 측벽들 모두 상에 스페이서 재료의 층을 형성하는 단계; 및 (c) 주석 옥사이드 돌출 피처들의 측벽들에서 스페이서 재료를 완전히 제거하지 않고, 아래에 있는 주석 옥사이드에 노출하기 위해 주석 옥사이드 돌출 피처들의 수평 표면들로부터 스페이서 재료를 제거하는 단계를 포함한다. 일부 실시예들에서 프로세스는 주석 옥사이드 돌출 피처들의 측벽들에 미리 존재한 (resided) 스페이서 재료를 완전히 제거하지 않고 주석 옥사이드 돌출 피처들을 제거하여, 에칭 정지 층 위에 존재하는 복수의 스페이서들을 형성하는 동작을 더 포함한다. 일부 실시예들에서, 주석 옥사이드 돌출 피처들이 제거된 후, 방법은 복수의 스페이서들의 존재 (presence) 하에 에칭 정지 층을 에칭하는 단계로 이어진다.
일부 실시예들에서, 스페이서 재료는 실리콘 함유 재료 (예를 들어, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 카바이드, SiOC, SiNO, SiCNO, 또는 SiCN) 또는 티타늄 다이옥사이드이다. 일 구현예에서 스페이서 재료는 티타늄 다이옥사이드이고, 에칭 정지 층은 실리콘 함유 재료를 포함한다. 다른 실시예들에서 스페이서 재료는 실리콘 옥사이드이고, 에칭 정지 층은 텅스텐을 포함한다.
단계 (c) 에서 사용된 스페이서 에칭 화학물질은 스페이서 재료의 화학물질에 따라 가변할 수 있다. 일부 실시예들에서 스페이서 재료는 실리콘 함유 재료이고, 단계 (c) 의 수평 표면들로부터 스페이서 재료를 제거하는 단계는 불소 기반 에칭 화학물질을 사용하여 스페이서 재료를 에칭하는 단계를 포함한다. 다른 실시예들에서 스페이서 재료는 티타늄 다이옥사이드이고, 단계 (c) 의 수평 표면들로부터 스페이서 재료를 제거하는 단계는 염소 기반 에칭 화학물질을 사용하여 스페이서 재료를 에칭하는 단계를 포함한다.
일부 실시예들에서, 스페이서 재료가 수평 표면들로부터 제거된 후 그리고 주석 옥사이드가 노출된 후, 프로세스는 (d) 주석 옥사이드 돌출 피처들의 측벽들에 미리 존재한 스페이서 재료를 완전히 제거하지 않고 주석 옥사이드 돌출 피처들 (맨드렐들) 을 제거하여, 주석 옥사이드 돌출 피처들이 주석 하이드라이드의 형성을 발생시키는 수소 기반 에칭 화학물질을 사용하여 제거되는, 에칭 정지 층 위에 존재하는 복수의 스페이서들을 형성하는 단계로 이어진다. 일부 구현예들에서 주석 옥사이드 돌출 피처들을 제거하는 단계는 H2, HBr, NH3, H2O, 하이드로카본, 및 이들의 조합들로 구성된 그룹으로부터 선택된 플라즈마-활성화된 수소 함유 반응물질과 반도체 기판을 콘택트하는 단계를 포함한다.
단계 (a) 에서 제공된 복수의 주석 옥사이드 돌출 피처들을 갖는 반도체 기판은 몇 가지 별개의 프로세스 흐름들을 사용하여 형성될 수 있다. 일 구현예에서, 반도체 기판 상에 복수의 주석 옥사이드 돌출 피처들을 형성하는 단계는 평면 (블랭킷) 주석 옥사이드 층을 패터닝함으로써 달성된다. 또 다른 구현예에서, 복수의 주석 옥사이드 돌출 피처들을 형성하는 단계는: (i) 반도체 기판 상에 복수의 제 1 맨드렐들 (예를 들어, 포토레지스트 또는 다른 탄소 함유 맨드렐들) 을 형성하는 단계; (ii) 복수의 제 1 맨드렐들 위에 주석 옥사이드의 층을 컨포멀하게 (conformally) 증착하는 단계; 및 (iii) 단계 (a) 에 제공된 반도체 기판 상에 복수의 주석 옥사이드 돌출 피처들을 형성하기 위해 수평 표면들로부터 주석 옥사이드의 증착된 층을 에칭하고 복수의 제 1 맨드렐들을 제거하는 단계를 포함하고, 형성된 복수의 주석 옥사이드 돌출 피처들은 후속하는 단계 (b) 내지 단계 (c) 에서 제 2 맨드렐로서 역할한다.
일부 구현예들에서, 제 1 맨드렐들은 포토레지스트, 다이아몬드-유사 탄소, 또는 비정질 탄소와 같은 탄소 함유 재료를 포함하고, 단계 (iii) 은 (예를 들어, H2 또는 수소 함유 가스로서 CH4 또는 C2H2와 같은 하이드로카본을 사용하는) 수소 기반 에칭 화학물질 또는 (예를 들어, Cl2 및/또는 BCl3를 사용하는) 염소 기반 에칭 화학물질을 사용하여 수평 표면들로부터 주석 옥사이드의 증착된 층을 에칭하는 것, 및 이후 산소 기반 에칭 화학물질을 사용하여 복수의 제 1 맨드렐들을 제거하는 것을 포함한다.
일부 실시예들에서 제공된 방법들이 포토리소그래픽 (photolithographic) 프로세스들과 함께 사용된다. 예를 들어, 일부 구현예들에서 프로세스들은 반도체 기판에 포토레지스트를 도포하는 단계, 광에 포토레지스트를 노출하는 단계, 포토레지스트를 패터닝하고 기판에 패턴을 전사하는 단계, 및 기판으로부터 포토레지스트를 선택적으로 제거하는 단계를 포함한다. 또 다른 양태에서 반도체 기판을 프로세싱하기 위한 시스템이 제공된다. 일부 실시예들에서 시스템은: 하나 이상의 증착 챔버들; 하나 이상의 에칭 챔버들; 및 일련의 동작들을 유발하기 위한 프로그램 인스트럭션들을 포함하는 시스템 제어기를 포함한다. 일부 실시예들에서, 프로그램 인스트럭션들은: 복수의 주석 옥사이드 돌출 피처들을 포함하는 반도체 기판 상에, 수평 표면들 및 주석 옥사이드 돌출 피처들의 측벽들 모두 상에 스페이서 재료의 증착을 유발하고; 그리고 주석 옥사이드 돌출 피처들의 측벽들에 스페이서 재료의 완전한 제거를 유발하지 않고, 아래에 있는 주석 옥사이드를 노출하기 위해 주석 옥사이드 돌출 피처들의 수평 표면들로부터 스페이서 재료의 제거를 유발하기 위한 것이다. 시스템 제어기는 반도체 기판 상에 복수의 스페이서들을 형성하기 위해, 주석 옥사이드 돌출 피처들의 측벽들에 미리 존재한 스페이서 재료의 완전한 제거를 유발하지 않고 주석 옥사이드 돌출 피처들의 제거를 유발하기 위한 프로그램 인스트럭션들을 더 포함할 수도 있다. 시스템 제어기는 복수의 제 1 맨드렐들을 갖는 반도체 기판 위에 컨포멀한 (conformal) 주석 옥사이드 층의 증착을 유발하고 이어서 수평 표면들로부터 주석 옥사이드 재료의 제거에 의해 그리고 제 1 맨드렐들의 제거에 의해 복수의 주석 옥사이드 돌출 피처들을 포함하는 반도체 기판의 형성을 유발하기 위한 프로그램 인스트럭션들을 더 포함할 수도 있다.
또 다른 양태에서, 에칭 장치가 제공된다. 일부 실시예들에서 에칭 장치는: 프로세스 가스를 위한 유입구를 갖는 에칭 프로세스 챔버; 에칭 프로세스 챔버 내에 반도체 기판을 홀딩하기 위해 구성된 기판 홀더; 및 하나 이상의 동작들을 유발하기 위한 프로그램 인스트럭션들을 포함하는 프로세스 제어기를 포함한다. 일부 실시예들에서 프로세스 제어기는 스페이서 재료가 복수의 주석 옥사이드 돌출 피처들의 측벽들에서 완전히 제거되지 않고 반도체 기판의 수평 표면들로부터 완전히 제거되도록, 반도체 기판 상에 복수의 주석 옥사이드 돌출 피처들을 코팅하는 스페이서 재료의 층의 에칭을 유발하기 위한 프로그램 인스트럭션들을 포함한다. 일부 실시예들에서, 스페이서 재료의 에칭을 유발하기 위한 프로그램 인스트럭션들은 불소 기반 에칭 화학물질을 사용한 스페이서 재료의 층의 에칭을 유발하기 위한 프로그램 인스트럭션들을 포함하고, 스페이서 재료는 실리콘 함유 재료이다.
또 다른 양태에서 장치가 제공되고, 장치는 프로세스 챔버 및 본 명세서에 제공된 임의의 방법들을 유발하기 위한 프로그램 인스트럭션들을 갖는 제어기를 포함한다.
또 다른 양태에서, 부분적으로 제조된 반도체 디바이스가 제공되고, 부분적으로 제조된 반도체 디바이스는 컨포멀한 스페이서 재료의 층으로 코팅된 복수의 주석 옥사이드 돌출 피처들을 포함한다.
본 명세서에 기술된 주제의 구현예들의 이들 및 다른 양태들은 첨부한 도면들 및 이하의 기술에 제시된다.
도 1a 내지 도 1f는 본 명세서에 제공된 실시예들에 따른, 스페이서 형성을 수반하는 프로세싱을 겪는 반도체 기판의 개략적 단면도들을 도시한다.
도 2는 본 명세서에 제공된 실시예에 따른 방법에 대한 프로세스 흐름도이다.
도 3은 본 명세서에 제공된 실시예에 따른 주석 옥사이드 맨드렐들을 형성하는 방법에 대한 프로세스 흐름도이다.
도 4a 내지 도 4e는 본 명세서에 제공된 실시예에 따른 주석 옥사이드 맨드렐들을 형성하기 위한 프로세싱을 겪는 반도체 기판의 개략적 단면도들을 도시한다.
도 5a 내지 도 5c는 본 명세서에 제공된 실시예에 따른 주석 옥사이드 맨드렐들을 형성하기 위한 프로세싱을 겪는 반도체 기판의 개략적 단면도들을 도시한다.
도 6은 본 명세서에 제공된 실시예에 따른 주석 옥사이드 맨드렐들을 형성하는 방법에 대한 프로세스 흐름도이다.
도 7a 내지 도 7g는 SAQP (Self-Aligned Quadruple Patterning) 프로세스에서 제 2 맨드렐들로서 주석 옥사이드 스페이서들의 사용을 예시하는, 본 명세서에 제공된 실시예에 따른 프로세싱을 겪는 반도체 기판의 개략적 단면도들을 도시한다.
도 8a 내지 도 8f는 SAQP 프로세스에서 제 2 맨드렐들로서 주석 옥사이드 스페이서들의 사용을 예시하는, 본 명세서에 제공된 실시예에 따른 프로세싱을 겪는 반도체 기판의 개략적 등축도들을 도시한다.
도 9는 본 명세서에 제공된 에칭 화학물질들을 사용하여 주석 옥사이드를 에칭하기에 적합한 장치의 개략적 제시이다.
도 10은 본 명세서에 제공된 실시예들에 따른 멀티-스테이션 프로세싱 시스템의 개략도를 도시한다.
반도체 디바이스 제작에서 주석 옥사이드 막들을 채용하는 방법들이 제공된다. 방법들은 실리콘 함유 화합물들 (예를 들어, 실리콘 옥사이드 (SiO2), 실리콘 카바이드 (SiC), 실리콘 나이트라이드 (SiN), 실리콘 옥시카바이드 (SiOC), 실리콘 옥시나이트라이드 (SiNO), 실리콘 옥시카보나이트라이드 (SiCNO), 및 실리콘 카보나이트라이드 (SiCN)), 원소 실리콘 (Si), (비정질 탄소 및 다이아몬드-유사 탄소를 포함하는) 탄소, 포토레지스트, 탄소 함유 화합물들 (예를 들어, 유기 폴리머들, 금속 카바이드들, 텅스텐 함유 탄소), 금속들 (예를 들어, 텅스텐), 금속 옥사이드들 (예를 들어, 티타늄 옥사이드, 하프늄 옥사이드, 지르코늄 옥사이드, 탄탈룸 옥사이드), 및 금속 나이트라이드들 (예를 들어, 탄탈룸 나이트라이드 (TaN) 및 티타늄 나이트라이드 (TiN)) 과 같은, 광범위한 다양한 재료들을 채용하는 프로세스 스킴들로 주석 옥사이드 막들의 통합을 허용하는 튜닝 가능한 에칭 레이트들 및 선택도들을 갖는 다수의 에칭 프로세스들을 활용한다. 일부 실시예들에서 주석 옥사이드는 적어도 10:1, 예컨대 적어도 20:1의 에칭 선택도로 임의의 이들 재료들의 존재 하에 에칭된다. 일부 실시예들에서, 임의의 이들 재료들은 적어도 10:1, 예컨대 적어도 20:1의 에칭 선택도로 주석 옥사이드의 존재 하에 에칭된다. 선택도들은 재료들의 에칭 레이트들의 비들을 지칭한다. 예를 들어, 실리콘 옥사이드의 에칭 레이트 대 주석 옥사이드의 에칭 레이트의 비가 특정한 에칭 화학물질에 대해 적어도 10:1이면, 실리콘 옥사이드는 이 에칭 화학물질을 사용하여 주석 옥사이드에 대해 적어도 10:1의 선택도로 에칭된다.
제공된 실시예들에서, 주석 옥사이드가 패터닝 프로세스들에서 사용되고, 주석 옥사이드 막이 스페이서 형성을 위한 맨드렐로서 사용된다. 예를 들어, 주석 옥사이드는 SADP (Self-Aligned Double Patterning), 또는 SAQP (Self-Aligned Quadruple Patterning) 에서 맨드렐일 수 있다. 선택적인 에칭 프로세스와 함께, 주석 옥사이드는 이들 적용예들에 의해 부과되는 엄격한 임계 치수 (CD)/프로파일 및 선택도 요구사항들을 충족한다. 에칭 프로세스들은 Lam Research Corporation에 의해 제공된 Kiyo® 및 FlexTM 에칭 툴들과 같은 플라즈마 에칭을 허용하는 다양한 툴들 상에서 구현될 수 있다.
주석 옥사이드는 휘발성 주석 하이드라이드 생성물들 (예를 들어, 주석 테트라하이드라이드) 로 주석 옥사이드를 변환하는 수소 기반 에칭들을 사용하여 광범위한 다양한 재료들에 선택적으로 에칭될 수 있다. 본 명세서에 사용된 바와 같은 용어 “주석 하이드라이드”는 복수의 주석 하이드라이드들 (주석-수소 결합을 갖는 화합물들) 을 포함하고, 주석 테트라하이드라이드 (SnH4) 에만 한정되지 않는다. “주석 클로라이드” 및 “실리콘 플루오라이드”와 같은 용어들은 유사하게 복수의 클로라이드들 및 플루오라이드들을 포함할 수도 있다. 많은 다른 금속들의 하이드라이드들과 달리, 주석 테트라하이드라이드는 저 비등점을 갖고, 따라서 퍼징 (purging) 및/또는 배출에 의해 프로세스 챔버들로부터 쉽게 제거될 수 있고, 이에 따라 수소 기반 에칭을 선택적인 주석 옥사이드 에칭에 대해 특히 매력적인 프로세스로 만든다.
본 명세서에 사용된 바와 같이, 주석 옥사이드는 주석 (Sn) 및 산소 (O) 를 포함하는 재료들을 지칭하고, 선택 가능하게 수소를 포함할 수도 있다. 본 명세서에 사용된 바와 같이, 주석 옥사이드는 탄소, 및 질소 (예를 들어, SnOxNy) 와 같은 작은 양의 다른 원소들을 더 포함할 수도 있고, 다른 원소들의 총량은 10 원자% (atomic %) 이하이다 (수소는 함량의 계산에 포함되지 않음). 예를 들어 ALD-증착된 주석 옥사이드는 약 0.5 내지 5 % 탄소를 함유할 수 있다. 주석 옥사이드는 예를 들어, ALD, PECVD, 또는 PVD에 의해 증착될 수 있다. 주석 옥사이드의 화학량론은 일반적으로 가변할 수 있다. 일부 실시예들에서 주석 대 산소의 원자 비는 약 1:2이다 (SnO2). SnO2에서 주석 대 산소 화학량론 1:2로부터 작은 편차들이 가능하고, SnO2 구조체의 범위 내라는 것이 이해된다. 예를 들어, Sn에 대한 O의 원자비는 SnO2의 일부 예들에서 약 2.0 내지 2.3이다. 약 1.5 내지 2.5의 Sn에 대한 O의 비를 갖는 주석 옥사이드들은 본 명세서에 사용된 바와 같이, SnO2 재료의 범위 내이다. 본 명세서에 기술된 주석 옥사이드 재료들은 인듐 주석 옥사이드 재료들, 및 다른 혼합된 금속 옥사이드들과 구별된다.
본 명세서에 사용된 다른 화학적 화합물들에서 명시되지 않는 한 화학량론적으로 가변할 수도 있다는 것이 이해된다. 예를 들어, SiN 및 HfO와 같은 화학식들은 존재하지만 화학량론적이지 않은 원소들을 명시한다. 또한, 본 명세서에 기술된 재료들이 (화학식에 명시되지 않더라도) 수소 및 (10 원자% 미만의 도펀트와 같이) 화학명으로 명시적으로 나열되지 않는 작은 양의 도펀트들을 포함할 수도 있다는 것이 이해된다.
본 명세서에 사용된 바와 같은 용어 “반도체 기판”은 구조체 내의 어디에서나 반도체 재료를 포함하는 반도체 디바이스 제조의 임의의 단계에서 기판을 지칭한다. 반도체 기판의 반도체 재료가 노출될 필요는 없다는 것이 이해된다. 반도체 재료를 커버하는 다른 재료들 (예를 들어, 유전체들) 의 복수의 층들을 갖는 반도체 웨이퍼들은, 반도체 기판들의 예들이다. 이하의 상세한 기술은 개시된 구현예들이 웨이퍼 상에서 구현된다고 가정한다. 그러나, 개시된 구현예들은 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 개시된 구현예들의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들 등과 같은 다양한 물품들을 포함한다.
수치 값들과 함께 사용될 때 용어 “약”은 달리 명시되지 않는 한, 명시된 수치 값들의 5 % 이내인 범위를 참조한다.
일부 실시예들에서, 제공된 선택적인 에칭 화학물질들은 다른 재료들 또는 피처들을 제거하지 않고 기판 상의 특정한 재료들 또는 피처들을 제거하도록 사용된다. 본 명세서에 사용된 바와 같이, 에칭 화학물질은 재료 또는 피처의 적어도 90 % (예컨대 100 %) 가 제거될 때 (수직 방향의 두께를 참조함) 재료 또는 피처를 “제거한다”. 본 명세서에 사용된 용어 “제거하지 않고”는 에칭 후 재료 또는 피처의 적어도 50 % (예컨대 적어도 80 %) 가 남아있는 것을 의미하고, %는 수직 방향의 두께를 참조한다.
일부 실시예들에서 제공된 방법들은 돌출 피처들의 측벽들에 존재하는 재료들을 제거하지 않고 수평 표면들로부터 재료들을 제거하도록 사용된다. 본 명세서에 사용된 바와 같이, 수평 표면들이 돌출 피처들의 상단부 상의 볼록한 캡들과 같은 수평 평면으로부터 국소 편차들을 갖는 표면들을 포함한다는 것이 이해된다.
다양한 에칭 화학물질들이 다른 재료들의 존재 하에 주석 옥사이드의 선택적인 에칭을 위해, 뿐만 아니라 주석 옥사이드의 존재 하에 다른 재료들의 선택적인 에칭을 위해 개발되었다. 또 다른 재료의 존재 하에 주석 옥사이드의 선택적인 에칭은 이 다른 재료의 에칭 레이트에 대한 주석 옥사이드의 에칭 레이트의 비가 1보다 크고, 그리고 이 다른 재료는 에칭 프로세스의 임의의 시간에서 주석 옥사이드와 동일한 에칭 화학물질에 노출되는, 주석 옥사이드 에칭을 지칭한다. 예를 들어, 이 다른 재료는 에칭이 시작될 때 노출될 수도 있고, 또는 에칭의 과정 동안 노출되게 될 수도 있다. 또 다른 재료의 존재 하에 주석 옥사이드의 선택적인 에칭을 위한 에칭 선택도는 미리 결정된 화학물질에 대한 다른 재료의 에칭 레이트에 대한 주석 옥사이드의 에칭 레이트의 비를 지칭한다. 예를 들어, 주석 옥사이드는 50보다 큰 에칭 선택도를 갖는 수소 기반 에칭 화학물질을 사용하여 실리콘 함유 화합물의 존재 하에 선택적으로 에칭될 수 있다.
유사하게, 주석 옥사이드의 존재 하에 재료의 선택적인 에칭은, 주석 옥사이드의 에칭 레이트에 대한 재료의 에칭 레이트의 비가 1보다 크고, 그리고 주석 옥사이드는 에칭 프로세스의 임의의 시간에서 에칭된 재료와 동일한 에칭 화학물질에 노출되는 이러한 재료의 에칭을 지칭한다. 예를 들어, 주석 옥사이드는 에칭이 시작될 때 노출될 수도 있고, 또는 에칭의 과정 동안 노출되게 될 수도 있다. 주석 옥사이드의 존재 하에 재료의 선택적인 에칭의 에칭 선택도는 미리 결정된 화학물질에 대한 주석 옥사이드의 에칭 레이트에 대한 재료의 에칭 레이트의 비를 지칭한다. 예를 들어, 탄소는 50보다 큰 에칭 선택도를 갖는 산소 기반 에칭 화학물질을 사용하여 주석 옥사이드의 존재 하에 선택적으로 에칭될 수 있다.
일부 실시예들에서 주석 옥사이드 맨드렐들을 제거하기 위한 방법들이 제공된다. 먼저, 반도체 기판이 제공되고, 반도체 기판은 복수의 주석 옥사이드 돌출 피처들 (맨드렐들) 및 스페이서 재료의 층을 포함하고, 스페이서 재료는 주석 옥사이드 돌출 피처들의 측벽들에 존재한다. 다음에, 주석 옥사이드는 본 명세서에 기술된 선택적인 주석 옥사이드 에칭 화학물질들 중 하나를 사용하여 제 2 재료의 존재 하에 선택적으로 에칭된다. 주석 옥사이드 돌출 피처들은 측벽들에 미리 존재한 스페이서 재료를 완전히 제거하지 않고, 이들 선택적인 에칭들에 의해 제거될 수 있고, 이에 따라 복수의 스페이서들을 형성한다.
일부 실시예들에서 기판 상의 수평 표면들로부터 스페이서 재료를 제거하기 위한 방법들이 제공되고, 스페이서 재료는 주석 옥사이드 맨드렐들을 코팅한다. 먼저, 반도체 기판이 제공되고, 반도체 기판은 복수의 주석 옥사이드 돌출 피처들 (맨드렐들), 및 주석 옥사이드 맨드렐들의 수평 표면들 상 그리고 측벽들 모두에 존재하는 스페이서 재료의 컨포멀 층을 포함한다. 다음에, 스페이서 재료는 측벽들에서 스페이서 재료를 완전히 제거하지 않고 수평 표면들로부터 제거되고, 주석 옥사이드가 노출된다. 이 단계는 본 명세서에 기술된 주석 옥사이드의 존재 하에 선택적인 스페이서 재료 에칭을 허용하는 임의의 선택적인 에칭 화학물질들에 의해 수행될 수 있다.
일부 실시예들에서 복수의 주석 옥사이드 맨드렐들 위에 스페이서 재료의 컨포멀한 층을 증착하기 위한 방법들이 제공된다. 먼저, 반도체 기판이 제공되고, 반도체 기판은 복수의 주석 옥사이드 맨드렐들을 포함한다. 다음에, 스페이서 재료 (예를 들어, 실리콘 함유 재료) 가 측벽들에서 그리고 수평 표면들 위 모두의 맨드렐들을 코팅하도록 기판 위에 증착된다.
수소 기반 에칭. 일부 실시예들에서 선택적인 주석 옥사이드 에칭이 수소 기반 에칭을 사용하여 수행된다. 수소 기반 에칭은 수소 함유 반응물질이 휘발성 주석 하이드라이드로 주석 옥사이드를 변환시키도록 (통상적으로 반응물질의 플라즈마 활성화와 함께) 수소 함유 반응물질에 주석 옥사이드를 노출하는 단계를 수반한다. SnH4는 -52 ℃의 비등점을 갖고, 프로세스 챔버로부터 쉽게 제거될 수 있다. 수소 함유 반응물질들의 예들은 H2, HBr, NH3, H2O, 및 (CH4, C2H2 등과 같은) 하이드로카본들을 포함한다. 수소 함유 반응물질들의 혼합물들이 또한 사용될 수 있다. 수소 기반 에칭은 수소 함유 반응물질, 및 선택 가능하게, 불활성 가스를 함유하는 프로세스 가스에서 플라즈마를 형성하는 단계, 및 기판을 형성된 플라즈마와 콘택트하는 단계를 수반한다. 불활성 가스들의 예들은 질소 (N2), 헬륨 (He), 아르곤 (Ar), 및 네온 (Ne), 및 크세논 (Xe) 을 포함한다. 일부 실시예들에서 H2는 바람직한 수소 함유 반응물질이고, 일부 실시예들에서 체적으로 적어도 50 %, 예컨대 적어도 80 % H2를 함유하는 가스에서 플라즈마를 형성하는 것이 바람직하다. 다른 실시예들에서 HBr이 수소 함유 반응물질로서 사용된다. 예를 들어 주석 옥사이드는 본질적으로 HBr 및 HBr, N2 및 아르곤의 혼합물에서와 같이 불활성 가스로 구성된 프로세스 가스에서 형성된 플라즈마로 선택적으로 에칭될 수 있다. 수소 기반 에칭은 통상적으로 산소 함유 종 및 불소 함유 종을 포함하지 않는 프로세스 가스로 수행된다. 일부 실시예들에서, 프로세스 가스는 본질적으로 하나 이상의 수소 함유 반응물질들 및, 선택 가능하게, 불활성 가스로 구성된다.
수소 기반 에칭은 이하 재료들: SiO2, SiN, SiC, SiOC, SiCN, SiON, SiCNO, 스핀 온 글라스 (spin on glass) 와 같은 실리콘 함유 화합물들; 티타늄 옥사이드, 텅스텐 옥사이드, 및 지르코늄 옥사이드와 같은 금속 옥사이드들; 티타늄 나이트라이드 및 탄탈룸 나이트라이드와 같은 금속 나이트라이드들; 및 탄소 함유 유기 재료들 (예를 들어, 포토레지스트 및 유기 폴리머들) 의 존재 하에 주석 옥사이드를 선택적으로 제거할 수 있다. 또한, 수소 기반 에칭은 실리콘 옥사이드로 커버된 실리콘의 존재 하에 주석 옥사이드를 선택적으로 에칭하도록 사용될 수 있다. 실리콘 옥사이드는 종종 실리콘이 대기에 노출될 때 실리콘 표면들 상에 형성된다. 수소 기반 에칭은 또한 원소 실리콘 (예를 들어, 비정질 실리콘), 및 탄소의 존재 하에 주석 옥사이드를 선택적으로 에칭하도록 사용될 수 있다. 또한, 수소 기반 에칭은 금속 카바이드들, 및 금속과 탄소를 함유하는 재료들의 존재 하에 주석 옥사이드를 선택적으로 에칭하도록 사용될 수 있다. 예를 들어, 주석 옥사이드는 텅스텐 탄소 재료 (또한 텅스텐-도핑된 탄소로 지칭됨) 의 존재 하에 수소 기반 에칭으로 선택적으로 에칭될 수 있다. 일부 실시예들에서, 텅스텐 탄소 재료는 약 20 내지 60 원자% 텅스텐을 포함한다.
일부 실시예들에서, 임의의 이들 재료들의 존재 하에 주석 옥사이드 맨드렐들을 제거하기 위한 방법들이 제공된다. 먼저, 반도체 기판이 제공되고, 반도체 기판은 복수의 노출된 주석 옥사이드 맨드렐들 및 임의의 이들 재료들 (예를 들어, 이들 재료들은 주석 옥사이드 맨드렐들의 측벽들에 존재하는 스페이서 재료들일 수도 있고, 또는 이들 재료들은 ESL 재료들일 수도 있음) 의 층을 포함한다. 다음에, 주석 옥사이드는 이들 재료들의 존재 하에 선택적으로 에칭된다. 예를 들어, 주석 옥사이드 맨드렐들은 주석 옥사이드 맨드렐들의 측벽들에 존재하는 스페이서 재료를 완전히 제거하지 않고 그리고 ESL 재료를 완전히 제거하지 않고 수소 기반 에칭에 의해 제거될 수 있다. 이들 재료들은 이 에칭 전 노출될 수도 있고 또는 주석 옥사이드 에칭의 과정 동안 노출되게 될 수도 있다.
일부 실시예들에서 수소 기반 에칭의 에칭 선택도는 10보다 크고, 예컨대 30보다 크고, 예를 들어, 50보다 크거나 80보다 크다. 에칭 선택도는 선택된 프로세스 조건들에 대해 다른 재료의 에칭 레이트에 대한 주석 옥사이드의 에칭 레이트의 비를 지칭한다. 일부 예들에서, H2 플라즈마의 사용과 함께 SiO2에 대해 주석 옥사이드를 에칭하기 위해 100의 에칭 선택도가 달성되었다.
수소 플라즈마 (수소 함유 반응물질들에서 형성된 플라즈마를 지칭함) 를 활용하는 주석 옥사이드 에칭 방법들은 광범위 프로세스 조건들 하의 다양한 장치들에서 구현될 수 있다. 일 구현예에서 방법들은, 에칭 챔버에 주석 옥사이드의 노출된 층을 갖는 반도체 기판을 제공하는 단계, 및 H2 (또는 또 다른 수소 함유 가스), 그리고 선택 가능하게 헬륨 또는 또 다른 불활성 가스와 같은 캐리어 가스를 포함하는 프로세스 가스에서 형성된 플라즈마와 기판을 콘택트하는 단계를 수반한다. 용어 “에칭 챔버” 또는 “에칭 장치”는 에칭을 위해 구성되는 챔버 및 장치를 지칭한다. 일부 실시예들에서 “에칭 챔버” 또는 “에칭 장치”는 에칭 동작들을 위해 배타적으로 구성된다. 다른 실시예들에서 “에칭 챔버” 또는 “에칭 장치”는 예를 들어, 증착과 같이, 에칭에 더하여 다른 동작들을 수행하도록 구성될 수도 있다. 예를 들어, 일부 실시예들에서 에칭 챔버는 또한 ALD 증착을 위해 사용될 수도 있다.
일부 실시예들에서 수소 플라즈마 에칭에 사용된 플라즈마는 반도체 기판을 하우징하는 (house) 동일한 프로세스 챔버에서 생성된다. 다른 실시예들에서 플라즈마는 리모트로 생성되고, 프로세스 챔버의 하나 이상의 유입구들을 통해 기판을 하우징하는 프로세스 챔버 내로 도입된다.
에칭은 예컨대 휘발성 주석 하이드라이드로 주석 옥사이드를 변환시키도록 제어된다. 일 실시예에서 프로세스 가스 내의 H2 함량은 적어도 50 체적% (% by volume), 예컨대 적어도 80 체적%이다 (100 %를 포함하여 100 %까지일 수 있음). 일부 실시예들에서, 프로세스 가스는 하이드로카본, 예컨대 CH4를 더 포함할 수도 있다. 일부 실시예들에서, 프로세스 가스는 Cl2를 더 포함한다. 예를 들어, 프로세스 가스는 본질적으로 H2 및 불활성 가스 (예를 들어, He) 로 구성될 수도 있고, 또는 프로세스 가스는 본질적으로 H2, 불활성 가스 및 하이드로카본 (예를 들어, CH4) 으로 구성될 수도 있다. 에칭은 기판 근처에서 측정된 약 100 ℃ 미만의 온도에서 수행된다. 에칭 반응은 유리하게, 배출 및/또는 퍼징에 의해 에칭 프로세스 챔버로부터 쉽게 제거될 수 있는, SnH4와 같은 휘발성 재료들만을 생성한다. 에칭 프로세스 온도는 고온들이 형성된 SnH4의 분해를 야기할 수 있고, 프로세스 챔버 및 기판을 오염시킬 수 있는 입자들의 형성을 야기할 수 있기 때문에, 바람직하게 약 100 ℃ 미만으로 선택된다. 프로세스 가스의 조성 및 프로세스 조건들은 예컨대 에칭 동안 입자들의 형성을 감소시키거나 제거하도록 선택된다. 중요하게, 에칭 반응은 어떠한 상당한 스퍼터링 (sputtering) 컴포넌트를 요구하지 않고, 기판에서 외부 바이어스의 부재 하에, 그리고 중이온들 (예를 들어, 아르곤 이온들) 의 부재 하에 수행될 수도 있다. 스퍼터링 컴포넌트를 감소시키는 것은 기판 상의 제 2 재료에 대해 에칭 선택도를 상승시키기에 유리할 수 있다. 따라서, 일부 실시예들에서 에칭은 기판에 외부 바이어스를 제공하지 않고 수행되고 그리고/또는 스퍼터링을 감소시키기 위해 캐리어 가스로서 헬륨 (가벼운 가스) 을 사용하는 단계를 수반한다.
수소 플라즈마 에칭을 위한 플라즈마는 다양한 주파수들 (저 및 고) 을 사용하여 생성될 수 있다. 적합한 주파수들의 예들은 400 ㎑, 2 ㎒, 13.56 ㎒, 27 ㎒ 또는 2.45 ㎓를 포함한다. 플라즈마 생성에 사용된 전력은 일부 실시예들에서, 약 0.0018 내지 0.36 W/cm2의 전력 밀도에 대응하는 약 50 W 내지 1,000 W의 범위일 수 있다. 기판에서의 바이어스는 선택 가능하고, 바이어스 전력은 약 0 내지 500 W의 범위일 수 있다. (300 mm 웨이퍼 하나를 프로세싱하기 위해) 샤워헤드 당 적합한 가스 플로우 레이트들은:
i. H2: 25 내지 750 sccm;
ii. Cl2: 0 내지 500 sccm (예를 들어, 5 내지 200 sccm);
iii. He: 0 내지 500 sccm (예를 들어, 5 내지 100 sccm); 및
iv. CH4: 0 내지 500 sccm (예를 들어, 5 내지 100 sccm).
일부 실시예들에서, 에칭 프로세스는 약 1 내지 175 mTorr의 압력으로 수행될 수 있다.
일부 특정한 실시예들에서 플라즈마는 고 주파수 생성 (예를 들어, 13.56 ㎒ 또는 27 ㎒) 을 사용하여 생성되고, 0.07 내지 0.18 W/cm2의 전력 밀도에 대응하는 약 200 내지 500 W의 플라즈마 전력을 사용하여 제공된다. 기판에서 바이어스에 대한 전력은 약 0 내지 200 W이다. (300 mm 웨이퍼 하나를 프로세싱하기 위해) 샤워헤드 당 적합한 가스 플로우 레이트들은:
i. H2: 100 내지 300 sccm;
ii. Cl2: 0 내지 200 sccm (예를 들어, 5 내지 100 sccm);
iii. He: 0 내지 100 sccm (예를 들어, 5 내지 50 sccm);
iv. CH4: 0 내지 100 sccm (예를 들어, 5 내지 50 sccm).
에칭 프로세스는 이들 실시예들에서 약 1 내지 30 mTorr의 압력에서 수행된다.
수소 기반 에칭의 선택도는 에칭 동안 기판의 표면 상에 탄소 함유 폴리머 (예를 들어, CHx 폴리머) 를 형성하는 프로세스 가스에 탄소 함유 반응물질들을 사용함으로써 상당히 상승될 수 있다. 일부 실시예들에서, 이 실시예에서 사용된 프로세스 가스는 H2 및 하이드로카본 (예를 들어, 메탄 (CH4)) 을 포함한다. 프로세스 가스는 또한 통상적으로 불활성 가스를 포함한다. 일부 실시예들에서 하이드로카본에 대한 H2의 비는 바람직하게 적어도 5, 예컨대 적어도 10이다. 일부 실시예들에서 하이드로카본에 대한 H2의 체적비는 약 5 내지 500, 예컨대 약 10 내지 300이다. 일부 실시예들에서 또 다른 재료 (또는 재료들) 의 존재 하에 주석 옥사이드의 선택적 에칭은 H2 및 하이드로카본 (예를 들어, CH4) 을 포함하는 프로세스 가스에서 형성된 플라즈마에 기판을 노출시키는 것을 포함한다. 일 구현예에서 H2는 약 100 내지 500 sccm의 플로우 레이트로 제공되고, 하이드로카본은 약 1 내지 20 sccm의 플로우 레이트 (예컨대 약 5 내지 10 sccm) 로 제공된다. 프로세스는 (단일 300 mm 웨이퍼에 대해) 약 0.14 내지 1.3 W/cm2, 예컨대 0.28 내지 0.71 W/cm2의 전력 밀도들에 대응하는, 약 100 내지 1,000 W, 예컨대 약 200 내지 500 W의 플라즈마 전력을 사용하여 수행될 수 있다. 일부 구현예들에서, 에칭은 약 50 내지 500 Vb, 예컨대 약 100 내지 200 Vb의 기판 바이어스를 사용하여 수행된다. 프로세스는 바람직하게 약 100 ℃ 미만의 온도에서 수행된다. 일 특정한 예에서 이하의 가스들: 100 sccm의 H2, 5 sccm의 CH4, 및 100 sccm의 헬륨이 제공된다. 플라즈마는 300 W의 전력을 사용하여 프로세스 가스에서 형성되고, 25 % 듀티 사이클에서 100 Vb의 기판 바이어스가 사용된다. 프로세스는 30 ℃ 및 5 mTorr의 압력에서 수행된다. 본 명세서에 기술된 바와 같이 기판 상에 탄소 함유 폴리머의 형성은 본 명세서에 나열된 임의의 재료들에 대해 주석 옥사이드의 에칭 선택도를 상승시킬 수 있다. 이 효과는 주석 옥사이드가 포토레지스트, 탄소, 탄소 함유 재료들, 및 실리콘 (Si) 의 존재 하에 에칭될 때 특히 유용하다. 예를 들어 에칭이 포토레지스트의 존재 하에 수행될 때, 에칭 선택도는 100보다 클 수 있고, 일부 경우들에서 거의 무한이다. 이 고도로 선택적인 에칭의 사용은 보다 작은 두께의 포토레지스트를 사용하여 포토리소그래피 노출 도즈를 하강시키고 그리고/또는 작은 미세 피치에서 고 종횡비로 인한 포토레지스트 라인 붕괴를 방지하게 할 수 있다. 기술된 방법에서 CHx 폴리머는 에칭되는 것으로부터 포토레지스트를 보호한다. 또한 이 에칭은 포토레지스트 층의 기하구조를 개선하도록 사용될 수 있다. 일부 실시예들에서, 반도체 기판 상의 주석 옥사이드는 주석 옥사이드 층 위에 배치된 포토레지스트의 존재 하에, 그리고 주석 옥사이드 아래의 재료의 존재 하에 포토레지스트와 주석 옥사이드 아래의 재료 모두에 대해 적어도 10의 에칭 선택 비로 이 에칭을 사용하여 선택적으로 에칭된다. 일부 실시예들에서 주석 옥사이드 아래의 재료는 실리콘 (예를 들어, 비정질 실리콘), 실리콘 함유 화합물 (예를 들어, SiO2, SiN, SiC, SiON, SiOC), 탄소 (예를 들어, 비정질 탄소), 및 탄소 함유 화합물 (예를 들어, 탄소 텅스텐) 중 하나 이상을 포함한다.
일부 실시예들에서, HBr은 수소 기반 에칭에서 수소 함유 반응물질로서 사용된다. 일 구현예에서, 에칭 방법은 100 내지 500 sccm의 플로우 레이트로 HBr, 및 100 내지 500 sccm의 플로우 레이트로 불활성 가스 (예를 들어, 헬륨) 를 흘리는 단계, 및 0.14 내지 1.42 W/cm2의 전력 밀도들에 대응하는 (일 300 mm 웨이퍼 당) 100 내지 1,000 W의 RF 전력을 사용하는 이 프로세스 가스에서 플라즈마를 형성하는 단계를 수반한다. 이 에칭은 기판 바이어스를 사용하거나 사용하지 않고 수행될 수 있다. 예를 들어, 기판 바이어스는 0 내지 200 Vb, 예컨대 50 내지 200 Vb일 수도 있다. 프로세스는 100 ℃ 미만의 온도 및 5 내지 50 mTorr의 압력에서 수행될 수 있다.
염소 기반 에칭. 일부 실시예들에서 선택적인 주석 옥사이드 에칭이 염소 기반 에칭을 사용하여 수행된다. 염소 기반 에칭은 주석 옥사이드를 주석 클로라이드로 변환시키도록 주석 옥사이드를 (통상적으로 반응물질의 플라즈마 활성화와 함께) 염소 함유 반응물질에 노출시키는 것을 수반한다. SnCl4는 114 ℃의 비등점을 갖고, 프로세스 챔버들로부터 제거될 수 있다. 적합한 염소 함유 반응물질의 예들은 Cl2, 및 BCl3을 포함한다. Cl2, 및 BCl3의 혼합물이 일 실시예에서 사용된다. 일 구현예에서, 염소 기반 에칭은 염소 함유 반응물질, 및 선택 가능하게, 불활성 가스를 함유하는 프로세스 가스에서 플라즈마를 형성하는 단계, 및 기판을 형성된 플라즈마와 콘택트하는 단계를 수반한다. 염소 기반 에칭은 이하의 재료들: SiO2, SiN, SiC, SiOC, SiCN, SiON, SiCNO와 같은 실리콘 함유 화합물들, 스핀 온 글라스, 탄소, 및 포토레지스트의 존재 하에서 주석 옥사이드를 선택적으로 제거할 수 있지만, 실리콘 함유 재료에 대한 선택도는 수소 기반 에칭보다 통상적으로 낮다. 일부 실시예들에서 반도체 기판이 제공되고, 반도체 기판은 노출된 주석 옥사이드 층 (예를 들어, 주석 옥사이드 맨드릴) 및 (예를 들어, 맨드릴 측벽들의 스페이서 재료로서 또는 ESL 재료로서) 이들 재료들 중 임의의 재료의 층을 포함한다. 다음에, 주석 옥사이드는 염소 기반 에칭을 사용하여 이들 재료들의 존재 하에 선택적으로 에칭된다. 이들 재료들은 이 에칭 전에 노출될 수도 있고 또는 주석 옥사이드 에칭의 과정 동안 노출되게 될 수도 있다. 일 구현예에서 주석 옥사이드는 BCl3/Cl2 에칭을 사용하여 임의의 이들 재료들의 존재 하에 선택적으로 에칭된다. 일 구현예에서, 에칭 방법은 5 내지 100 sccm의 플로우 레이트로 BCl3, 50 내지 500 sccm의 플로우 레이트로 Cl2 및 100 내지 500 sccm의 플로우 레이트로 불활성 가스 (예를 들어, 헬륨) 를 흘리는 단계 및 0.14 내지 1.42 W/cm2의 전력 밀도들에 대응하는 (일 300 mm 웨이퍼 당) 100 내지 1,000 W의 RF 전력을 사용하여 이 프로세스 가스에서 플라즈마를 형성하는 단계를 수반한다. 이 에칭은 기판 바이어스를 사용하거나 사용하지 않고 수행될 수 있다. 예를 들어, 기판 바이어스는 0 내지 100 Vb, 예컨대 10 내지 100 Vb일 수도 있다. 프로세스는 100 ℃ 미만의 온도 및 5 내지 50 mTorr의 압력에서 수행될 수 있다.
일부 실시예들에서 염소 기반 에칭은 주석 옥사이드의 존재 하에 특정한 금속 옥사이드들을 선택적으로 에칭하도록 사용된다. 예를 들어 티타늄 옥사이드는 염소 기반 에칭을 사용하여 주석 옥사이드의 존재 하에 선택적으로 에칭될 수 있다. 일부 실시예들에서 반도체 기판이 제공되고, 반도체 기판은 노출된 티타늄 옥사이드 층 및 주석 옥사이드의 층을 포함한다. 다음에, 티타늄 옥사이드는 염소 기반 에칭 화학물질을 사용하여 주석 옥사이드의 존재 하에 선택적으로 에칭된다. 주석 옥사이드는 이 에칭 전에 노출될 수도 있고 또는 주석 옥사이드 에칭의 과정 동안 노출되게 될 수도 있다. 예를 들어, 티타늄 옥사이드는 티타늄 옥사이드 맨드렐들을 코팅하는 스페이서 재료일 수도 있다. 티타늄 옥사이드 스페이서 재료는 주석 옥사이드 맨드렐들의 측벽들에서 티타늄 옥사이드를 완전히 제거하지 않고 염소 기반 에칭을 사용하여 수평 표면들로부터 제거될 수도 있다.
플루오로카본 기반 에칭. 일부 실시예들에서 SiO2, SiN, SiC, SiOC, SiCN, SiON, SiCNO와 같은 실리콘 함유 화합물들, 스핀 온 글라스들은 플루오로카본 기반 에칭을 사용하여 주석 옥사이드의 존재 하에 선택적으로 에칭된다. 플루오로카본 기반 에칭은 실리콘 함유 화합물들이 Si-F 결합들을 포함하는 휘발성 화합물들로 변환되도록 플라즈마 활성화된 플루오로카본들 (CxFy) 에 실리콘 함유 화합물들을 노출하는 것을 수반한다. 적합한 플루오로카본 반응물질들의 예들은 CF4, C2F6 등을 포함한다. 일 구현예에서, 플루오로카본 기반 에칭은 플루오로카본, 및 선택 가능하게, 불활성 가스를 함유하는 프로세스 가스에서 플라즈마를 형성하는 단계, 및 형성된 플라즈마와 기판을 콘택트하는 단계를 수반한다. 플루오로카본 에칭은 주석 옥사이드의 존재 하에 실리콘 함유 화합물들을 선택적으로 제거할 수 있다. 일부 실시예들에서 반도체 기판이 제공되고, 반도체 기판은 실리콘 함유 화합물 (예를 들어, 실리콘 함유 스페이서 재료 또는 ESL 재료) 의 노출된 층 및 주석 옥사이드의 층 (예를 들어, 주석 옥사이드 맨드렐) 을 포함한다. 다음에, 기판은 플루오로카본 플라즈마와 콘택트되고, 실리콘 함유 화합물은 주석 옥사이드의 존재 하에 선택적으로 에칭된다. 일 실시예에서, 기판은 맨드렐의 수평 표면들 상에 그리고 맨드렐 측벽들 모두에 실리콘 함유 스페이서 재료 (예를 들어, 실리콘 옥사이드) 로 코팅된 주석 옥사이드 맨드렐들을 포함한다. 실리콘 함유 스페이서 재료는 선택적으로 에칭되고, 실리콘 기반 스페이서 재료가 맨드렐들의 측벽들에 남도록, 수평 표면들로부터 플루오로카본 기반 에칭 화학물질에 의해 제거된다. 주석 옥사이드는 이 에칭 전에 노출될 수도 있고 또는 에칭의 과정 동안 노출되게 될 수도 있다. 플루오로카본 기반 에칭은 불소 기반 에칭의 일 유형이다.
불소 기반 에칭. 일부 실시예들에서 원소 실리콘 및 SiO2, SiN, SiC, SiOC, SiCN, SiON, SiCNO와 같은 실리콘 함유 화합물들, 및 스핀 온 글라스는 불소 기반 에칭을 사용하여 주석 옥사이드의 존재 하에 선택적으로 에칭된다. 불소 기반 에칭은 일부 실시예들에서 플라즈마 활성화되는 불소 함유 시약 (예를 들어, NF3, SF6, 또는 플루오로카본) 에 실리콘 함유 재료를 노출하는 단계, 및 실리콘 함유 재료를 휘발성 실리콘 플루오라이드로 변환하는 단계를 수반한다. 그러나, 주석 옥사이드는 휘발성 플루오라이드를 형성하지 않고, 따라서 실질적으로 이 화학물질에 의해 에칭되지 않는다. 실리콘 함유 재료들에 더하여, 티타늄 옥사이드, 텅스텐, 및 텅스텐 카본은 불소 기반 에칭들을 사용하여 주석 옥사이드의 존재 하에 선택적으로 에칭될 수 있다. 일 구현예에서, 불소 기반 에칭은 불소 함유 반응물질 (예를 들어, NF3), 및 선택 가능하게, 불활성 가스를 포함하는 프로세스 가스에서 플라즈마를 형성하는 단계, 및 형성된 플라즈마와 기판을 콘택트하는 단계를 수반한다. 불소 기반 에칭은 주석 옥사이드의 존재 하에 실리콘 함유 화합물들 및 원소 실리콘을 선택적으로 제거할 수 있다. 일부 실시예들에서 반도체 기판이 제공되고, 반도체 기판은 실리콘 함유 화합물의 노출된 층 및/또는 (예를 들어, 스페이서 재료로서 또는 ESL 재료로서) 원소 실리콘 (Si) 의 층 및 (예를 들어, 맨드렐 재료로서) 주석 옥사이드의 층을 포함한다. 다음에, 기판은 플라즈마 내의 불소 함유 반응물질과 콘택트되고, 실리콘 함유 화합물 및/또는 Si는 주석 옥사이드의 존재 하에 선택적으로 에칭된다. 주석 옥사이드는 이 에칭 전에 노출될 수도 있고 또는 에칭의 과정 동안 노출되게 될 수도 있다.
일 구현예에서 실리콘 (Si) 은 불소 기반 에칭을 사용하여 주석 옥사이드의 존재 하에 선택적으로 에칭된다. 일 구현예에서, 이 에칭 방법은 5 내지 100 sccm의 플로우 레이트로 NF3, 50 내지 500 sccm의 플로우 레이트로 Cl2 및 100 내지 500 sccm의 플로우 레이트로 불활성 가스 (예를 들어, 질소 및/또는 헬륨) 를 흘리는 단계, 및 0.14 내지 1.4 W/cm2의 전력 밀도들에 대응하는 (일 300 mm 웨이퍼 당) 100 내지 1000 W의 RF 전력을 사용하여 프로세스 가스에서 플라즈마를 형성하는 단계를 수반한다. 이 에칭은 기판 바이어스를 사용하거나 사용하지 않고 수행될 수 있다. 예를 들어, 기판 바이어스는 0 내지 100 Vb, 예컨대 10 내지 100 Vb일 수도 있다. 프로세스는 100 ℃ 미만의 온도 및 10 내지 300 mTorr의 압력에서 수행될 수 있다.
일부 실시예들에서 반도체 기판이 제공되고, 반도체 기판은 (예를 들어, 스페이서 재료의 층으로서) 티타늄 옥사이드, 텅스텐, 및/또는 텅스텐 카본의 노출된 층 및 (맨드렐 재료로서) 주석 옥사이드의 층을 포함한다. 다음에, 기판은 플라즈마 내의 불소 함유 반응물질과 콘택트되고, 티타늄 옥사이드, 텅스텐, 및/또는 텅스텐 카본은 주석 옥사이드의 존재 하에 선택적으로 에칭된다. 주석 옥사이드는 이 에칭 전에 노출될 수도 있고 또는 에칭의 과정 동안 노출되게 될 수도 있다.
일 구현예에서 티타늄 옥사이드는 불소 기반 에칭을 사용하여 주석 옥사이드의 존재 하에 선택적으로 에칭된다. 일 구현예에서, 이 에칭 방법은 5 내지 100 sccm의 플로우 레이트로 CF4, 0 내지 500 sccm (예를 들어, 10 내지 500 sccm) 의 플로우 레이트로 CHF3 및 100 내지 500 sccm의 플로우 레이트로 불활성 가스 (예를 들어, 아르곤) 를 흘리는 단계, 및 0.71 내지 1.4 W/cm2의 전력 밀도들에 대응하는 (일 300 mm 웨이퍼 당) 500 내지 1000 W의 RF 전력을 사용하여 프로세스 가스에서 플라즈마를 형성하는 단계를 수반한다. 이 에칭은 기판 바이어스를 사용하거나 사용하지 않고 수행될 수 있다. 예를 들어, 기판 바이어스는 0 내지 300 Vb, 예컨대 10 내지 300 Vb일 수도 있다. 프로세스는 100 ℃ 미만의 온도 및 5 내지 50 mTorr의 압력에서 수행될 수 있다.
일 구현예에서 텅스텐 카본은 불소 기반 에칭을 사용하여 주석 옥사이드의 존재 하에 선택적으로 에칭된다. 일 구현예에서, 이 에칭 방법은 5 내지 100 sccm의 플로우 레이트로 NF3, 5 내지 500 sccm의 플로우 레이트로 Cl2 및 100 내지 500 sccm의 플로우 레이트로 불활성 가스 (예를 들어, 아르곤 및/또는 질소) 를 흘리는 단계, 및 0.14 내지 1.4 W/cm2의 전력 밀도들에 대응하는 (일 300 mm 웨이퍼 당) 100 내지 1000 W의 RF 전력을 사용하여 프로세스 가스에서 플라즈마를 형성하는 단계를 수반한다. 이 에칭은 기판 바이어스를 사용하거나 사용하지 않고 수행될 수 있다. 예를 들어, 기판 바이어스는 0 내지 100 Vb, 예컨대 10 내지 100 Vb일 수도 있다. 프로세스는 100 ℃ 미만의 온도 및 10 내지 100 mTorr의 압력에서 수행될 수 있다.
산소 기반 에칭. 일부 실시예들에서 원소 탄소, 탄소 함유 화합물들, 폴리머들, 및 포토레지스트로 구성된 그룹으로부터 선택된 하나 이상의 재료들이 산소 기반 에칭을 사용하여 주석 옥사이드의 존재 하에 선택적으로 에칭된다. 산소 기반 에칭은 일부 실시예들에서 플라즈마 활성화되는 산소 함유 시약 (예를 들어, O2, O3, SO2, 또는 CO2) 에 상기 나열된 임의의 재료들을 노출하는 단계, 및 재료를 탄소-산소 결합을 포함하는 휘발성 생성물 (예를 들어, CO 또는 CO2) 로 변환하는 단계를 수반한다. 일 구현예에서, 산소 기반 에칭은 산소 함유 반응물질 (예를 들어, O2) 을 함유하는 프로세스 가스, 및 선택 가능하게 불활성 가스에서 플라즈마를 형성하는 단계, 및 형성된 플라즈마와 기판을 콘택트하는 단계를 수반한다. 다른 실시예들에서 에칭은 플라즈마의 부재 하에 발생할 수도 있다. 산소 기반 에칭은 주석 옥사이드의 존재 하에 탄소 (예를 들어, 비정질 또는 다이아몬드 유사 탄소), 탄소 함유 화합물들, 및 포토레지스트를 선택적으로 제거할 수 있다. 일부 실시예들에서 반도체 기판이 제공되고, 반도체 기판은 (예를 들어, 스페이서 재료로서) 탄소, 탄소 함유 화합물 및 포토레지스트로 구성된 그룹으로부터 선택된 하나 이상의 재료들의 노출된 층, 및 (예를 들어, 맨드렐 재료로서) 주석 옥사이드의 층을 포함한다. 다음에, 기판은 탄소 함유 재료들을 휘발성 CO 또는 CO2로 변환하여 이들을 주석 옥사이드의 존재 하에 선택적으로 에칭하도록 산소 함유 반응물질 (선택 가능하게 플라즈마에서 활성화됨) 과 콘택트된다. 주석 옥사이드는 이 에칭 전에 노출될 수도 있고 또는 에칭의 과정 동안 노출되게 될 수도 있다. 예를 들어, 산소 기반 에칭은 주석 옥사이드 맨드렐들의 측벽들에 존재하는 스페이서 재료가 완전히 제거되지 않도록, 그리고 주석 옥사이드가 에칭에 의해 노출되도록 수평 표면들로부터 스페이서 재료 (예를 들어, 탄소 함유 재료, 예컨대 포토레지스트) 를 제거하도록 사용될 수 있다.
재료들의 증착. 본 명세서에서 참조되는 재료들은 다양한 증착 방법들, 예컨대 (PECVD를 포함하는) CVD, (PEALD를 포함하는) ALD, (예를 들어, 금속들 및 금속 옥사이드들의 증착을 위한) PVD, (예를 들어, 탄소, 및 일부 유전체들의 증착을 위한) 스핀 온 방법들을 사용하여 증착될 수 있다. 컨포멀한 증착이 필요할 때, ALD 방법들이 통상적으로 선호된다.
SiO2, SiC, SiN, SiOC, SiNO, SiCNO, 및 SiCN 재료들은 다양한 방법들, 예컨대 CVD, PECVD 및 ALD를 사용하여 증착될 수 있다. 증착은 실리콘 함유 전구체와 반응물질 (예를 들어, 산소 함유 반응물질, 질소 함유 반응물질, 또는 탄소 함유 반응물질) 사이의 반응을 포함할 수 있다. 다양한 실리콘 함유 전구체들이 실란, 테트라알킬실란들, 트리알킬실란들, 테트라에틸오르토실리케이트 (TEOS), 등을 포함하는 이들 재료들의 증착을 위해 사용될 수 있다. 예를 들어, SiO2가 실리콘 함유 전구체로서 TEOS 또는 실란을 사용하여 증착될 수도 있다.
탄소는 예를 들어, 하이드로카본 전구체 (예를 들어, CH4) 를 사용함으로써 CVD 또는 PECVD에 의해 증착될 수 있다. 다른 구현예들에서 탄소는 스핀 온 방법들에 의해 또는 PVD에 의해 증착될 수도 있다. 포토레지스트 및 유기 폴리머들은 예를 들어, 스핀 온 방법들에 의해 증착될 수 있다.
주석 옥사이드 층은 예컨대 (PECVD를 포함하는) CVD, (PEALD를 포함하는) ALD, 스퍼터링, 등에 의한 임의의 적합한 방법에 의해 증착된다. 일부 실시예들에서, SnO2 막을 기판 상의 임의의 돌출부들의 표면들 및 리세스된 (recessed) 피처들을 포함하는, 기판의 표면을 따르도록 컨포멀하게 증착하는 것이 바람직하다. 컨포멀한 SnO2 막의 적합한 증착 방법들 중 하나는 ALD이다. 열적 ALD 또는 플라즈마 향상된 ALD가 사용될 수 있다. 통상적인 열적 ALD 방법에서, 기판은 ALD 프로세스 챔버에 제공되고, 주석 함유 전구체, 및 산소 함유 반응물질에 순차적으로 노출되고, 주석 함유 전구체 및 산소 함유 반응물질은 SnO2를 형성하기 위해 기판의 표면 상에서 반응하도록 허용된다. ALD 프로세스 챔버는 프로세스 챔버의 벌크에서 반응을 방지하기 위해 통상적으로 기판이 주석 함유 전구체에 노출된 후, 그리고 산소 함유 반응물질이 프로세스 챔버에 수용되기 전 불활성 가스를 사용하여 퍼지된다 (purged). 또한, ALD 프로세스 챔버는 통상적으로 기판이 산소 함유 반응물질로 처리된 후 불활성 가스를 사용하여 퍼지된다. 순차적 노출은 몇 개의 사이클들에 대해 반복되고, 예를 들어, 약 10 내지 100 사이클들이 목표된 두께를 갖는 주석 옥사이드 층이 증착될 때까지 수행될 수 있다. 적합한 주석 함유 전구체들의 예들은 (SnCl4, 및 SnBr4와 같은) 할로겐화된 주석 함유 전구체들, 및 알킬 치환된 주석 아미드들 등을 포함하는, 유기주석 화합물들과 같은 비할로겐화된 주석 함유 전구체들을 포함한다. ALD에 적합한 알킬 치환된 주석 아미드들의 특정한 예들은 테트라키스(디메틸아미노) 주석, 테트라키스(에틸메틸아미노) 주석, N2,N3-디-tert-부틸-부탄-2,3-디아미노-주석(II) 및 (1,3-비스(1,1-디메틸에틸)-4,5-디메틸-(4R, 5R)-1,3,2-디아자스탄놀리딘-2-일리딘이다. 산소 함유 반응물질들은 제한 없이 산소, 오존, 물, 과산화수소, 및 NO를 포함한다. 산소 함유 반응물질들의 혼합물들이 또한 사용될 수 있다. 증착 조건들은 ALD 반응물질들의 선택에 따라 가변할 것이고, 보다 큰 반응성 전구체들이 일반적으로 보다 적은 반응성 전구체들보다 저온에서 반응할 것이다. 프로세스들은 통상적으로 약 20 내지 500 ℃의 온도에서, 그리고 대기보다 낮은 (sub-atmospheric) 압력에서 수행될 것이다. 온도 및 압력은 응결을 방지하기 위해 반응물질들이 프로세스 챔버 내에 가스 형태로 남도록 선택된다. 반응물질 각각은 단독으로 또는 아르곤, 헬륨, 또는 질소와 같은 캐리어 가스와 혼합된 가스 형태로 프로세스 챔버에 제공된다. 이들 혼합물들의 플로우 레이트들은 프로세스 챔버의 사이즈에 종속될 것이고, 일부 실시예들에서 약 10 내지 10,000 sccm일 것이다.
일례에서 ALD 프로세스는 200 내지 400 ℃의 온도에서 SnCl4 (주석 함유 전구체) 및 탈이온수 (deionized water) (산소 함유 반응물질) 에 ALD 진공 챔버 내의 기판을 순차적으로 그리고 교대로 노출하는 것을 포함한다. ALD 사이클의 특정한 예에서, N2 캐리어 가스와 SnCl4 증기의 혼합물은 0.5 초 동안 ALD 프로세스 챔버 내로 도입되고, 이후 3 초 동안 기판에 노출된다. 다음에 ALD 프로세스 챔버는 프로세스 챔버의 벌크로부터 SnCl4를 제거하기 위해 10 초 동안 N2를 사용하여 퍼지되고, N2 캐리어 가스와 H2O 증기의 혼합물은 1 초 동안 프로세스 챔버 내로 흐르고 3 초 동안 기판에 노출된다. 다음에, ALD 프로세스 챔버는 N2를 사용하여 퍼지되고, 사이클은 반복된다. ALD 프로세스는 대기보다 낮은 압력 (예를 들어, 0.4 Torr) 에서 그리고 200 내지 400 ℃의 온도에서 수행된다.
ALD에서 할로겐화된 주석 전구체들의 사용은 많은 실시예들에서 적합하지만, 일부 실시예들에서 SnCl4와 같은 할로겐화된 전구체들의 사용으로 발생할 수도 있는 부식 문제들을 방지하기 위해 비할로겐화된 유기주석 전구체들을 사용하는 것이 보다 바람직하다. 적합한 비할로겐화된 유기주석 전구체들의 예들은 알킬아미노주석 (알킬화된 주석 아미드) 전구체들, 예컨대 테트라키스(디메틸아미노) 주석을 포함한다. ALD 프로세스의 일 예에서 기판은 ALD 챔버 내에서 약 50 내지 300 ℃의 온도에서 테트라키스(디메틸아미노) 주석 및 H2O2에 순차적으로 노출된다. 유리하게, 이 전구체의 사용은 100 ℃ 이하의 저온들에서 SnO2 막들의 증착을 허용한다. 예를 들어, SnO2 막들은 반응 레이트를 향상시키기 위해 플라즈마를 사용하지 않고 50 ℃에서 증착될 수 있다.
일부 실시예들에서, SnO2 막들은 PEALD에 의해 증착된다. 열적 ALD에 대해 상기 기술된 바와 동일한 유형들의 주석 함유 전구체들 및 산소 함유 반응물질들이 사용될 수 있다. PEALD에서 ALD 장치는 프로세스 챔버 내에 플라즈마를 생성하기 위해, 그리고 플라즈마로 기판을 처리하기 위한 시스템을 갖추고 있다. 통상적인 PEALD 프로세스 시퀀스에서, 기판은 PEALD 프로세스 챔버에 제공되고, 기판의 표면 상에 흡착하는 주석 함유 전구체에 노출된다. 프로세스 챔버는 프로세스 챔버로부터 전구체를 제거하기 위해 불활성 가스 (예를 들어, 아르곤 또는 헬륨) 를 사용하여 퍼지되고, 기판은 프로세스 챔버 내로 도입되는 산소 함유 반응물질에 노출된다. 산소 함유 반응물질의 도입과 동시에 또는 지연 후, 플라즈마가 프로세스 챔버 내에 형성된다. 플라즈마는 주석 옥사이드의 형성을 발생시키는 기판의 표면 상에서 주석 함유 전구체와 산소 함유 반응물질 사이의 반응을 용이하게 한다. 다음에, 프로세스 챔버는 불활성 가스를 사용하여 퍼지되고, 사이클은 주석 전구체 도징, 퍼징, 산소 함유 반응물질 도징, 플라즈마 처리 및 제 2 퍼징을 포함하는 사이클이 목표된 두께의 주석 옥사이드를 형성하기 위해 필요한 만큼 다수 회 반복된다.
맨드렐로서 주석 옥사이드
제공된 구현예들에서 주석 옥사이드 층들은 맨드렐들로서 사용된다. 주석 옥사이드 맨드렐들의 사용은 프로세싱의 상이한 단계들에서 반도체 기판의 개략적 단면도들을 제공하는 도 1a 내지 도 1f를 참조하여 예시된다. 도 2는 이들 방법들의 실시예를 위한 프로세스 흐름도를 제공한다.
도 2를 참조하면, 복수의 주석 옥사이드 돌출 피처들을 갖는 기판을 제공함으로써, 프로세스는 (201) 에서 시작된다. 예시적인 기판이 ESL (103) 상에 존재하는 2 개의 주석 옥사이드 맨드렐들 (101) 을 도시하는, 도 1a에 도시된다. 일부 실시예들에서, 이웃하는 맨드렐들 간의 거리 d1은 약 10 내지 100 nm이다. 일부 실시예들에서 약 40 내지 100 nm의 상대적으로 보다 큰 거리들이 사용된다. 다른 적용예들에서, 가장 가까운 맨드렐들 간의 거리는 약 10 내지 30 nm이다. 일부 실시예들에서, 또한 피치 (pitch) 로서 지칭되는, 가장 가까운 맨드렐들의 중심부들 간의 거리 d2는 약 30 내지 130 nm이다. 일부 실시예들에서, 피치는 약 80 내지 130 nm이다. 다른 실시예들에서, 피치는 약 30 내지 40 nm이다. 맨드렐들의 높이 d3은 통상적으로 약 20 내지 200 nm, 예컨대 약 50 내지 100 nm이다.
맨드렐의 재료 및 ESL의 재료는 바람직하게, 예컨대 후속하는 노출된 스페이서 재료의 존재 하에 주석 옥사이드 맨드렐 재료의 선택적인 에칭, 및 노출된 스페이서 재료의 존재 하에 ESL 재료의 선택적인 에칭을 허용하도록 선택된다. 따라서, 예컨대 ESL 에칭 화학물질에 대해 스페이서 재료의 에칭 레이트에 대한 ESL 재료의 에칭 레이트의 비는 1보다 크고, 보다 바람직하게 약 1.5보다 크고, 약 2보다 크다. 유사하게, 맨드렐 풀 (pull) 화학물질에 대해 스페이서 재료의 에칭 레이트에 대한 주석 옥사이드 맨드렐 재료의 에칭 레이트의 비는 1보다 크고, 보다 바람직하게 약 1.5보다 크고, 예컨대 약 2보다 크다.
일부 실시예들에서 ESL 재료는 실리콘 함유 화합물 (예를 들어, SiO2), 또는 금속 옥사이드 (예를 들어, 티타늄 옥사이드, 지르코늄 옥사이드, 또는 텅스텐 옥사이드) 이다. 맨드렐 재료는 주석 옥사이드이고, 스페이서 재료는 실리콘 함유 화합물 (예를 들어, SiO2, SiN, 또는 SiC), 탄소 함유 화합물 (예를 들어, 비정질 탄소, 다이아몬드 유사 탄소 또는 포토레지스트), (도핑된 또는 도핑되지 않은) 비정질 실리콘 또는 금속 옥사이드 (TaO, TiO, WO, ZrO, HfO) 를 포함할 수도 있다. ESL 재료, 맨드렐 재료 및 스페이서 재료는 모두 상이하게 선택된다. 일부 실시예들에서 맨드렐의 외측 재료는 맨드렐 중심과 상이하다. ESL 층 및 맨드렐들은 PVD (Physical Vapor Deposition), CVD (Chemical Vapor Deposition), ALD (플라즈마 없이 또는 PEALD에 의한) 또는 PECVD (Plasma Enhanced Chemical Vapor Deposition) 중 하나 이상에 의해 형성될 수 있고, 맨드렐들의 패턴은 본 명세서에 기술된 포토리소그래픽 (photolithographic) 기법들을 사용하거나 SAQP 유형 방법을 사용하여 규정될 수 있다. 스페이서들을 위한 재료는 스페이서 에칭 화학물질을 사용하여 주석 옥사이드에 대해 선택적으로 맨드렐을 에칭할 수 있도록, 그리고 맨드렐 풀 화학물질을 사용하여 스페이서 재료에 대해 선택적으로 주석 옥사이드 맨드렐들을 에칭할 수 있도록 바람직하게 선택된다. 일부 실시예들에서, ESL 에칭 화학물질을 사용하여 스페이서 재료에 대해 선택적으로 ESL을 에칭할 수 있도록 ESL 재료가 선택된다.
일부 실시예들에서, 주석 옥사이드 맨드렐들과 조합하여 사용되는 스페이서 재료들은 제한 없이 실리콘 옥사이드, 실리콘 나이트라이드, 또는 티타늄 옥사이드를 포함한다. 이 실시예에서 사용될 수 있는 다른 적합한 스페이서 재료들은 SiC, SiOC, SiNO, SiCNO, 및 SiCN을 포함한다. 주석 옥사이드 맨드렐들과 조합하여 사용될 수 있는 적합한 ESL 및 스페이서 재료 쌍들의 예들은: (i) 티타늄 옥사이드 스페이서 및 실리콘 옥사이드 ESL; (ii) 실리콘 옥사이드 스페이서 및 텅스텐 ESL; (iii) 실리콘 옥사이드 스페이서 및 실리콘 카바이드 ESL을 포함한다.
도 1a에 도시된 기판을 다시 참조하면, ESL 층 (103) 은 타겟 층 (105) 위에 그리고 타겟 층 (105) 과 콘택트하여 존재한다. 타겟 층 (105) 은 패터닝되어야 하는 층이다. 타겟 층 (105) 은 반도체, 유전체 또는 다른 층일 수도 있고, 예를 들어, 실리콘 (Si), 실리콘 옥사이드 (SiO2), 실리콘 나이트라이드 (SiN), 또는 티타늄 나이트라이드 (TiN) 로 이루어질 수도 있다. 일부 실시예들에서 타겟 층은 하드마스크 층으로 지칭되고, 금속 나이트라이드, 예컨대 티타늄 나이트라이드를 포함한다. 타겟 층 (105) 은 ALD (플라즈마 없이 또는 PEALD에 의한), CVD, 또는 다른 적합한 증착 기법에 의해 증착될 수도 있다. 타겟 층 (105) 은 일부 실시예들에서 유전체 재료의 층 내에 임베딩된 복수의 금속 라인들을 포함하는 BEOL 층인, 층 (107) 위에 존재하고 층 (107) 과 콘택트한다.
도 2를 다시 참조하면, 프로세스는 (203) 에서 돌출 피처들의 수평 표면들과 측벽들 모두의 위에 스페이서 재료의 층을 증착함으로써 이어진다. 도 1b에 도시된 구조체를 참조하면, 스페이서 재료 층 (109) 은 ESL (103) 위, 및 주석 옥사이드 맨드렐들 (101) 위에 증착되고, 맨드렐들의 측벽들을 포함한다. 스페이서 재료 층은 임의의 적합한 방법에 의해, 예컨대 CVD (PECVD를 포함함), ALD (PEALD를 포함함), 스퍼터링, 등에 의해 증착된다. 일부 실시예들에서 도 1b에 도시된 바와 같이 ESL (103) 의 표면 및 주석 옥사이드 맨드렐들 (101) 을 따르도록 스페이서 재료 막을 컨포멀하게 증착하는 것이 바람직하다. 본 명세서에 사용된 바와 같이 컨포멀한 막들은 일반적으로 기판의 윤곽선을 따른다. 일부 실시예들에서 컨포멀한 막의 두께는 모든 (수평 및 수직) 표면들 상에서 거의 동일하다 (50 % 미만의 변동을 가짐). 일부 실시예들에서 증착된 컨포멀한 막에서 두께의 변동은 15 % 미만이다. 다른 실시예들에서 컨포멀한 막의 두께는 측벽들 상보다 수평 표면들 상에서 상당히 보다 클 수 있다. 일부 실시예들에서 스페이서 재료 층은 약 5 내지 30 mm, 예컨대 약 10 내지 20 mm의 두께로 컨포멀하게 증착된다. 일반적으로, 스페이서 재료 층 (109) 은 임의의 적합한 방법에 의해, 예컨대 CVD (PECVD를 포함함), ALD (PEALD를 포함함), 스퍼터링, 등에 의해 증착된다. 예를 들어, 스페이서 재료는 실리콘 옥사이드, 실리콘 나이트라이드, 또는 티타늄 옥사이드 중 임의의 PECVD 증착된 층 또는 ALD 증착된 층일 수도 있다. 일 구현예에서 스페이서 재료는 ALD 증착된 티타늄 옥사이드이고, ESL은 실리콘 옥사이드 또는 또 다른 실리콘 함유 재료이다. PECVD 또는 ALD에 의해 증착될 수 있는 스페이서 재료의 다른 예들은 SiC, SiOC, SiNO, SiCNO, 및 SiCN을 포함한다.
도 2의 프로세스 도를 참조하면, 스페이서 재료 층이 증착된 후, 프로세스는 (205) 에서 주석 옥사이드 돌출 피처들의 측벽들에서 스페이서 재료를 완전히 제거하지 않고, 수평 표면들로부터 스페이서 재료를 제거함으로써 이어진다. 이 단계는 스페이서 에칭 화학물질을 사용하여 수행된다. 스페이서 재료는 주석 옥사이드 맨드렐 (101) 및 ESL (103) 이 도 1c에 도시된 바와 같이 노출되도록 수평 표면들로부터 제거되지만, 맨드렐 (101) 의 측벽들에서 스페이서 재료 (109) 는 완전히 제거되지 않는다. 스페이서 에칭 화학물질은 바람직하게 주석 옥사이드 맨드렐들 및 ESL 재료 모두에 선택적이다. 스페이서가 실리콘 기반, 예컨대 SiO2, SiN 또는 SiC, SiOC, SiNO, SiCNO, 및 SiCN 중 어느 것일 때, 불소 기반 에칭이 사용될 수도 있다. 예를 들어, 플라즈마 플루오로카본 에칭 화학물질은 주석 옥사이드 맨드렐에 대해 실리콘 함유 스페이서를 선택적으로 에칭하도록 사용될 수 있다. 화학물질은 사용된 ESL의 유형에 따라 튜닝될 수 있다. 스페이서가 티타늄 옥사이드일 때 염소 기반 화학물질을 사용하여 주석 옥사이드 맨드렐 및 실리콘 옥사이드 ESL 모두에 대해 선택적으로 에칭될 수 있다. 염소 기반 에칭 화학물질은 Cl을 함유하는 반응물질들 (통상적으로 플라즈마 활성화됨), 예컨대 플라즈마 활성화된 Cl2, BCl3, 등에 기판을 노출시키는 것을 포함한다. 스페이서가 실리콘 기반 (예를 들어, SiO2, SiN, 또는 SiC, SiOC, SiNO, SiCNO, SiCN 중 어느 것) 이고 ESL이 텅스텐일 때, 스페이서는 불소 기반 에칭 화학물질, 예컨대 플라즈마에 의해 활성화된 SF6/O2 혼합물을 사용하여 주석 옥사이드 및 텅스텐 모두에 대해 선택적으로 에칭될 수 있다.
수평 표면들로부터의 스페이서 재료의 제거는 도 1c에 의해 예시된다. 스페이서 재료 층 (109) 은 주석 옥사이드 맨드렐들 (101) 의 측벽들에 부착된 위치들로부터 완전히 에칭되지 않고, ESL (103) 위 및 맨드렐들 (101) 위의 수평 표면들로부터 에칭된다. 이 에칭은 주석 옥사이드 맨드렐들 (101) 의 측벽들 근방의 위치들을 제외한 모든 곳에서 층 (103) 을 노출한다. 또한, 이 에칭은 주석 옥사이드 맨드렐들 (101) 의 상단부들을 노출한다. 발생되는 구조체는 도 1c에 도시된다. 이 에칭 후 바람직하게 측벽에서 주석 옥사이드 층의 초기 높이의 적어도 50 %, 예컨대 적어도 80 % 또는 적어도 90 %가 보존된다.
도 2에 도시된 프로세스를 참조하면, 스페이서 재료가 (205) 에서 수평 표면들로부터 제거된 후, 다음 동작 (207) 에서, 주석 옥사이드 돌출 피처들은 돌출 피처들 (맨드렐들) 의 측벽들에 미리 존재한 스페이서 재료를 완전히 제거하지 않고 제거되고, 이에 따라 복수의 스페이서들을 형성한다. 발생되는 구조체는 주석 옥사이드 맨드렐들 (101) 이 제거된 후 ESL (103) 상에 존재하는 복수의 스페이서들 (109) 을 도시하는, 도 1d에 도시된다. 맨드렐들의 제거는 맨드렐 재료를 선택적으로 에칭하는 에칭 화학물질 (맨드렐 풀 화학물질) 에 기판을 노출함으로써 수행된다. 따라서, 이 단계에서 스페이서 재료의 에칭 레이트에 대한 주석 옥사이드 맨드렐 재료의 에칭 레이트의 비는 1보다 크고, 보다 바람직하게 1.5보다 크다. 또한, 이 단계에서 사용된 에칭 화학물질은, 일부 실시예들에서 ESL 재료에 대해 주석 옥사이드 맨드렐 재료를 선택적으로 에칭해야 한다. 다양한 에칭 방법들이 사용될 수 있고, 특정한 화학물질의 선택은 스페이서의 재료 및 ESL 층의 재료에 종속된다. 다음에, 주석 옥사이드 맨드렐들 (101) 은 맨드렐 풀 화학물질을 사용하여 제거된다. 일부 실시예들에서 주석 옥사이드 맨드렐들은 수소 기반 에칭을 사용하여 제거된다. 예를 들어, 일부 실시예들에서, 기판은 프로세스 챔버로부터 쉽게 제거될 수 있는 휘발성 주석 하이드라이드를 형성하기 위해, 플라즈마 활성화된 수소 함유 가스, 예컨대 H2, HBr, NH3, 하이드로카본, H2O, 또는 이들의 조합을 포함하는 가스와 콘택트된다. 수소 기반 에칭은 SiO2, SiN 또는 SiC, SiOC, SiNO, SiCNO, SiCN, 및 TiO2 중 어느 것을 포함하는 광범위한 다양한 스페이서 재료들, 및 금속 (예를 들어, W) 또는 WO2, TiN, TaN, ZrO, HfO를 포함하는 금속 함유 유전체들의 ESL 층에 선택적이다. 대안적인 실시예들에서 본 명세서에 제공된 다른 유형들의 주석 옥사이드 에칭 화학물질들이 사용될 수도 있다.
도 2를 참조하면, 스페이서들이 형성된 후, 동작 (209) 에서 ESL 재료는 패터닝된 ESL의 형성을 발생시키는 스페이서들의 존재 하에 에칭된다. 이 단계는 ESL 에칭 화학물질을 사용하여 수행된다. 발생하는 구조체는 도 1e에 도시되고, 노출된 ESL (103) 이 스페이서들 (109) 에 의해 보호되지 않는 모든 위치들에서 아래에 있는 타겟 층 (105) 을 노출하도록 에칭된 것이 예시된다. 일부 실시예들에서, 이 단계에서 사용되는 ESL 에칭 화학물질은 스페이서 재료의 존재 하에 ESL 재료를 선택적으로 에칭한다. 즉, 일부 실시예들에서 ESL 에칭 화학물질에 대해 스페이서 재료의 에칭 레이트에 대한 ESL 재료의 에칭 레이트의 비는 1보다 크고, 보다 바람직하게 1.5보다 크다. 스페이서 재료가 TiO2이고 ESL 재료가 실리콘 기반 재료, 예컨대 SiO2, SiN, 또는 SiC, SiOC, SiNO, SiCNO, 및 SiCN 중 어느 것일 때, 불소 기반 에칭 (예를 들어, 플루오로카본 플라즈마 에칭 화학물질) 은 TiO2의 존재 하에 ESL 층을 선택적으로 에칭하도록 사용될 수 있다.
스페이서들에 의해 규정된 패턴이 ESL에 전사된 후, 타겟 층 (105) 은 아래에 있는 층 (107) 을 노출하기 위해 ESL 막 (103) 에 의해 보호되지 않는 모든 위치들에서 에칭된다. 스페이서들 (109) 은 또한 이 에칭 단계에서 제거될 수 있어서 도 1f에 도시된 패터닝된 구조체를 제공한다. 일부 실시예들에서, 이 단계에서 사용된 에칭 화학물질은 타겟 재료 및 스페이서 재료 모두를 제거하도록 선택된다. 다른 실시예들에서, 상이한 화학물질들을 사용한 두 개의 상이한 에칭 단계들은 각각 타겟 층 (105) 을 패터닝하고 스페이서들 (109) 을 제거하도록 사용될 수 있다. 다수의 에칭 화학물질들은 타겟 층의 화학물질에 따라 사용될 수 있다. 일 실시예에서 타겟 층 (105) 은 금속 나이트라이드 (예를 들어, TiN) 층이다. 예를 들어 티타늄 나이트라이드 타겟 층 재료는 염소 기반 에칭 화학물질을 사용하여 에칭될 수 있다. 스페이서 재료가 TiO2이면, 스페이서들은 타겟 층 에칭과 동시에 염소 기반 에칭에 의해 제거될 수 있다.
주석 옥사이드 맨드렐들의 형성. 주석 옥사이드 맨드렐들을 갖는 기판들은 몇 가지 별개의 방법들을 사용하여 형성될 수 있다. 일부 실시예들에서, 주석 옥사이드 맨드렐들은 주석 옥사이드의 블랭킷 층을 패터닝함으로써 형성된다. 이는 도 3에 도시된 프로세스 흐름도에 의해 예시된다. 프로세스는 블랭킷 주석 옥사이드 층을 갖는 기판을 제공함으로써 동작 (301) 에서 시작된다. 예를 들어 주석 옥사이드는 ESL 위에 주석 옥사이드의 평면 층을 형성하기 위해 평면 노출된 ESL을 갖는 기판 위에 증착될 수 있다. 다음에, 동작 (303) 에서, 패터닝된 층이 주석 옥사이드 층 위에 형성된다. 예를 들어, 포토레지스트의 블랭킷 층이 주석 옥사이드 위에 증착될 수도 있고 (그러나 주석 옥사이드와 직접 콘택트할 필요는 없음), 포토리소그래픽 기법들을 사용하여 패터닝될 수도 있다. 일부 실시예들에서 하나 이상의 중간 블랭킷 층들은 주석 옥사이드 층과 포토레지스트 층 사이에 증착된다. 다음에, 동작 (305) 에서 주석 옥사이드가 에칭되고, 패턴이 복수의 주석 옥사이드 돌출부들이 기판 상에 형성되도록 주석 옥사이드 층으로 전사된다. 주석 옥사이드 층과 포토레지스트 사이에 중간 층들이 있으면, 패턴은 먼저 이들 중간 층들로 전사된다. 일부 실시예들에서 주석 옥사이드는 포토레지스트, 탄소, 또 다른 탄소 함유 재료, 및/또는 실리콘 함유 재료와 같은 마스킹 재료의 패터닝된 층의 존재 하에 수소 기반 에칭 화학물질에 의해 에칭된다.
패터닝된 주석 옥사이드 맨드렐들을 갖는 기판을 형성하는 예시적인 방법이 프로세싱 동안 반도체 기판의 개략적 단면도들을 도시하는 도 4a 내지 도 4e에 도시된다. 패터닝은 블랭킷 층들의 스택 상에 형성된 패터닝된 포토레지스트의 층 (401) 을 포함하는 구조체를 제공함으로써 시작되고, 스택은 상단부로부터 하단부로: 스핀 온 글라스의 층 (403) (또는 또 다른 실리콘 함유 재료, 예컨대 실리콘 옥사이드 기반 재료 또는 저온 CVD에 의해 증착된 SiON 층), 스핀 온 탄소 또는 PECVD 증착된 비정질 탄소의 층 (405), 주석 옥사이드의 층 (407) (예를 들어, ALD, PECVD 또는 스퍼터링에 의해 증착됨), ESL (예를 들어, 실리콘 옥사이드) (409) 및 타겟 층 (411) (예를 들어, 티타늄 나이트라이드) 을 포함한다. 먼저, 스핀 온 글라스 층 (또는 또 다른 실리콘 함유 재료) 은 예를 들어, 불소 기반 에칭 (예를 들어, 플루오로카본 기반 에칭 화학물질) 에 의해 에칭된다. 이 에칭은 포토레지스트의 패턴을 스핀 온 글라스 층 (403) 으로 전사한다. 발생하는 구조체는 도 4b에 도시된다. 다음에, 탄소 층 (405) 이 노출된 후, 탄소는 예를 들어, 산소 기반 화학물질 (예를 들어, 플라즈마에서 활성화된 O2, O3, NO, SO2, COS, CO, CO2) 을 사용하여 에칭되고, 패턴은 탄소로 전사된다. 이 단계는 동시에 포토레지스트 (401) 를 (부분적으로 또는 완전히) 제거할 수도 있다. 부분적으로 제거된 포토레지스트 (401) 를 갖는 패터닝된 층들 (401, 403 및 405) 을 갖는 형성된 구조체는 도 4c에 도시된다. 다음에, 블랭킷 주석 옥사이드 층 (407) 은 본 명세서에 개시된 임의의 적합한 주석 옥사이드 에칭 화학물질을 사용하여 (예를 들어, 수소 기반 화학물질을 사용하여) 에칭되고, 패턴은 도 4d에 도시된 바와 같이 주석 옥사이드로 전사된다. 마지막으로 탄소 층 (405) 은 애싱되고 (ashed), 예를 들어 산소 기반 화학물질을 사용하여 제거되어 도 4e에 도시된 패터닝된 주석 옥사이드 맨드렐들을 갖는 구조체를 제공한다.
도 4a 내지 도 4e에 예시된 프로세스와 유사한 대안적인 프로세스 흐름이 도 5a 내지 도 5c에 도시되지만, 도 5a에 도시된 바와 같이 탄소 하드마스크 (405) 를 포함하지 않는다. 이 프로세스 흐름에서 포토레지스트 (401) 의 패턴은 도 5b에 도시된 바와 같이 스핀 온 글라스 층 (403) 으로 전사된다. 다음에, 주석 옥사이드 층 (407) 은 에칭되고, 패턴은 층 (403) 으로부터 주석 옥사이드 층 (407) 으로 바로 전사된다. 주석 옥사이드는 수소 기반 에칭 화학물질로 (예를 들어, H2 및/또는 HBr을 사용하여) 에칭될 수 있고 이를 주석 하이드라이드로 변환하고, 또는 (예를 들어, Cl2 및/또는 BCl3을 갖는) 염소 기반 화학물질로 에칭될 수 있다. 발생하는 구조체는 도 5c에 도시된다.
블랭킷 층들을 패터닝하기 위해 포토레지스트를 채용하는 포토리소그래픽 방법들은 기판에 (패터닝될 블랭킷 층들 위에) 포토레지스트를 도포하는 단계; 포토레지스트를 광에 노출하는 단계; 포토레지스트를 패터닝하고 기판으로 패턴을 전사하는 단계; 및 기판으로부터 포토레지스트를 선택적으로 제거하는 단계를 수반한다.
또 다른 구현예에서, 주석 옥사이드 맨드렐들은 주석 옥사이드 스페이서들이 먼저 형성되고 이후 맨드렐들로서 사용되는, SAQP 프로세스에 의해 형성된다. 프로세스는 도 6에 도시된 프로세스 흐름도에 의해 예시된다. 프로세스는 (601) 에서 반도체 기판 상에 제 1 맨드렐들을 형성함으로써 시작된다. 일 구현예에서 에칭 정지 층 재료 위에 형성된 복수의 돌출 피처들을 갖는 기판을 제공함으로써 프로세싱이 시작된다. 돌출 피처들은 패터닝 동안 사용된 제 1 맨드렐들이고, 주석 옥사이드에 대해 선택적으로 에칭될 수 있는 임의의 맨드렐 재료들 (예를 들어, 포토레지스트, 탄소, 탄소 함유 재료들, 실리콘 및/또는 실리콘 함유 화합물들과 같은 실리콘 함유 재료들, 등) 을 포함할 수 있다. 제 1 맨드렐 재료는 에칭 정지 층 재료와 상이하게 선택된다. 일부 실시예들에서 제 1 맨드렐은 포토레지스트 맨드렐이다. 제 1 맨드렐이 포토레지스트 맨드렐일 때, 프로세싱은 종종 부가적인 리소그래픽 단계들을 사용하여 패터닝되어야 하는 다른 맨드렐 재료들과 비교할 때 보다 적은 단계들로 수행될 수 있다.
일부 구현예들에서 제 1 맨드렐은 포토레지스트이고, ESL은 실리콘 옥사이드, 실리콘 카바이드, 실리콘 나이트라이드, 등과 같은 실리콘 함유 화합물이다. 다음에, 동작 (603) 에서, 주석 옥사이드 층은 제 1 맨드렐들 위에 컨포멀하게 증착된다. 컨포멀하게 증착된 주석 옥사이드 층은 제 1 맨드렐들의 수평 표면들 및 제 1 맨드렐들의 측벽들 모두, 뿐만 아니라 노출된 ESL을 커버한다.
일부 실시예들에서, 주석 옥사이드 층이 컨포멀하게 증착된 후 그리고 수평 표면들로부터 주석 옥사이드의 제거 전, 맨드렐 측벽들에 존재하는 주석 옥사이드는 패시베이션 (passivation) 방법들을 사용하여 보호된다. 패시베이션은 수평 표면들로부터 주석 옥사이드를 제거하는 후속 에칭 단계 동안 맨드렐들의 측벽들에 존재하는 주석 옥사이드의 에칭을 방해하도록 수행된다. 일부 실시예들에서 패시베이션 층은 먼저 주석 옥사이드-코팅된 제 1 맨드렐들의 수평 표면들 및 측벽들 모두의 위에 패시베이션 재료를 증착하고, 이어서 수평 표면들로부터 패시베이션 재료의 제거에 의해 제 1 맨드렐들의 측벽들에서 주석 옥사이드 층 위에 형성된다. 예를 들어, 실리콘 함유 패시베이션 재료는 주석 옥사이드 코팅된 제 1 맨드렐들의 수평 표면들 및 측벽들 모두의 위에 증착될 수 있고, 불소 기반 (예를 들어, 플루오로카본 기반) 에칭 화학물질을 사용하여 수평 표면들로부터 실리콘 함유 패시베이션 재료의 제거로 이어진다. 이는 측벽들에서 주석 옥사이드가 주석 옥사이드 에칭 전 실리콘 함유 패시베이션 재료의 층에 의해 보호되는 구조체를 발생시킬 것이다. 패시베이션의 또 다른 예에서, 돌출 피처들의 측벽들에서 주석 옥사이드 층 위에 패시베이션 층을 형성하는 것은 제 1 맨드렐들의 수평 표면들 및 측벽들 모두의 주석 옥사이드 위에 탄소 함유 패시베이션 재료를 증착하고, 이어서 수평 표면들로부터 탄소 함유 패시베이션 재료의 제거를 포함한다. 패시베이션 방법들의 또 다른 실시예에서, 제 1 맨드렐들의 측벽들에서 주석 옥사이드 층 위에 패시베이션 층을 형성하는 것은 주석 옥사이드 층의 외측 부분을 주석 함유 패시베이션 재료, 예컨대 SnN, SnBr, SnF로 변환하는 것을 포함한다. 일례에서, 주석 옥사이드 층의 외측 부분은 플라즈마의 질소 함유 반응물질과 노출된 주석 옥사이드 층을 갖는 기판을 콘택트함으로써 주석 나이트라이드로 변환된다.
다음에, (605) 에서, 프로세스는 수평 표면들로부터 주석 옥사이드 층을 에칭하고, 복수의 주석 옥사이드 돌출 피처들 (제 1 스페이서들 또는 제 2 맨드렐들) 을 형성하기 위해 제 1 맨드렐들을 후속 제거가 이어진다. 수평 표면들로부터의 주석 옥사이드의 제거는 본 명세서에 기술된 임의의 선택적인 주석 옥사이드 에칭 화학물질에 의해 (예를 들어, 수소 기반 에칭에 의해) 수행될 수 있다. 주석 옥사이드는 측벽들에서 주석 옥사이드를 완전히 제거하지 않고 수평 표면들로부터 제거된다.
다음에, ESL 재료의 층에 존재하는 복수의 돌출 주석 옥사이드 피처들 (제 1 스페이서들) 을 남기면서 제 1 맨드렐들의 측벽들에 존재하는 주석 옥사이드를 완전히 제거하지 않고, 제 1 맨드렐들이 제거된다. 예를 들어, 포토레지스트 제 1 맨드렐들은 산소 기반 에칭 화학물질에 의해 제거될 수 있고, 실리콘 함유 맨드렐들은 불소 기반 화학물질에 의해 제거될 수 있다. 제공된 방법들은 목표된 기하구조 (예를 들어, 정사각형 형상, 최소 푸팅 (footing) 또는 푸팅 없이, 그리고 일관된 피치) 를 갖는 주석 옥사이드 제 1 스페이서들 (제 2 맨드렐들로 사용됨) 을 형성하도록 사용될 수 있다.
이들 돌출 주석 옥사이드 피처들은 이후 후속 패터닝에 대해 (도 1a 내지 도 1f에 의해 순차 예시된 바와 같이) 제 2 맨드렐들로서 사용된다. 구체적으로, 프로세스는 제 2 스페이서 재료가 측벽들 및 수평 표면들 모두에 주석 옥사이드 제 2 맨드렐들을 커버하도록 기판 위에 제 2 스페이서 재료를 컨포멀하게 증착함으로써 이어진다. 제 2 스페이서 재료는 주석 옥사이드 맨드렐들에 대해 선택적으로 에칭될 수 있도록 선택된다. 일부 실시예들에서 제 2 스페이서 재료는 실리콘 옥사이드와 같은 실리콘 함유 화합물이다. 제 2 스페이서 재료는 바람직하게 ESL 재료와 상이해야 한다. 제 2 스페이서 재료는 바람직하게 ALD와 같은 컨포멀한 증착 방법에 의해 증착된다. 제 2 스페이서 재료가 증착된 후, 이는 주석 옥사이드 맨드렐들의 측벽들 근방의 위치들로부터 완전히 제거되지 않고 수평 표면들로부터 제거된다. 이 에칭은 주석 옥사이드에 대해 선택적으로 에칭할 수 있는 임의의 에칭 방법들에 의해 수행될 수 있다. 예를 들어, 불소 기반 (예를 들어, 플루오로카본 기반) 플라즈마 에칭들은 제 2 스페이서 재료가 실리콘 옥사이드와 같은 실리콘 함유 화합물이면 사용될 수 있다. 다음에, 주석 옥사이드 제 2 맨드렐들은 제 2 맨드렐들의 측벽들에 존재하는 제 2 스페이서 재료를 완전히 제거하지 않고 제거된다. 이 에칭은 주석 옥사이드를 선택적으로 에칭하는 임의의 에칭 방법들에 의해 수행될 수 있다. 일부 실시예들에서, 수소 기반 에칭은 제 2 스페이서 재료 (예를 들어, 실리콘 옥사이드) 의 존재 하에 주석 옥사이드 제 2 맨드렐들을 선택적으로 제거하도록 사용된다. 주석 옥사이드 맨드렐들이 제거된 후, 기판은 에칭 정지 층 상에 복수의 스페이서들을 포함한다. 이 단계에서 스페이서들의 수는 제 1 맨드렐들의 수의 4 배이다 (쿼드러플 (quadruple) 패터닝). 후속 프로세싱은 스페이서들의 존재 하에 노출된 에칭 정지 층의 선택적인 에칭 및 제거, 이어서 타겟 재료 또는 재료들의 선택적인 에칭, 및 스페이서 제거를 수반할 수 있다.
주석 옥사이드 스페이서가 제 2 맨드렐로 사용되는 프로세스 시퀀스의 일 구현예―SAQP 유형 구현예는, 도 7a 내지 도 7g에 도시된 프로세싱을 겪는 기판의 개략적 단면도들에 의해 예시된다. 등축도들이 도 8a 내지 도 8f에 도시된다. 도 7a는 에칭 정지 층 (703) 상에 존재하는 돌출 피처들 (제 1 맨드렐들) (701) 을 갖는 반도체 기판을 도시한다. 다수의 층들 (705 및 707) 은 에칭 정지 층 아래에 놓인다. 이들 아래에 놓인 층들은 패터닝되어야 하는 타겟 층 및/또는 하나 이상의 하드마스크들을 포함할 수도 있다. 도시된 실시예에서 제 1 맨드렐들 (701) 은 포토레지스트로 이루어지고, ESL (703) 은 실리콘 함유 화합물, 예컨대 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 카바이드, 실리콘 옥시나이트라이드, 등의 층이다. 컨포멀한 주석 옥사이드의 층이 기판 위에 증착되어 도 7b에 도시된 구조체를 제공한다. 이 실시예에서 주석 옥사이드 층 (709) 은 기판의 수평 표면들 및 포토레지스트 맨드렐들 (701) 의 측벽들의 표면들 모두를 커버하도록, 포토레지스트 맨드렐들 (701) 및 ESL (705) 바로 위에 증착된다. 대응하는 등축도는 도 8a에 도시된다. 다음에, 주석 옥사이드는 맨드렐 측벽들 근방의 영역들로부터 완전히 제거되지 않고 수평 표면들로부터 제거되어, 도 7c에 도시된 구조체를 제공한다. 이러한 구조체의 등축도가 도 8b에 도시된다. 주석 옥사이드는 본 명세서에 기술된 임의의 방법들을 사용하여 포토레지스트에 대해 선택적으로 제거될 수 있다. 예를 들어 주석 옥사이드는 적어도 에칭의 일부 동안 수소 기반 에칭을 수반하는 방법들을 사용하여 수평 표면들로부터 에칭될 수 있다. 패시베이션은 주석 옥사이드 스페이서들에 대해 최적의 기하구조를 제공하기 위해 사용될 수 있다. 이 단계는 포토레지스트 재료를 노출시킨다. 다음에, 포토레지스트는 포토레지스트 맨드렐들의 측벽들에 존재하는 주석 옥사이드를 완전히 제거하지 않고 선택적으로 제거된다. 일부 실시예들에서 이 포토레지스트 맨드렐 풀은 애싱에 의해, 예를 들어, 산소 기반 화학물질을 사용하여 수행된다. 포토레지스트 맨드렐들의 제거는 주석 옥사이드 및 ESL 재료에 대해 선택적인 화학물질을 사용하여 수행되고, 산소 기반 애싱은 포토레지스트 제거에 적합한 선택적인 방법이다. 발생하는 구조체는 도 7d에 도시되고, 주석 옥사이드 돌출 피처들 (709) (제 1 스페이서들 및 제 2 맨드렐들 모두로 지칭될 수 있음) 은 ESL (703) 상에 존재하고, 제 1 포토레지스트 맨드렐들의 치수들에 의해 지시된 규정된 거리들만큼 서로 이격된다. 이들 돌출 피처들의 수는 제 1 맨드렐들의 수의 2 배이다. 대응하는 등축도는 도 8c에 도시된다.
주석 옥사이드 돌출 피처들이 형성된 후 이들은 후속 패터닝을 위해 제 2 맨드렐로서 사용된다. 프로세스는 기판의 표면 위에 제 2 스페이서 재료를 컨포멀하게 증착하는 것을 수반한다. 예시된 실시예에서, 제 2 스페이서 재료는 ESL 재료와 상이한 실리콘 함유 화합물이다. 예를 들어, 일부 구현예들에서 제 2 스페이서는 실리콘 옥사이드이고, ESL 재료는 상이한 재료 (예를 들어, 실리콘 카바이드) 이다. 제 2 스페이서 재료의 증착 후 획득된 구조체는 도 7e에 도시되고, 제 2 스페이서 재료 (예시된 실시예에서 실리콘 옥사이드) 층 (711) 은 주석 옥사이드 맨드렐들 (709) 의 수평 표면들, 주석 옥사이드 맨드렐들의 측벽들 및 ESL (703) 을 커버한다. 일부 실시예들에서, ALD와 같은 컨포멀한 증착 방법에 의해, 실리콘 옥사이드 제 2 스페이서 층이 증착된다. 대응하는 등축 구조체는 도 8d에 도시된다.
다음에, 제 2 스페이서 재료는 주석 옥사이드 맨드렐들의 측벽들의 영역들로부터 완전히 제거되지 않고 수평 표면들로부터 제거된다. 이 에칭은 바람직하게 주석 옥사이드에 대해 선택적이다. 도시된 구현예에서 실리콘 옥사이드는 주석 옥사이드에 선택적으로 불소 기반 (예를 들어, 플루오로카본 기반) 에칭 화학물질을 사용하여 에칭될 수 있다. 에칭 후 획득된 구조체가 도 7f에 예시되고, 제 2 맨드렐들 (709) 의 주석 옥사이드 재료가 노출된다. 대응하는 등축도는 도 8e에 도시된다. 다음에, 제 2 맨드렐들 (709) 은 제 2 맨드렐들의 측벽들에 존재하는 제 2 스페이서들의 재료를 완전히 제거하지 않고 제거된다. 이 제 2 맨드렐 풀은 본 명세서에 기술된 임의의 선택적인 주석 옥사이드 에칭 화학물질을 사용하여 수행될 수 있다. 일 구현예에서 주석 옥사이드 제 2 맨드렐들은 수소 기반 에칭 화학물질을 사용하여 제 2 스페이서 재료 (예를 들어, 실리콘 옥사이드) 에 대해 선택적으로 제거된다. 주석 옥사이드 제 2 맨드렐들의 제거 후, 기판은 ESL 층 상에 복수의 제 2 스페이서들을 포함한다. 제 2 스페이서들의 수는 제 2 주석 옥사이드 맨드렐들의 수의 2 배이고, 제 1 포토레지스트 맨드렐들의 수의 4 배이다. 도 7g는 ESL (703) 상의 스페이서들 (711) 을 도시한다. 대응하는 등축도는 도 8f에 도시된다. 프로세스는 본 명세서에 제공된 (예를 들어, 도 1d 내지 도 1f에 대해 기술된 바와 같이) 임의의 스페이서 또는 하드마스크 프로세스 시퀀스들과 유사한 스페이서들에 의해 보호되지 않는 위치들에서, 아래에 있는 층들 (703 및 705) 을 에칭하는 것으로 더 이어질 수도 있다. 제 2 스페이서들은 이후 아래에 있는 층들의 패터닝 후 또는 패터닝 동안 제거된다.
예시된 시퀀스는 이하의 프로세싱 이익들을 제공할 수 있다. 먼저, 주석 옥사이드는 SiO2를사용하는 인-시츄 (in-situ) 측벽 패시베이션이 사용되면 최소한의 푸팅을 갖고 스페이서 임계치수 (CD : Critical Dimension) 손실 없이 (제 2 맨드렐로도 기능하는) 사각형 스페이서를 획득하도록 에칭될 수 있다. 최소한의 푸팅을 갖는 사각형 주석 옥사이드 스페이서는 제 2 스페이서 증착을 위해 제 2 맨드렐로서 요구사항을 충족시킨다. 제 2 스페이서가 실리콘 함유 재료 (예를 들어, SiO2) 일 때, 주석 옥사이드에 대해 고 선택도를 갖는 불소 기반 화학물질을 사용하여 에칭될 수 있다. 주석 옥사이드 스페이서/맨드렐은 (제 2 스페이서 재료들로서 사용될 수 있는) 실리콘 옥사이드 또는 다른 실리콘 함유 화합물들에 고 에칭 선택도를 갖는 H2 화학물질을 사용하여 제거될 수 있다.
맨드렐들로서 다른 옥사이드들. 일부 실시예들에서 다른 옥사이드 재료들이 본 명세서에 기술된 임의의 프로세싱 시퀀스들에서 주석 옥사이드 대신 사용된다. 구체적으로, 고 증기압을 갖는 하이드라이드들을 형성하는 원소들의 옥사이드들이 사용될 수 있다. 하이드라이드들이 프로세싱 온도들에서 안정하고 가스인 휘발성 하이드라이드들 (예를 들어, 약 20 ℃ 미만, 예컨대 약 0 ℃ 미만의 비등점들을 갖는 하이드라이드들) 을 형성하는 원소들의 옥사이드들이 사용된다. 예를 들어, 일부 구현예들에서 본 명세서에 기술된 임의의 프로세스 시퀀스들에서 안티모니 옥사이드 (antimony oxide) 또는 텔루륨 옥사이드 (tellurium oxide) 가 주석 옥사이드 대신 사용될 수 있고, 프로세싱 동안 유사한 수소 기반 화학물질들을 사용하여 에칭된다.
장치
본 명세서에 기술된 방법들은 에칭 및 증착을 위해 구성된 다양한 장치들에서 수행될 수 있다. 에칭을 위해 구성된 적합한 장치가 에칭 프로세스 챔버, 에칭 동안 제자리에 기판을 홀딩하도록 구성된 에칭 프로세스 챔버 내의 기판 홀더, 및 프로세스 가스에서 플라즈마를 생성하기 위해 구성된 플라즈마 생성 메커니즘을 포함한다.
특정한 실시예들에서 유도 결합 플라즈마 (ICP : Inductively Coupled Plasma) 반응기들을 포함하는 적합한 장치들의 예들은, 또한 ALE (Atomic Layer Etching) 동작들 및 ALD (Atomic Layer Depositon) 동작들을 포함하는, 순환적 증착 및 활성화 프로세스들에 적합할 수도 있다. ICP 반응기들이 본 명세서에 상세하게 기술되지만, 용량 결합 플라즈마 (CCP : Capacitively Coupled Plasma) 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 9는 본 명세서에 기술된 플라즈마 에칭을 구현하기에 적합한 유도 결합 플라즈마 통합된 에칭 및 증착 장치 (900) 의 단면도를 개략적으로 도시하고, 이의 일 예는 CA, Fremont의 Lam Research Corp. 에 의해 생산된 Kiyo® 반응기이다. 유도 결합 플라즈마 장치 (900) 는 챔버 벽들 (901) 및 윈도우 (911) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (924) 를 포함한다. 챔버 벽들 (901) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (911) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (950) 가 상부 서브 챔버 (902) 및 하부 서브 챔버 (903) 로 전체 프로세스 챔버를 분할한다. 대부분의 실시예들에서, 플라즈마 그리드 (950) 는 제거될 수도 있고, 이에 따라 서브 챔버들 (902 및 903) 로 이루어진 챔버 공간을 활용한다. 척 (917) 이 하단부 내측 표면 근방의 하부 서브 챔버 (903) 내에 위치된다. 척 (917) 은 에칭 및 증착 프로세스들이 수행될 때 반도체 웨이퍼 (919) 를 수용하고 홀딩하도록 구성된다. 척 (917) 은 존재할 때 웨이퍼 (919) 를 지지하기 위한 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 이 척 (917) 을 둘러싸고, 척 (917) 위에 존재할 때 웨이퍼 (919) 의 상단 표면과 거의 평행한 상부 표면을 갖는다. 척 (917) 은 또한 웨이퍼 (919) 를 척킹 (chucking) 및 디척킹 (dechucking) 하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 는 이 목적을 위해 제공될 수도 있다. 척 (917) 으로부터 웨이퍼 (919) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (917) 은 RF 전력 공급부 (923) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (923) 는 연결부 (927) 를 통해 매칭 회로 (921) 에 연결된다. 매칭 회로 (921) 는 연결부 (925) 를 통해 척 (917) 에 연결된다. 이 방식에서, RF 전력 공급부 (923) 는 척 (917) 에 연결된다. 다양한 실시예들에서, 정전 척의 바이어스 전력이 약 50 Vb로 설정될 수도 있고 또는 개시된 실시예들에 따라 수행된 프로세스에 따라 상이한 바이어스 전력으로 설정될 수도 있다. 예를 들어, 바이어스 전력은 약 20 Vb 내지 약 100 Vb, 또는 약 30 Vb 내지 약 150 Vb일 수도 있다.
코일 (933) 을 포함하는 플라즈마 생성을 위한 엘리먼트들은 윈도우 (911) 위에 위치된다. 일부 실시예들에서, 코일은 개시된 실시예들에서 사용되지 않는다. 코일 (933) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴을 포함한다. 도 9에 도시된 코일 (933) 의 예는 3 개의 턴들을 포함한다. 코일 (933) 의 단면들은 상징들로 도시되고, “X”를 갖는 코일들은 페이지 내로 회전하여 연장하지만, “●”를 갖는 코일들은 페이지 밖으로 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (933) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (941) 를 포함한다. 일반적으로, RF 전력 공급부 (941) 는 연결부 (945) 를 통해 매칭 회로 (939) 에 연결된다. 매칭 회로 (939) 는 연결부 (943) 를 통해 코일 (933) 에 연결된다. 이 방식에서, RF 전력 공급부 (941) 는 코일 (933) 에 연결된다. 동작 가능한 패러데이 실드 (Faraday shield) (949a) 가 코일 (933) 과 윈도우 (911) 사이에 위치된다. 패러데이 실드 (949a) 는 코일 (933) 에 대해 이격된 관계로 유지될 수도 있다. 일부 실시예들에서, 패러데이 실드 (949a) 는 윈도우 (911) 바로 위에 배치된다. 일부 실시예들에서, 패러데이 실드 (949b) 는 윈도우 (911) 와 척 (917) 사이에 있다. 일부 실시예들에서, 패러데이 실드 (949b) 는 코일 (933) 에 대해 이격된 관계로 유지되지 않는다. 예를 들어, 패러데이 실드 (949b) 는 갭 없이 윈도우 (911) 바로 아래에 있을 수도 있다. 코일 (933), 패러데이 실드 (949a), 및 윈도우 (911) 는 각각 서로 실질적으로 평행하게 구성된다. 패러데이 실드 (949a) 는 금속 또는 다른 종을 프로세스 챔버 (924) 의 윈도우 (911) 상에 증착하는 것을 방지할 수도 있다.
프로세스 가스 (예를 들어, H2 및 He, 등) 는 상부 서브 챔버 (902) 내에 위치된 하나 이상의 주 가스 플로우 유입구들 (960) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (970) 을 통해 프로세스 챔버 내에 흐를 수도 있다. 마찬가지로, 명시적으로 도시되지 않았지만, 유사한 가스 플로우 유입구들이 용량 결합 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계적 건식 펌프 및/또는 터보분자 펌프 (940) 는 프로세스 챔버 (924) 밖으로 프로세스 가스들을 뽑아내도록 그리고 프로세스 챔버 (924) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 진공 펌프는 퍼지 동작 동안 하부 서브 챔버 (903) 를 배출시키도록 사용될 수도 있다. 밸브 제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하도록, 프로세스 챔버 (924) 에 진공 펌프를 유체적으로 연결하도록 사용될 수도 있다. 이는 동작 가능한 플라즈마 프로세싱 동안, 쓰로틀 (throttle) 밸브 (미도시) 또는 펜둘럼 (pendulum) 밸브 (미도시) 와 같은 폐-루프-제어된 (closed-loop-controlled) 플로우 제한 디바이스를 채용하여 완료될 수도 있다. 마찬가지로, 용량 결합 플라즈마 프로세싱 챔버에 대한 진공 펌프 및 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치 (900) 의 동작 동안, 수소 기반 에칭을 위한 H2 함유 가스와 같은 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (960 및/또는 970) 을 통해 공급될 수도 있다. 특정한 실시예들에서, 프로세스 가스는 주 가스 플로우 유입구 (960) 를 통해서만, 또는 측면 가스 플로우 유입구 (970) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 예를 들어 보다 복잡한 가스 플로우 유입구들, 하나 이상의 샤워헤드들에 의해 대체될 수도 있다. 패러데이 실드 (949a) 및/또는 선택 가능한 그리드 (950) 는 프로세스 챔버 (924) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 쉴드 (949a) 및 선택 가능한 그리드 (950) 중 하나 또는 모두는 프로세스 가스들의 전달을 위해 샤워헤드로서 역할할 수도 있다. 일부 실시예들에서, 액체 반응물질 또는 전구체가 기화되면, 기화된 반응물질 또는 전구체는 가스 플로우 유입구 (960 및/또는 970) 를 통해 프로세스 챔버 (924) 내로 도입되도록, 액체 기화 및 전달 시스템이 프로세스 챔버 (924) 의 업스트림 (upstream) 에 위치될 수도 있다.
무선 주파수 (RF) 전력은 RF 전류로 하여금 코일 (933) 을 통해 흐르게 하도록 RF 전력 공급부 (941) 로부터 코일 (933) 로 공급된다. 코일 (933) 을 통해 흐르는 RF 전류는 코일 (933) 을 중심으로 전자기장을 생성한다. 전자기장은 상부 서브 챔버 (902) 내에 유도 전류를 생성한다. 웨이퍼 (919) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼 (919) 의 피처들을 에칭하고 웨이퍼 (919) 상에 층들을 선택적으로 증착한다.
상부 서브 챔버 (902) 및 하부 서브 챔버 (903) 모두가 있도록 플라즈마 그리드 (950) 가 사용되면, 유도 전류는 상부 서브 챔버 (902) 내에 전자 이온 플라즈마를 생성하도록 상부 서브 챔버 (902) 내에 존재하는 가스에 작용한다. 동작 가능한 내부 플라즈마 그리드 (950) 는 하부 서브 챔버 (903) 내의 뜨거운 전자들의 수를 제한한다. 일부 실시예들에서, 장치 (900) 는 하부 서브 챔버 (903) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마는 양이온 및 음이온을 포함할 수도 있지만, 이온-이온 플라즈마는 음이온 대 양이온의 보다 큰 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (922) 를 통해 하부 서브 챔버 (903) 로부터 제거될 수도 있다. 예를 들어, H2 플라즈마를 사용하는 주석 옥사이드의 에칭 동안 생성된 주석 하이드라이드는 퍼징 및/또는 배출 동안 포트 (922) 를 통해 제거될 수 있다. 본 명세서에 개시된 척 (917) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다. 일부 실시예들에서 장치는 약 100 ℃ 미만의 온도에서 에칭을 수행하도록 제어된다.
장치 (900) 는 청정실 또는 제조 설비에서 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 타겟 제조 설비 내에 설치될 때 장치 (900) 에 커플링된다. 부가적으로, 장치 (900) 는 로봇들 (robotics) 로 하여금 통상적인 자동화를 사용하여 장치 (900) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시예들에서, 시스템 제어기 (930) (하나 이상의 물리적 제어기 또는 논리적 제어기를 포함할 수도 있음) 는 프로세스 챔버 (924) 의 동작들의 일부 또는 전부를 제어한다. 시스템 제어기 (930) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시예들에서, 장치 (900) 는 프로세스 가스들의 플로우 레이트들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시예들에서, 제어기는 본 명세서에 제공된 임의의 방법들의 단계들을 유발하기 위한 프로그램 인스트럭션들을 포함한다.
일부 구현예들에서, 시스템 제어기 (930) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전에, 프로세싱 동안에, 그리고 프로세싱 후에 그들의 동작을 제어하기 위해 전자장치들과 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, 시스템 제어기 (930) 에 통합될 수도 있다. 시스템 제어기는, 프로세싱 파라미터들 및/또는 시스템의 유형에 따라서, 프로세스 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 시스템과 연결되거나 인터페이싱된 로드록들 (loadlocks) 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (930) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치들로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (930) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 “클라우드” 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (930) 는 하나 이상의 동작들 동안에 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 유형 및 수행될 프로세스의 유형에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 시스템 제어기 (930) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산된 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, 원격으로 위치된 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 그리고 툴 위치들 및/또는 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
도 10은 VTM (Vacuum Transfer Module) (1038) 과 인터페이싱하는 다양한 모듈들을 갖는 반도체 프로세스 클러스터 아키텍처를 도시한다. 다수의 저장 설비들 및 프로세싱 모듈 간에 웨이퍼들을 “이송”하기 위한 다양한 모듈들의 배치는 “클러스터 툴 아키텍처” 시스템으로 지칭될 수도 있다. 로드록 또는 이송 모듈로 또한 공지된 에어록 (airlock) (1030) 은 차례로, 다양한 제조 프로세스들을 수행하기 위해 개별 최적화될 수도 있는 4 개의 프로세싱 모듈들 (1020a 내지 1020d) 과 인터페이싱하는, VTM (1038) 과 인터페이싱한다. 예로서, 프로세싱 모듈들 (1020a 내지 1020d) 은 기판 에칭, 증착, 이온 주입, 웨이퍼 세정, 스퍼터링, 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 일부 실시예들에서, 주석 옥사이드 증착 및 주석 옥사이드 에칭은 동일한 모듈에서 수행된다. 일부 실시예들에서, 주석 옥사이드 증착 및 주석 옥사이드 에칭은 동일한 툴의 상이한 모듈들에서 수행된다. 하나 이상의 기판 에칭 프로세싱 모듈들 (1020a 내지 1020d 모두) 은 예를 들어, 컨포멀한 막들을 증착하고, 주석 옥사이드를 선택적으로 에칭하고, 에어 갭들을 형성하고, 그리고 개시된 실시예들에 따른 다른 적합한 기능들을 위해, 본 명세서에 개시된 바와 같이 구현될 수도 있다. 에어록 (1030) 및 프로세싱 모듈들 (1020a 내지 1020d) 은 “스테이션들”로 지칭될 수도 있다. 스테이션 각각은 VTM (1038) 에 스테이션을 인터페이싱하는 패싯 (facet) (1036) 을 갖는다. 패싯 각각 내부에, 센서들 1 내지 18이 각각의 스테이션들 사이로 이동될 때 웨이퍼 (1026) 의 통과를 감지하도록 사용된다.
로봇 (1022) 이 스테이션들 사이에 웨이퍼 (1026) 를 이송한다. 일 실시예에서, 로봇 (1022) 은 1 개의 암을 갖고, 또 다른 실시예에서, 로봇 (1022) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (1026) 와 같은 웨이퍼들을 고르도록 엔드 이펙터 (end effector) (1024) 를 갖는다. ATM (Atmospheric Transfer Module) (1040) 에서, 프론트-엔드 로봇 (1032) 은 LPM (Load Port Module) 에서 카세트 또는 FOUP (Front Opening Unified Pod) (1034) 로부터 에어록 (1030) 으로 웨이퍼들 (1026) 을 이송시키도록 사용된다. 프로세싱 모듈들 (1020a 내지 1020d) 내부 모듈 중심부 (1028) 는 웨이퍼 (1026) 를 배치하기 위한 일 위치이다. ATM (1040) 내의 정렬기 (1044) 는 웨이퍼들을 정렬하도록 사용된다.
예시적인 프로세싱 방법에서, 웨이퍼는 LPM (1042) 내의 FOUP들 (1034) 중 하나에 배치된다. 프론트-엔드 로봇 (1032) 은 웨이퍼를 FOUP (1034) 로부터 웨이퍼 (1026) 로 하여금 에칭되거나 프로세싱되기 전 적절하게 센터링되게 하는 정렬기 (1044) 로 이송한다. 정렬된 후, 웨이퍼 (1026) 는 프론트-엔드 로봇 (1032) 에 의해 에어록 (1030) 내로 이동된다. 에어록 (1030) 이 ATM (1040) 과 VTM (1038) 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (1026) 는 손상입지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다. 에어록 (1030) 으로부터, 웨이퍼 (1026) 는 VTM (1038) 을 통해 그리고 프로세싱 모듈들 (1020a 내지 1020d) 중 하나 내로 로봇 (1022) 에 의해 이동된다. 이 웨이퍼 이동을 달성하기 위해, 로봇 (1022) 은 암들 각각 상의 엔드 이펙터들 (1024) 을 사용한다. 웨이퍼 (1026) 가 프로세싱되면, 웨이퍼 (1026) 는 로봇 (1022) 에 의해 프로세싱 모듈들 (1020a 내지 1020d) 로부터 에어록 (1030) 으로 이동된다. 이로부터, 웨이퍼 (1026) 는 프론트-엔드 로봇 (1032) 에 의해 FOUP들 (1034) 중 하나로 또는 정렬기 (1044) 로 이동될 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터가 클러스터 아키텍처에 국부적일 수 있고, 또는 제작 작업장의 클러스터 아키텍처 외부, 또는 원격 위치에 위치될 수 있고, 그리고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것에 주목해야 한다. 도 9에 대해 상기 기술된 제어기가 도 10의 툴로 구현될 수도 있다. 본 발명에 따라 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독 가능 매체는 시스템 제어기에 커플링될 수도 있다.
일부 실시예들에서, 반도체 기판을 프로세싱하기 위한 시스템은 하나 이상의 증착 챔버들; 하나 이상의 에칭 챔버들; 및 본 명세서에 기술된 임의의 프로세스들 또는 서브 프로세스들을 수행하기 위한 프로그램 인스트럭션들을 갖는 시스템 제어기를 포함한다. 일부 실시예들에서 프로그램 인스트럭션들은: 복수의 주석 옥사이드 돌출 피처들을 갖는 반도체 기판 상에서, 주석 옥사이드 돌출 피처들의 수평 표면들 및 측벽들 모두 상에 스페이서 재료의 증착을 유발하고; 그리고 주석 옥사이드 돌출 피처들의 측벽들에서 스페이서 재료의 완전한 제거를 유발하지 않고, 아래에 있는 주석 옥사이드를 노출시키기 위해 주석 옥사이드 돌출 피처들의 수평 표면으로부터 스페이서 재료의 제거를 유발하는, 동작들을 유발하기 위해 포함된다. 제어기는: 주석 옥사이드 돌출 피처들의 측벽들에 미리 존재한 스페이서 재료의 완전한 제거를 유발하지 않고 주석 옥사이드 돌출 피처들의 제거를 유발하여, 반도체 기판 상에 복수의 스페이서들을 형성하기 위한 프로그램 인스트럭션들을 더 포함할 수도 있다. 일부 실시예들에서 제어기는: 복수의 제 1 맨드렐들을 갖는 반도체 기판 위에 컨포멀한 주석 옥사이드 층의 증착에 이어 수평 표면들로부터 주석 옥사이드 재료의 제거 및 제 1 맨드렐들의 제거를 유발함으로써 복수의 주석 옥사이드 돌출 피처들을 갖는 반도체 기판의 형성을 유발하기 위한 프로그램 인스트럭션들을 포함한다.
일부 실시예들에서, 프로세스 가스를 위한 유입구를 갖는 에칭 프로세스 챔버; 에칭 프로세스 챔버에서 반도체 기판을 홀딩하기 위해 구성된 기판 홀더; 및 본 명세서에서 제공된 임의의 프로세스들 및 서브 프로세스들에 대한 프로그램 인스트럭션들을 포함하는 프로세스 제어기를 포함하는 에칭 장치가 제공된다. 일부 실시예들에서 제어기는 스페이서 재료가 복수의 주석 옥사이드 돌출 피처들의 측벽들에서 완전히 제거되지 않고 반도체 기판의 수평 표면들로부터 완전히 제거되도록, 반도체 기판 상의 주석 옥사이드 돌출 피처들을 코팅하는 스페이서 재료의 층의 에칭을 유발하기 위한 프로그램 인스트럭션들을 포함한다.
또 다른 양태에서 비일시적인 컴퓨터 머신-판독 가능한 매체가 제공되고, 이는 본 명세서에 기술된 임의의 방법들의 수행을 유발하기 위한 코드를 포함한다.
추가 구현예들
본 명세서에 기술된 장치 및 프로세스들은, 예를 들어 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널들, 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시는 아니지만, 이러한 장치 및 프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 이하의 단계들의 일부 또는 전부를 포함하고, 단계 각각은 다수의 가능한 툴들로 인에이블된다: (1) 스핀 온 툴 또는 스프레이 온 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트의 도포; (2) 핫플레이트 또는 퍼니스 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트의 경화; (3) 웨이퍼 스텝퍼 (stepper) 와 같은 툴로 가시광 또는 UV 광 또는 x-ray 광에 포토레지스트를 노출; (4) 레지스트를 선택적으로 제거하고 습식 벤치 (bench) 와 같은 툴을 사용하여 패터닝하도록 레지스트를 현상 (developing); (5) 건식 에칭 툴 또는 플라즈마-보조된 에칭 툴을 사용함으로써 레지스트 패턴을 하부 막 또는 워크피스 내로 전사; 및 (6) RF 플라즈마 레지스트 스트립퍼 (stripper) 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거. 일부 실시예들에서, 본 명세서에 기술된 임의의 장치들 및 스텝퍼들을 포함하는 시스템이 제공된다.

Claims (31)

  1. 반도체 기판을 프로세싱하는 방법에 있어서,
    (a) 에칭 정지 층 상에 존재하는 (residing) 복수의 주석 옥사이드 돌출 피처들을 갖는 반도체 기판을 제공하는 단계로서, 상기 주석 옥사이드 돌출 피처들은 수평 표면들 및 측벽들을 갖고, 스페이서 재료의 층은 상기 주석 옥사이드 돌출 피처들의 상기 수평 표면들 및 상기 측벽들 모두 상에 존재하는, 상기 반도체 기판을 제공하는 단계; 및
    (b) 상기 주석 옥사이드 돌출 피처들의 상기 측벽들에서 상기 스페이서 재료를 완전히 제거하지 않고, 아래에 있는 주석 옥사이드를 노출하기 위해 상기 주석 옥사이드 돌출 피처들의 상기 수평 표면들로부터 상기 스페이서 재료를 제거하는 단계를 포함하는, 반도체 기판 프로세싱 방법.
  2. 제 1 항에 있어서,
    (c) 상기 주석 옥사이드 돌출 피처들의 상기 측벽들에 미리 존재한 (resided) 상기 스페이서 재료를 완전히 제거하지 않고 상기 주석 옥사이드 돌출 피처들을 제거하여, 상기 에칭 정지 층 위에 존재하는 복수의 스페이서들을 형성하는 단계를 더 포함하는, 반도체 기판 프로세싱 방법.
  3. 제 2 항에 있어서,
    (d) 상기 주석 옥사이드 돌출 피처들이 제거된 후, 상기 복수의 스페이서들의 존재 하에 상기 에칭 정지 층을 에칭하는 단계를 더 포함하는, 반도체 기판 프로세싱 방법.
  4. 제 1 항에 있어서,
    상기 스페이서 재료는 실리콘 함유 재료 및 티타늄 다이옥사이드로 구성된 그룹으로부터 선택되는, 반도체 기판 프로세싱 방법.
  5. 제 1 항에 있어서,
    상기 스페이서 재료는 원소 실리콘, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 카바이드, SiOC, SiNO, SiCNO, 및 SiCN으로 구성된 그룹으로부터 선택된 실리콘 함유 재료인, 반도체 기판 프로세싱 방법.
  6. 제 1 항에 있어서,
    상기 스페이서 재료는 티타늄 옥사이드이고, 상기 에칭 정지 층은 실리콘 함유 재료를 포함하는, 반도체 기판 프로세싱 방법.
  7. 제 1 항에 있어서,
    상기 스페이서 재료는 실리콘 옥사이드이고, 상기 에칭 정지 층은 텅스텐을 포함하는, 반도체 기판 프로세싱 방법.
  8. 제 1 항에 있어서,
    상기 스페이서 재료는 실리콘 함유 재료이고, 상기 단계 (b) 의 상기 수평 표면들로부터 상기 스페이서 재료를 제거하는 단계는 불소 기반 에칭 화학물질을 사용하여 상기 스페이서 재료를 에칭하는 단계를 포함하는, 반도체 기판 프로세싱 방법.
  9. 제 1 항에 있어서,
    상기 스페이서 재료는 티타늄 옥사이드이고, 상기 단계 (b) 의 상기 수평 표면들로부터 상기 스페이서 재료를 제거하는 단계는 염소 기반 에칭 화학물질을 사용하여 상기 스페이서 재료를 에칭하는 단계를 포함하는, 반도체 기판 프로세싱 방법.
  10. 제 1 항에 있어서,
    (c) 상기 주석 옥사이드 돌출 피처들의 상기 측벽들에 미리 존재한 상기 스페이서 재료를 완전히 제거하지 않고 상기 주석 옥사이드 돌출 피처들을 제거하여, 상기 에칭 정지 층 위에 존재하는 복수의 스페이서들을 형성하는 단계를 더 포함하고, 상기 주석 옥사이드 돌출 피처들은 주석 하이드라이드의 형성을 발생시키는 수소 기반 에칭 화학물질을 사용하여 제거되는, 반도체 기판 프로세싱 방법.
  11. 제 1 항에 있어서,
    (c) 상기 주석 옥사이드 돌출 피처들의 상기 측벽들에 미리 존재한 상기 스페이서 재료를 완전히 제거하지 않고 상기 주석 옥사이드 돌출 피처들을 제거하여, 상기 에칭 정지 층 위에 존재하는 복수의 스페이서들을 형성하는 단계를 더 포함하고, 상기 주석 옥사이드 돌출 피처들을 제거하는 단계는 플라즈마-활성화된 수소 함유 반응물질과 상기 반도체 기판을 콘택트하는 단계를 포함하는, 반도체 기판 프로세싱 방법.
  12. 제 1 항에 있어서,
    상기 단계 (a) 전에,
    평면 주석 옥사이드 층을 패터닝함으로써 상기 반도체 기판 상에 상기 복수의 주석 옥사이드 돌출 피처들을 형성하는 단계를 더 포함하는, 반도체 기판 프로세싱 방법.
  13. 제 1 항에 있어서,
    상기 단계 (a) 전에,
    (i) 상기 반도체 기판 상에 복수의 제 1 맨드렐들 (mandrels) 을 형성하는 단계;
    (ii) 상기 복수의 제 1 맨드렐들 위에 주석 옥사이드의 층을 컨포멀하게 (conformally) 증착하는 단계; 및
    (iii) 상기 단계 (a) 에 제공된 상기 반도체 기판 상에 상기 복수의 주석 옥사이드 돌출 피처들을 형성하기 위해 상기 수평 표면들로부터 상기 주석 옥사이드의 증착된 층을 에칭하고 상기 복수의 제 1 맨드렐들을 제거하는 단계를 더 포함하고,
    상기 형성된 상기 복수의 주석 옥사이드 돌출 피처들은 후속하는 상기 단계 (b) 에서 제 2 맨드렐들로서 역할하는, 반도체 기판 프로세싱 방법.
  14. 제 13 항에 있어서,
    상기 제 1 맨드렐들은 포토레지스트, 비정질 탄소, 및 다이아몬드-유사 탄소로 구성된 그룹으로부터 선택된 탄소 함유 재료를 포함하는, 반도체 기판 프로세싱 방법.
  15. 제 13 항에 있어서,
    상기 제 1 맨드렐들은 탄소 함유 재료를 포함하고, 상기 단계 (iii) 은 수소 기반 에칭 화학물질 또는 염소 기반 에칭 화학물질을 사용하여 상기 수평 표면들로부터 상기 주석 옥사이드의 증착된 층을 에칭하는 것, 및 산소 기반 에칭 화학물질을 사용하여 상기 복수의 제 1 맨드렐들을 제거하는 것을 포함하는, 반도체 기판 프로세싱 방법.
  16. 반도체 기판을 프로세싱하기 위한 시스템에 있어서,
    (a) 하나 이상의 증착 챔버들;
    (b) 하나 이상의 에칭 챔버들; 및
    (c) 시스템 제어기를 포함하고, 상기 시스템 제어기는,
    (i) 에칭 정지 층 상에 존재하는 (residing) 복수의 주석 옥사이드 돌출 피처들을 포함하는 반도체 기판 상에, 상기 주석 옥사이드 돌출 피처들의 수평 표면들 및 측벽들 모두 상에 스페이서 재료의 증착을 유발하기 위한 프로그램 인스트럭션;
    (ii) 상기 주석 옥사이드 돌출 피처들의 상기 측벽들에서 상기 스페이서 재료의 완전한 제거를 유발하지 않고, 아래에 있는 주석 옥사이드를 노출하기 위해 상기 주석 옥사이드 돌출 피처들의 상기 수평 표면들로부터 상기 스페이서 재료의 제거를 유발하기 위한 프로그램 인스트럭션을 포함하는, 반도체 기판을 프로세싱하기 위한 시스템.
  17. 제 16 항에 있어서,
    상기 시스템 제어기는,
    (iii) 상기 반도체 기판 상에 복수의 스페이서들을 형성하기 위해, 상기 주석 옥사이드 돌출 피처들의 상기 측벽들에 미리 존재한 상기 스페이서 재료의 완전한 제거를 유발하지 않고 상기 주석 옥사이드 돌출 피처들의 제거를 유발하기 위한 프로그램 인스트럭션들을 더 포함하는, 반도체 기판을 프로세싱하기 위한 시스템.
  18. 제 16 항에 있어서,
    상기 시스템 제어기는 복수의 제 1 맨드렐들을 갖는 상기 반도체 기판 위에 컨포멀한 (conformal) 주석 옥사이드 층의 증착을 유발하고 이어서 상기 수평 표면들로부터 상기 주석 옥사이드 재료의 제거에 의해 그리고 상기 제 1 맨드렐들의 제거에 의해, 상기 복수의 주석 옥사이드 돌출 피처들을 포함하는 상기 반도체 기판의 형성을 유발하기 위한 프로그램 인스트럭션들을 더 포함하는, 반도체 기판을 프로세싱하기 위한 시스템.
  19. (a) 프로세스 가스를 위한 유입구를 갖는 에칭 프로세스 챔버;
    (b) 상기 에칭 프로세스 챔버 내에 반도체 기판을 홀딩하기 위해 구성된 기판 홀더; 및
    (c) 스페이서 재료가 복수의 주석 옥사이드 돌출 피처들의 측벽들에서 완전히 제거되지 않고 상기 반도체 기판의 수평 표면들로부터 완전히 제거되도록, 상기 반도체 기판 상에 에칭 정지 층 상에 존재하는 (residing) 상기 복수의 주석 옥사이드 돌출 피처들을 코팅하는 상기 스페이서 재료의 층의 에칭을 유발하기 위한 프로그램 인스트럭션들을 포함하는 프로세스 제어기를 포함하는, 에칭 장치.
  20. 제 19 항에 있어서,
    상기 (c) 의 프로그램 인스트럭션들은 불소 기반 에칭 화학물질을 사용한 상기 스페이서 재료의 층의 에칭을 유발하기 위한 프로그램 인스트럭션들을 포함하고, 상기 스페이서 재료는 실리콘 함유 재료인, 에칭 장치.
  21. 제 19 항에 있어서,
    상기 (c) 의 프로그램 인스트럭션들은 상기 반도체 기판의 상기 수평 표면들로부터 실리콘 함유 스페이서 재료를 에칭하도록 플루오로카본을 포함하는 가스에서 플라즈마의 형성을 유발하기 위한 프로그램 인스트럭션들을 포함하는, 에칭 장치.
  22. 제 19 항에 있어서,
    상기 스페이서 재료는 원소 실리콘, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 카바이드, SiOC, SiNO, SiCNO, 및 SiCN으로 구성된 그룹으로부터 선택되는, 에칭 장치.
  23. 제 19 항에 있어서,
    상기 스페이서 재료는 티타늄 다이옥사이드인, 에칭 장치.
  24. 제 19 항에 있어서,
    상기 (c) 의 프로그램 인스트럭션들은 염소 기반 에칭 화학물질을 사용함으로써 상기 반도체 기판의 상기 수평 표면들로부터 티타늄 옥사이드 스페이서 재료의 제거를 유발하기 위한 프로그램 인스트럭션들을 포함하는, 에칭 장치.
  25. 제 19 항에 있어서,
    상기 프로그램 인스트럭션들은 상기 복수의 주석 옥사이드 돌출 피처들의 상기 측벽들에 존재하는 스페이서 재료의 완전한 제거를 유발하지 않고, 상기 복수의 주석 옥사이드 돌출 피처들의 제거를 유발하기 위한 프로그램 인스트럭션들을 더 포함하는, 에칭 장치.
  26. 제 19 항에 있어서,
    상기 프로그램 인스트럭션들은 주석 하이드라이드의 형성을 발생시키는 수소 기반 에칭 화학물질에 상기 반도체 기판을 노출시킴으로써 상기 복수의 주석 옥사이드 돌출 피처들의 상기 측벽들에 존재하는 스페이서 재료의 완전한 제거를 유발하지 않고, 상기 복수의 주석 옥사이드 돌출 피처들의 제거를 유발하기 위한 프로그램 인스트럭션들을 더 포함하는, 에칭 장치.
  27. 제 19 항에 있어서,
    상기 프로그램 인스트럭션들은 상기 복수의 주석 옥사이드 돌출 피처들의 상기 측벽들에 미리 존재한 상기 스페이서 재료의 완전한 제거를 유발하지 않고 상기 복수의 주석 옥사이드 돌출 피처들의 제거를 유발하여, 에칭 정지 층 위에 존재하는 복수의 스페이서들을 형성하기 위한 프로그램 인스트럭션들을 더 포함하고, 상기 복수의 주석 옥사이드 돌출 피처들의 제거를 유발하는 것은 플라즈마-활성화된 수소 함유 반응물질과 상기 반도체 기판의 콘택트를 유발하는 것을 포함하는, 에칭 장치.
  28. 제 27 항에 있어서,
    상기 스페이서 재료는 실리콘 함유 재료인, 에칭 장치.
  29. 제 27 항에 있어서,
    상기 스페이서 재료는 티타늄 다이옥사이드인, 에칭 장치.
  30. 제 27 항에 있어서,
    상기 수소 함유 반응물질은 H2, NH3, H2O, 하이드로카본, HBr, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 에칭 장치.
  31. 제 19 항에 있어서,
    상기 장치는 프로세스 가스에서 플라즈마를 생성하기 위해 구성되는, 에칭 장치.
KR1020207024840A 2018-01-30 2019-01-29 패터닝에서 주석 옥사이드 맨드렐들 (mandrels) KR102630349B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217017290A KR102604345B1 (ko) 2018-01-30 2019-01-29 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862624066P 2018-01-30 2018-01-30
US62/624,066 2018-01-30
US201862655678P 2018-04-10 2018-04-10
US62/655,678 2018-04-10
PCT/US2019/015559 WO2019152362A1 (en) 2018-01-30 2019-01-29 Tin oxide mandrels in patterning

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217017290A Division KR102604345B1 (ko) 2018-01-30 2019-01-29 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)

Publications (2)

Publication Number Publication Date
KR20200106087A KR20200106087A (ko) 2020-09-10
KR102630349B1 true KR102630349B1 (ko) 2024-01-29

Family

ID=67391577

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217017290A KR102604345B1 (ko) 2018-01-30 2019-01-29 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
KR1020207024840A KR102630349B1 (ko) 2018-01-30 2019-01-29 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217017290A KR102604345B1 (ko) 2018-01-30 2019-01-29 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)

Country Status (5)

Country Link
US (2) US11355353B2 (ko)
JP (1) JP7334166B2 (ko)
KR (2) KR102604345B1 (ko)
CN (2) CN111771264A (ko)
WO (1) WO2019152362A1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
CN111771264A (zh) * 2018-01-30 2020-10-13 朗姆研究公司 在图案化中的氧化锡心轴
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10867804B2 (en) * 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10991583B2 (en) * 2018-09-28 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Self aligned litho etch process patterning method
WO2020102783A1 (en) * 2018-11-16 2020-05-22 Lam Research Corporation Bubble defect reduction
KR102643106B1 (ko) 2019-06-27 2024-02-29 램 리써치 코포레이션 교번하는 에칭 및 패시베이션 프로세스
DE102021101492A1 (de) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren einer halbleitervorrichtung
TW202212012A (zh) * 2020-06-15 2022-04-01 美商蘭姆研究公司 在腔室清潔中的錫氧化物的移除
US20220189786A1 (en) * 2020-12-15 2022-06-16 Applied Materials, Inc. Tin oxide and tin carbide materials for semiconductor patterning applications
JPWO2022181691A1 (ko) * 2021-02-24 2022-09-01
US11848209B2 (en) * 2021-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning semiconductor devices and structures resulting therefrom
US11682558B2 (en) * 2021-09-22 2023-06-20 International Business Machines Corporation Fabrication of back-end-of-line interconnects
US12009211B2 (en) * 2021-11-12 2024-06-11 Tokyo Electron Limited Method for highly anisotropic etching of titanium oxide spacer using selective top-deposition
WO2023214575A1 (ja) * 2022-05-02 2023-11-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2024091781A1 (en) * 2022-10-25 2024-05-02 Applied Materials, Inc. Diamond-like carbon pixel isolation structures in light emitting diode structures

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4337309A (en) * 1978-02-28 1982-06-29 Pharmacia Diagnostics Ab Method of deterining the concentration of pancreatic and salivary α-amylase in body fluids
US4778562A (en) * 1984-08-13 1988-10-18 General Motors Corporation Reactive ion etching of tin oxide films using neutral reactant gas containing hydrogen
US4544444A (en) * 1984-08-15 1985-10-01 General Motors Corporation Reactive ion etching of tin oxide films using silicon tetrachloride reactant gas
JPS62179774A (ja) 1986-02-04 1987-08-06 Fujitsu Ltd イメ−ジセンサの製造方法
US4708766A (en) 1986-11-07 1987-11-24 Texas Instruments Incorporated Hydrogen iodide etch of tin oxide
JP2644758B2 (ja) 1987-07-22 1997-08-25 株式会社日立製作所 レジスト除去方法及び装置
JP3001891B2 (ja) 1987-10-01 2000-01-24 グンゼ株式会社 透明導電膜のエッチング方法及びその装置
US4878993A (en) 1988-12-22 1989-11-07 North American Philips Corporation Method of etching thin indium tin oxide films
US5032221A (en) 1990-05-07 1991-07-16 Eastman Kodak Company Etching indium tin oxide
US5171401A (en) 1990-06-04 1992-12-15 Eastman Kodak Company Plasma etching indium tin oxide
US5318664A (en) 1990-06-25 1994-06-07 General Electric Company Patterning of indium-tin oxide via selective reactive ion etching
JPH05267701A (ja) 1992-03-18 1993-10-15 Taiyo Yuden Co Ltd 酸化錫透明導電膜のパターニング方法
US5286337A (en) 1993-01-25 1994-02-15 North American Philips Corporation Reactive ion etching or indium tin oxide
KR0135165B1 (ko) 1993-10-15 1998-04-22 윤정환 다층레지스트를 이용한 패턴형성방법
US5723366A (en) 1994-09-28 1998-03-03 Sanyo Electric Co. Ltd. Dry etching method, method of fabricating semiconductor device, and method of fabricating liquid crystal display device
US5607602A (en) 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
US5667631A (en) 1996-06-28 1997-09-16 Lam Research Corporation Dry etching of transparent electrodes in a low pressure plasma reactor
US6036876A (en) * 1997-06-25 2000-03-14 Applied Komatsu Technology, Inc. Dry-etching of indium and tin oxides
US20010008227A1 (en) 1997-08-08 2001-07-19 Mitsuru Sadamoto Dry etching method of metal oxide/photoresist film laminate
GB9726511D0 (en) 1997-12-13 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
US6368978B1 (en) 1999-03-04 2002-04-09 Applied Materials, Inc. Hydrogen-free method of plasma etching indium tin oxide
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100447263B1 (ko) 1999-12-30 2004-09-07 주식회사 하이닉스반도체 식각 폴리머를 이용한 반도체 소자의 제조방법
US6789910B2 (en) 2000-04-12 2004-09-14 Semiconductor Energy Laboratory, Co., Ltd. Illumination apparatus
US6580475B2 (en) 2000-04-27 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6750394B2 (en) 2001-01-12 2004-06-15 Sharp Kabushiki Kaisha Thin-film solar cell and its manufacturing method
US6623653B2 (en) 2001-06-12 2003-09-23 Sharp Laboratories Of America, Inc. System and method for etching adjoining layers of silicon and indium tin oxide
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
JP4748986B2 (ja) 2002-11-01 2011-08-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR100574952B1 (ko) 2003-11-04 2006-05-02 삼성전자주식회사 스플릿 게이트형 비휘발성 반도체 메모리 소자 제조방법
US7435610B2 (en) 2003-12-31 2008-10-14 Chung Yuan Christian University Fabrication of array pH sensitive EGFET and its readout circuit
WO2005076292A1 (ja) 2004-02-09 2005-08-18 Asahi Glass Company, Limited 透明電極の製造方法
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7355672B2 (en) 2004-10-04 2008-04-08 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
EP1707952A1 (de) 2005-03-31 2006-10-04 Micronas GmbH Gassensitiver Feldeffekttransistor mit Luftspalt und Verfahren zu dessen Herstellung
US7561247B2 (en) 2005-08-22 2009-07-14 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US7372058B2 (en) 2005-09-27 2008-05-13 Asml Netherlands B.V. Ex-situ removal of deposition on an optical element
JP4609335B2 (ja) 2006-02-02 2011-01-12 富士電機システムズ株式会社 炭化珪素半導体基板のドライエッチング方法
US20080061030A1 (en) 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for patterning indium tin oxide films
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7833893B2 (en) 2007-07-10 2010-11-16 International Business Machines Corporation Method for forming conductive structures
JP5642967B2 (ja) 2007-11-22 2014-12-17 関東化学株式会社 エッチング液組成物
CN103093766A (zh) 2007-12-06 2013-05-08 因特瓦克公司 用于构图介质的商业制造的系统和方法
US8247315B2 (en) 2008-03-17 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method for manufacturing semiconductor device
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
FR2936651B1 (fr) 2008-09-30 2011-04-08 Commissariat Energie Atomique Dispositif optoelectronique organique et son procede d'encapsulation.
JP5446648B2 (ja) 2008-10-07 2014-03-19 信越化学工業株式会社 パターン形成方法
KR20100044029A (ko) 2008-10-21 2010-04-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20100052598A (ko) 2008-11-11 2010-05-20 삼성전자주식회사 미세 패턴의 형성방법
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
CN103456794B (zh) 2008-12-19 2016-08-10 株式会社半导体能源研究所 晶体管的制造方法
WO2010134176A1 (ja) 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法
US8163094B1 (en) 2009-07-23 2012-04-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method to improve indium bump bonding via indium oxide removal using a multi-step plasma process
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
JP2012099517A (ja) 2010-10-29 2012-05-24 Sony Corp 半導体装置及び半導体装置の製造方法
WO2012060940A1 (en) 2010-11-04 2012-05-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US9111775B2 (en) 2011-01-28 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. Silicon structure and manufacturing methods thereof and of capacitor including silicon structure
US9190316B2 (en) 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
TWI479663B (zh) 2011-12-22 2015-04-01 Au Optronics Corp 陣列基板及其製作方法
WO2013141232A1 (ja) 2012-03-23 2013-09-26 三洋電機株式会社 太陽電池及びその製造方法
US10861978B2 (en) 2012-04-02 2020-12-08 Samsung Display Co., Ltd. Display device
US8987047B2 (en) 2012-04-02 2015-03-24 Samsung Display Co., Ltd. Thin film transistor, thin film transistor array panel including the same, and method of manufacturing the same
CN103426809B (zh) * 2012-05-18 2016-02-03 中芯国际集成电路制造(上海)有限公司 一种基于自对准双图案的半导体制造方法
JPWO2014010310A1 (ja) 2012-07-10 2016-06-20 シャープ株式会社 半導体素子の製造方法
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
TWI539626B (zh) 2012-12-21 2016-06-21 鴻海精密工業股份有限公司 發光二極體及其製造方法
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9437443B2 (en) * 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
KR20150012540A (ko) 2013-07-25 2015-02-04 삼성디스플레이 주식회사 유기발광표시장치의 제조방법.
US9171703B2 (en) 2013-12-20 2015-10-27 Seagate Technology Llc Apparatus with sidewall protection for features
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9209038B2 (en) 2014-05-02 2015-12-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9285673B2 (en) 2014-07-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Assist feature for a photolithographic process
US9515156B2 (en) 2014-10-17 2016-12-06 Lam Research Corporation Air gap spacer integration for improved fin device performance
TWI633596B (zh) 2015-01-14 2018-08-21 聯華電子股份有限公司 形成溝渠的方法
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
TWI625784B (zh) 2015-04-02 2018-06-01 東京威力科創股份有限公司 藉由雙頻率電容耦合式電漿利用極紫外線光阻劑之溝槽與孔的圖案化
WO2016172116A1 (en) * 2015-04-20 2016-10-27 Board Of Regents, The University Of Texas System Fabricating large area multi-tier nanostructures
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10049892B2 (en) 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
US9523148B1 (en) * 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
TWI661466B (zh) * 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
US9824893B1 (en) * 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9997369B2 (en) * 2016-09-27 2018-06-12 International Business Machines Corporation Margin for fin cut using self-aligned triple patterning
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
CN108321079B (zh) * 2017-01-16 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
JP2018160556A (ja) 2017-03-23 2018-10-11 三菱電機株式会社 薄膜トランジスタ基板、薄膜トランジスタ基板の製造方法、液晶表示装置、および薄膜トランジスタ
US11075079B2 (en) * 2017-11-21 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Directional deposition for semiconductor fabrication
CN111771264A (zh) * 2018-01-30 2020-10-13 朗姆研究公司 在图案化中的氧化锡心轴
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10867804B2 (en) * 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber

Also Published As

Publication number Publication date
US20190237341A1 (en) 2019-08-01
WO2019152362A1 (en) 2019-08-08
US11355353B2 (en) 2022-06-07
KR20210070398A (ko) 2021-06-14
US20210265173A1 (en) 2021-08-26
KR20200106087A (ko) 2020-09-10
JP2021511673A (ja) 2021-05-06
CN111771264A (zh) 2020-10-13
KR102604345B1 (ko) 2023-11-20
CN113675081A (zh) 2021-11-19
JP7334166B2 (ja) 2023-08-28

Similar Documents

Publication Publication Date Title
KR102630349B1 (ko) 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
KR102580008B1 (ko) 반도체 디바이스 제작에서의 주석 옥사이드 막들
KR102643106B1 (ko) 교번하는 에칭 및 패시베이션 프로세스
TWI838003B (zh) 半導體裝置製造中之氧化錫膜

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant