WO2010134176A1 - 凹凸パターン形成方法 - Google Patents
凹凸パターン形成方法 Download PDFInfo
- Publication number
- WO2010134176A1 WO2010134176A1 PCT/JP2009/059287 JP2009059287W WO2010134176A1 WO 2010134176 A1 WO2010134176 A1 WO 2010134176A1 JP 2009059287 W JP2009059287 W JP 2009059287W WO 2010134176 A1 WO2010134176 A1 WO 2010134176A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- layer
- forming
- pattern
- etching
- concavo
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 156
- 238000005530 etching Methods 0.000 claims abstract description 118
- 229910052751 metal Inorganic materials 0.000 claims abstract description 35
- 239000002184 metal Substances 0.000 claims abstract description 34
- 230000015572 biosynthetic process Effects 0.000 claims description 68
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical group O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 64
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 55
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 55
- 239000000758 substrate Substances 0.000 claims description 39
- 230000007423 decrease Effects 0.000 claims description 6
- 239000000463 material Substances 0.000 abstract description 48
- 229910052752 metalloid Inorganic materials 0.000 abstract 1
- 238000009966 trimming Methods 0.000 description 58
- 239000010408 film Substances 0.000 description 44
- 239000007789 gas Substances 0.000 description 34
- 230000008569 process Effects 0.000 description 31
- 238000000231 atomic layer deposition Methods 0.000 description 28
- 230000005291 magnetic effect Effects 0.000 description 26
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 24
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 21
- 229910052710 silicon Inorganic materials 0.000 description 21
- 239000010703 silicon Substances 0.000 description 21
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 16
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 15
- 229910052799 carbon Inorganic materials 0.000 description 15
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 12
- 229910052760 oxygen Inorganic materials 0.000 description 12
- 239000001301 oxygen Substances 0.000 description 12
- 238000012546 transfer Methods 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 11
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 11
- 239000000203 mixture Substances 0.000 description 10
- 238000004544 sputter deposition Methods 0.000 description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 8
- 229910052759 nickel Inorganic materials 0.000 description 8
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 8
- 229910052782 aluminium Inorganic materials 0.000 description 7
- 238000003486 chemical etching Methods 0.000 description 7
- 238000009826 distribution Methods 0.000 description 7
- 239000011521 glass Substances 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 6
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 5
- -1 aluminum compound Chemical class 0.000 description 5
- 230000005294 ferromagnetic effect Effects 0.000 description 5
- 230000014509 gene expression Effects 0.000 description 5
- 238000001459 lithography Methods 0.000 description 5
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 5
- 229910001936 tantalum oxide Inorganic materials 0.000 description 5
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 4
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 229910017052 cobalt Inorganic materials 0.000 description 4
- 239000010941 cobalt Substances 0.000 description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 239000011162 core material Substances 0.000 description 4
- 238000001312 dry etching Methods 0.000 description 4
- 229910052741 iridium Inorganic materials 0.000 description 4
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 4
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 229910052763 palladium Inorganic materials 0.000 description 4
- 229910052697 platinum Inorganic materials 0.000 description 4
- 229910052707 ruthenium Inorganic materials 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 238000000992 sputter etching Methods 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 3
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 3
- BUGBHKTXTAQXES-UHFFFAOYSA-N Selenium Chemical compound [Se] BUGBHKTXTAQXES-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- 229910052787 antimony Inorganic materials 0.000 description 3
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 3
- 229910052785 arsenic Inorganic materials 0.000 description 3
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 230000007261 regionalization Effects 0.000 description 3
- 229910052711 selenium Inorganic materials 0.000 description 3
- 239000011669 selenium Substances 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- 229910052714 tellurium Inorganic materials 0.000 description 3
- PORWMNRCUJJQNO-UHFFFAOYSA-N tellurium atom Chemical compound [Te] PORWMNRCUJJQNO-UHFFFAOYSA-N 0.000 description 3
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- 229910002601 GaN Inorganic materials 0.000 description 2
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 2
- WGLPBDUCMAPZCE-UHFFFAOYSA-N Trioxochromium Chemical compound O=[Cr](=O)=O WGLPBDUCMAPZCE-UHFFFAOYSA-N 0.000 description 2
- NJCQVAKYBOCUCS-UHFFFAOYSA-N [C].F Chemical compound [C].F NJCQVAKYBOCUCS-UHFFFAOYSA-N 0.000 description 2
- XHCLAFWTIXFWPH-UHFFFAOYSA-N [O-2].[O-2].[O-2].[O-2].[O-2].[V+5].[V+5] Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[V+5].[V+5] XHCLAFWTIXFWPH-UHFFFAOYSA-N 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 description 2
- CFJRGWXELQQLSA-UHFFFAOYSA-N azanylidyneniobium Chemical compound [Nb]#N CFJRGWXELQQLSA-UHFFFAOYSA-N 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 150000001721 carbon Chemical class 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 229910000423 chromium oxide Inorganic materials 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- 229910003437 indium oxide Inorganic materials 0.000 description 2
- PJXISJQVUVHSOJ-UHFFFAOYSA-N indium(iii) oxide Chemical compound [O-2].[O-2].[O-2].[In+3].[In+3] PJXISJQVUVHSOJ-UHFFFAOYSA-N 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 229910000484 niobium oxide Inorganic materials 0.000 description 2
- URLJKFSTXLNXLG-UHFFFAOYSA-N niobium(5+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Nb+5].[Nb+5] URLJKFSTXLNXLG-UHFFFAOYSA-N 0.000 description 2
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 2
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 230000001681 protective effect Effects 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 150000003377 silicon compounds Chemical class 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 description 2
- 229910001887 tin oxide Inorganic materials 0.000 description 2
- 229910001935 vanadium oxide Inorganic materials 0.000 description 2
- 239000011787 zinc oxide Substances 0.000 description 2
- ZVWKZXLXHLZXLS-UHFFFAOYSA-N zirconium nitride Chemical compound [Zr]#N ZVWKZXLXHLZXLS-UHFFFAOYSA-N 0.000 description 2
- 229910001928 zirconium oxide Inorganic materials 0.000 description 2
- BMYNFMYTOJXKLE-UHFFFAOYSA-N 3-azaniumyl-2-hydroxypropanoate Chemical compound NCC(O)C(O)=O BMYNFMYTOJXKLE-UHFFFAOYSA-N 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- 229910016569 AlF 3 Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 239000005354 aluminosilicate glass Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000005323 electroforming Methods 0.000 description 1
- 239000000835 fiber Substances 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 229910052744 lithium Inorganic materials 0.000 description 1
- 239000000314 lubricant Substances 0.000 description 1
- 239000002052 molecular layer Substances 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000003825 pressing Methods 0.000 description 1
- 238000012827 research and development Methods 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 239000005361 soda-lime glass Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 1
- 239000003039 volatile agent Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- G—PHYSICS
- G11—INFORMATION STORAGE
- G11B—INFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
- G11B5/00—Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
- G11B5/84—Processes or apparatus specially adapted for manufacturing record carriers
- G11B5/855—Coating only part of a support with a magnetic layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
- H01L21/31122—Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
Definitions
- the present invention relates to a method for forming an uneven pattern.
- Dry etching has both a chemical etching component using chemical reaction and a physical etching component using particle collision energy.
- the chemical etching component isotropically etched and the physical etching component is anisotropically etched.
- high anisotropy cannot be obtained. For this reason, it is important to suppress chemical etching components in order to obtain high anisotropy.
- the formation layer for forming the concavo-convex pattern is formed of a single material, so that the groove side surface and the groove bottom surface of the formation layer are formed of the same material. For this reason, the same level of chemical etching occurs on the groove side surface and the groove bottom surface, and the etching anisotropy decreases.
- a surface to be etched having a component perpendicular to the etching direction is generated by isotropic etching. On this surface, the influence of physical etching is increased, and etching perpendicular to the etching direction proceeds. , Shoulder falls occur.
- the chemical etching occurs to the same degree on the groove side surface and the groove bottom surface of the formation layer, and the shoulder falls.
- the shoulder drop means that the upper corner of the formation layer is removed and rounded when the bottom surface of the formation layer is removed.
- high rectangularity is preferred for an etching mask shape, an imprint pattern shape in manufacturing a semiconductor device, and an etching mask shape in MTJ processing.
- the shoulder drop occurs, there arises a problem that the rectangularity of the uneven pattern cannot be obtained.
- the formation layer Since the formation layer is formed on the edge of the guide pattern having different film stress, an internal stress is generated at the interface between the formation layer and the guide pattern. Due to the generation of internal stress, the formation layer is warped after the guide pattern is removed. When warping occurs in the formation layer, there arises a problem that the shape of the formation layer or a pattern obtained by transferring from the formation layer changes. Therefore, it is a problem of side wall transfer that the formation layer is warped due to the stress generated at the interface between the guide pattern and the formation layer.
- the conventional side wall transfer method has a problem that the convex part of the concave / convex pattern drops.
- the present invention provides a method for forming a concavo-convex pattern that can suppress the shoulder drop of the concavo-convex pattern as much as possible.
- corrugated pattern formation method by the 1st aspect of this invention contains the process of forming the guide pattern which has a convex part on a base material, and at least 1 element selected from a 1st metal element and a similar metal element Forming a formation layer having a laminated structure in which a first layer and a second layer containing a second metal element different from the first metal element are laminated on the guide pattern; and Etching the substrate, the step of selectively leaving the formation layer only on the side of the convex portion, the step of removing the guide pattern, and the etching of the base material using the remaining formation layer as a mask And a step of forming a concavo-convex pattern on the substrate.
- the imprint stamper manufacturing method according to the second aspect of the present invention is characterized by including a step of forming an imprint stamper using the uneven pattern forming method according to the first aspect.
- a method for manufacturing a magnetic recording medium according to the third aspect of the present invention includes a step of forming a magnetic recording medium using an imprint stamper manufactured using the method for manufacturing an imprint stamper according to the second aspect. It is characterized by having.
- FIG. 1A to FIG. 1C are process cross-sectional views of the concavo-convex pattern forming method according to the first embodiment.
- FIGS. 2A to 2C are process cross-sectional views of the concavo-convex pattern forming method according to the first embodiment.
- FIGS. 3A to 3C are process cross-sectional views of the concavo-convex pattern forming method according to the first embodiment.
- FIG. 7A to 7D are process cross-sectional views illustrating a method for manufacturing a magnetic recording medium.
- FIG. 8A to FIG. 8F are process cross-sectional views illustrating a method for manufacturing a magnetic recording medium.
- 9A to 9D are process cross-sectional views illustrating another method for manufacturing a magnetic recording medium.
- FIG. 10A to FIG. 10C are process cross-sectional views of the concavo-convex pattern forming method according to the second embodiment.
- FIG. 13A to 13C are process cross-sectional views of the concavo-convex pattern forming method according to the fourth embodiment.
- FIG. 14A to FIG. 14C are process cross-sectional views of the concavo-convex pattern forming method according to the fourth embodiment.
- FIGS. 15A to 15B are process cross-sectional views of the concavo-convex pattern forming method according to the fourth embodiment. The figure which shows the main element distribution in the layer thickness direction of the formation layer formed by the formation method of 4th Embodiment.
- FIG. 17A to FIG. 17C are process cross-sectional views of the concavo-convex pattern forming method according to the fifth embodiment.
- FIG. 18C are process cross-sectional views of the concavo-convex pattern forming method according to the fifth embodiment.
- FIG. 19A to FIG. 19B are process cross-sectional views of the concavo-convex pattern forming method according to the fifth embodiment. The figure which shows the relationship between the thickness of the upper layer of a formation layer, and the anisotropy of the whole trimming.
- FIGS. 1-10 A method for forming a concavo-convex pattern according to the first embodiment of the present invention is shown in FIGS.
- a base material on which an uneven pattern is formed is prepared.
- the base material include a silicon substrate itself or a substrate in which a base layer 4 made of, for example, amorphous silicon is formed on the silicon substrate 2. In the present embodiment, the latter base material is used.
- a guide pattern (core material) 6 in which convex portions and concave portions are arranged in a pattern on the substrate is formed. This guide pattern 6 serves as a core material of a layer for forming an uneven pattern.
- a carbon layer is formed on the underlayer 4 by a CVD (Chemical Vapor Deposition) method or a sputtering method, a resist is applied on the carbon layer, and then the resist is baked. Form a layer. Then, this resist layer is patterned by a lithography technique to form a resist pattern. Using the resist pattern as a mask, the carbon layer is patterned by dry etching to form a guide pattern made of carbon. Subsequently, after removing the resist pattern, an oxygen ashing method is used to narrow the line width of the guide pattern made of carbon.
- CVD Chemical Vapor Deposition
- a sputtering method a resist is applied on the carbon layer, and then the resist is baked. Form a layer. Then, this resist layer is patterned by a lithography technique to form a resist pattern. Using the resist pattern as a mask, the carbon layer is patterned by dry etching to form a guide pattern made of carbon. Subsequently, after removing the resist
- the shape of the guide pattern for example, a shape having a line width of 5 nm, a pitch of 20 nm, and a height of 10 nm can be given.
- Other specific examples of the guide pattern forming method include, for example, a method of patterning an antireflection film applied by spin coating by a lithography technique, a method of forming a resin pattern by an imprint method, or a pattern by self-organization. The method of forming etc. are mentioned.
- the lower layer 8 containing at least one etching resistant element selected from a metal element or a similar metal element for forming a concavo-convex pattern so as to cover the guide pattern 6.
- the lower layer 8 is formed using a method such as an ALD (Atomic Layer Deposition) method, a CVD method, or a sputtering method. As described later, it is preferable to use the ALD method.
- ALD Atomic Layer Deposition
- CVD method chemical vapor deposition
- sputtering method As described later, it is preferable to use the ALD method.
- a silicon oxide layer having a thickness of, for example, 4 nm is formed as the lower layer 8 so as to cover the guide pattern 6 by ALD using trisdimethylaminosilane or bisdimethylaminosilane as a precursor.
- an upper layer containing a metal element for forming an uneven pattern by an ALD method using a precursor such as trimethylaluminum on the lower silicon oxide layer 8 For example, an aluminum oxide layer 10 having a thickness of 1 nm is formed.
- tantalum is used for the lower layer and aluminum oxide is used for the upper layer. That is, when the lower layer contains a metal, it is preferably a metal different from the metal contained in the upper layer.
- FIG. 5 shows the distribution of main elements in the layer thickness direction of the formation layer immediately after forming the lower layer 8 and the upper layer 10. As can be seen from FIG. 5, in the vicinity of the interface between the lower layer 8 and the upper layer 10, the distribution of the main element has a gradient due to the formation of a mixed layer by molecular diffusion.
- the upper layer trimming means selectively removing the upper layer 10 for forming the uneven pattern.
- the aluminum oxide layer 10 is formed by using anisotropic etching, for example, argon ion milling, or RIE (Reactive Ion ching Etching) on a parallel plate using, for example, trifluoromethane gas or trifluoromethane gas.
- the aluminum oxide layer 10a is left only on the side portion of the silicon oxide layer 8 which is selectively removed to expose the upper surface of the silicon oxide layer 8, that is, the upper surface of the convex portion and the upper surface of the groove portion of the silicon oxide layer 8. .
- the lower layer trimming means that a part of the lower layer 8 for forming the uneven pattern is selectively removed.
- the silicon oxide layer in the groove is selectively removed from the lower layer 8 for forming the concavo-convex pattern exposed by the upper layer trimming, and the silicon oxide layer 8a in the convex is selectively left.
- the removing method for example, a method of selectively removing the lower layer 8 for forming the uneven pattern by RIE on a parallel plate using trifluoromethane gas or tetrafluoromethane gas can be mentioned.
- the RIE conditions for example, the gas composition is trifluoromethane, the gas pressure is 1 Pa, the bias power is 150 W, and the etching time is 10 seconds.
- the upper layer 10 for forming the uneven pattern is made of aluminum oxide
- the lower layer 8 is made of silicon oxide.
- RIE using trifluoromethane or tetrafluoromethane is performed as the upper layer trimming.
- silicon oxide having low etching resistance in the lower layer trimming is exposed on the bottom surface of the groove portion, but the side surface of the groove portion is covered with aluminum oxide having high etching resistance.
- the etching in the lower layer trimming selectively proceeds on the bottom surface of the groove portion, but hardly proceeds on the side surface of the groove portion, and the shoulder drop on the side surface of the groove portion can be suppressed.
- the formation layer for forming the concavo-convex pattern has different etching resistance due to the main element changing depending on the layer thickness direction.
- the formation layer is formed so that the upper layer side has higher etching resistance and the lower layer side has lower etching resistance.
- the upper layer trimming is performed on the formation layer, a layer thickness difference is generated between the bottom surface of the groove and the side surface of the groove. Due to the generated layer thickness difference, the etching resistance of the bottom surface of the groove portion becomes lower than the side surface of the groove portion. Etching is likely to proceed on the bottom surface of the groove portion having low resistance, but etching is difficult to proceed on the side surface of the groove portion having high resistance.
- shoulder drop is suppressed. That is, in this embodiment, the shoulder drop is suppressed by utilizing the etching resistant material selectivity.
- the above-mentioned shoulder drop suppression is not only due to the high etching selectivity of the upper layer material and the lower layer material in the lower layer etching, but also because the upper layer has a high etching angle dependency, and the upper layer is thin with high film thickness controllability. It can be realized by forming.
- RIE a method for etching a fine pattern
- gas molecules or radicals in a highly reactive state cause a chemical reaction mainly with the main element of the material to be etched, and change into a highly volatile compound and volatilize. This is one of the main factors for the progress of etching. Therefore, the progress of etching varies greatly depending on the reactivity with gas molecules and the volatility of the generated compound. Therefore, by selecting the gas species and the main element of the material to be etched so as to obtain the difference between the reactivity and the volatility, it is possible to increase the material selectivity of the etching resistance of the material to be etched.
- silicon oxide is used for the lower layer 8 and aluminum oxide is used for the upper layer.
- these materials will be described from the viewpoint of material selectivity for etching resistance.
- RIE of silicon oxide with trifluoromethane or tetrafluoromethane etching proceeds as fluorine and silicon as the main element of the lower layer 8 are bonded and volatilized as shown in the following formula (1).
- the upper layer 10 is formed of aluminum oxide, and RIE is performed using trifluoromethane or tetrafluoromethane, an etching resistant material due to the difference in volatility of the above compounds Selectivity is obtained.
- the etching angle dependency of the upper layer 10 will be described.
- the upper layer formed on the side portion of the guide pattern is left, and the upper layer formed on the bottom surface of the groove is selectively etched.
- the upper layer formed on the side of the guide pattern is etched at a substantially horizontal angle with respect to the film surface, while the upper layer formed on the bottom surface of the groove is etched at a substantially vertical angle with respect to the film surface. Therefore, it is desirable that the upper layer 10 has high etching resistance against etching at a substantially horizontal angle and low etching resistance against etching at a substantially vertical angle.
- the angle dependency of etching differs depending on the material to be etched. Therefore, it is necessary to select a material having a high etching angle dependency for the upper layer.
- Etching angle dependence is obtained with aluminum oxide.
- the anisotropy of the entire trimming is high.
- trifluoromethane gas or tetrafluoromethane gas can be used as the RIE etching gas in both the upper layer trimming and the lower layer trimming.
- both trimmings can be performed continuously without changing the etching gas. As a result, it contributes to cost reduction.
- the layer thicknesses of the upper layer 10 and the lower layer 8 for forming the uneven pattern will be described.
- the upper layer 10 formed on the side wall of the groove portion of the concavo-convex pattern needs to remain after both the upper layer trimming and the lower layer trimming steps. That is, the film thickness d SP of the upper layer 10 needs to be thicker than the length of the side etching in both the upper layer trimming process and the lower layer trimming process.
- the layer thicknesses of the upper layer 10 and the lower layer 8 are determined from the etching anisotropy and the etching selectivity depending on the material to be etched.
- the layer thickness lower limit d SP, min of the upper layer 10 is obtained as follows. A condition is obtained in which the upper layer 10a formed on the side surface of the guide pattern 6 remains when the upper layer 10 and the lower layer 8 are trimmed.
- the layer thickness d SP of the upper layer 10 needs to be thicker than the length that is side-etched by trimming the upper layer 10 and the lower layer 8.
- the condition that the upper layer 10a remains is expressed by the following equation (3).
- d SP is the layer thickness (nm) of the upper layer 10
- L SP and BT are side etching lengths (nm) of the upper layer 10 in the upper layer trimming process
- L SP and SWE are the upper layer in the lower layer trimming process.
- the side etching length (nm) is shown.
- the upper layer side etching lengths L SP and BT in the upper layer trimming step are expressed by the following equation (4).
- r SP, Side, BT are the upper layer lateral etching rate (nm / s) in the upper layer trimming process
- t BT is the upper layer trimming time (s)
- r SP, Bottom, BT are in the upper layer trimming process.
- a BT represents the etching anisotropy in the upper trimming step.
- the upper side etching lengths LSP and SWE in the lower layer trimming step are expressed by the following equation (5).
- r SP, Side, SWE are the upper layer lateral etching rate (nm / s) in the lower layer trimming process
- t SWE is the lower layer trimming time (s)
- r SW, Bottom, SWE are the groove portions in the lower layer trimming process.
- the vertical etching rate (nm / s) of the lower layer formed on the bottom surface of the substrate and ASWE represent the etching anisotropy in the lower layer trimming step.
- d SP ′ represents the layer thickness (nm) of the upper layer 10 at the end of trimming of the lower layer 8
- hp represents the design pattern width (nm).
- the thickness d SP ′ of the upper layer 10 after the lower layer trimming is obtained by subtracting the side etching length from the initial layer thickness, and is expressed by the following equation (7).
- the thickness of the lower layer 8 is expressed by the following equation (10) from the equation (7). .
- the thickness d SP of the upper layer 10 is the minimum value d SP, min .
- the minimum value d SP, min of the thickness of the upper layer 10 is It is expressed by equation (11).
- the layer thickness of the lower layer 8 is expressed by the following (12).
- etching anisotropy A of the entire trimming that includes both the upper layer trimming and the lower layer trimming is obtained.
- both the upper layer 10 and the lower layer 8 are etched in the vertical direction.
- the film thickness is reduced laterally in the upper layer 10. From the ratio of the etching lengths in the vertical direction and the horizontal direction, the etching anisotropy A of the entire trimming is From the expressions (7), (12) and (13), the etching anisotropy A of the entire trimming is It is expressed.
- FIG. 6 shows the required film thickness of the upper layer 10 when the width of the convex portion of the concave / convex pattern is 5 (nm).
- the horizontal axis represents the etching anisotropy A BT in the upper trimming step
- the vertical axis represents the etching anisotropy A SWE in the lower trimming step.
- the required film thickness of the upper layer 10 of the formation layer for forming the concavo-convex pattern is about 0.4 nm.
- the actual thickness of the upper layer 10 is a thickness obtained by adding an etching margin to the required thickness.
- the relationship between the upper layer thickness and the etching anisotropy of the entire trimming is obtained, and the relationship is shown in FIG.
- the horizontal axis indicates the upper layer thickness
- the vertical axis indicates the etching anisotropy of the entire trimming.
- the upper layer 10 is thinner, the etching anisotropy of the entire trimming is improved. Therefore, it is desirable that the upper layer thickness is thin in a range greater than the required thickness. Further, by improving the anisotropy, it is possible to suppress the fluctuation of the width of the convex portion of the concavo-convex pattern due to the fluctuation of the anisotropy.
- the film thickness of the upper layer 10 was 1 nm and the film thickness of the lower layer 8 was 4.5 nm.
- Silicon oxide and aluminum oxide are materials that can be formed by ALD. Therefore, it is desirable to form an aluminum oxide layer with a thickness of 1 nm as the upper layer 10 and a silicon oxide layer with a thickness of 4.5 nm as the lower layer 8 by ALD. Further, as will be described later, from the viewpoint of stress, the ALD method is considered to be advantageous for forming the formation layer.
- the upper layer 10 is formed of aluminum oxide, and RIE using trifluoromethane or tetrafluoromethane is performed, the etching resistance due to the difference in volatility of the above compounds
- a layer containing a metal group element such as boron, silicon, germanium, arsenic, antimony, selenium, or tellurium as a main element species is used, but a layer containing silicon as a main element species It is preferable to use, for example, silicon, silicon oxide, silicon nitride, or a mixture thereof.
- a layer containing a metal element as a main element for example, aluminum oxide, titanium oxide, tantalum oxide, platinum, ruthenium, iridium, palladium, copper, cobalt, nickel, or a mixture thereof is used.
- the ALD method is a film forming method in which molecules are deposited for each molecular layer. Therefore, since the film thickness can be controlled at the atomic layer level, the ALD method is suitable as an upper layer forming method in the case of forming an uneven pattern with a width of 10 nm or less.
- the upper layer 10a and the lower layer 8a are made of materials having different film stresses, that is, aluminum oxide and silicon oxide, as the formation layer for forming the uneven pattern. It becomes possible to balance the lower layer film stress and suppress warping.
- the upper layer is compressive stress with respect to the guide pattern 6, it is preferable to select a combination of materials so that the lower layer becomes tensile stress, and when the upper layer is tensile stress, the lower layer becomes compressive stress.
- a layer containing a metal group element such as boron, silicon, germanium, arsenic, antimony, selenium, or tellurium as a main element or a tantalum layer is used as a lower layer, but a layer containing silicon as a main element is used.
- silicon, silicon oxide, silicon nitride, or a mixture thereof is used.
- a layer containing a metal element as a main element for example, aluminum oxide, titanium oxide, tantalum oxide, platinum, ruthenium, iridium, palladium, copper, cobalt, nickel, or a mixture thereof is used.
- the effect of suppressing this warp is not only the structure in which the composition of the layer forming the uneven pattern changes discontinuously in the layer thickness direction as in this embodiment, but also the composition as shown in the third embodiment to be described later. An effect can be obtained even when is changed stepwise.
- the upper surfaces of the remaining silicon oxide layer 8a and aluminum oxide layer 10a are substantially in the same plane.
- the present inventors consider as follows. The silicon oxide layer 8a having a high etching rate is first etched to leave an angular thin aluminum oxide layer 10a having a large exposed area. Then, the rectangular thin aluminum oxide layer 10a is also etched, and these are repeated, so that the upper surfaces of the remaining silicon oxide layer 8a and aluminum oxide layer 10a become substantially the same surface.
- the upper layer 10 for forming the uneven pattern is made of aluminum oxide
- the lower layer 8 is made of silicon oxide.
- a multilayer structure in which an upper layer made of a silicon-free material is formed on a lower layer made of a silicon compound forms an uneven pattern.
- This is preferable as a forming layer.
- a layer containing a metal group element such as boron, silicon, germanium, arsenic, antimony, selenium, or tellurium as a main element, or a tantalum layer is used, but silicon is the main element. It is preferable to use a layer including, for example, silicon, silicon oxide, silicon nitride, or a mixture thereof.
- a layer containing a metal element as a main element for example, alumina, titanium oxide, tantalum oxide, platinum, ruthenium, iridium, palladium, copper, cobalt, nickel, or a mixture thereof is used.
- the guide pattern 6 is removed using an oxygen RIE method. Thereby, a formation layer for forming an uneven pattern having a narrower pitch than the guide pattern 6 is obtained.
- the base layer 4 is etched to transfer the concavo-convex pattern to the base layer 4 (see FIG. 3B).
- the rectangularity of the pattern can be enhanced.
- the concavo-convex pattern composed of the lower layer 8a and the upper layer 10a is removed by etching.
- the removal of the concavo-convex pattern is performed by, for example, an RIE method using sulfur hexafluoride gas or hydrobromic acid gas as an etching gas.
- This stamper is used in a method of manufacturing a magnetically processed bit-patterned magnetic recording medium (Magnetic bit-patterned media).
- a master having a concavo-convex pattern 4a provided on the substrate 2 is prepared by the concavo-convex pattern forming method of this embodiment.
- a thin conductive film 16 is formed on the substrate 2 by nickel sputtering or the like so as to cover the concavo-convex pattern 4a.
- the nickel film 18 is sufficiently embedded in the recesses of the concavo-convex pattern 4a by electroforming to form a desired film thickness.
- the nickel film 18 is peeled off from the master made of the concavo-convex pattern 4 a and the substrate 2 to form a stamper 20 made of the conductive film 16 and the nickel film 18.
- a magnetic recording medium substrate in which a magnetic layer 32 to be a recording layer is formed on a substrate 30 and a resist 34 is applied on the magnetic layer 32 is prepared.
- the resist 34 applied on the magnetic recording medium substrate is imprinted using the stamper 20 (see FIG. 8A), and the pattern of the stamper 20 is transferred to the resist 34 (see FIG. 8B). .
- the resist 34 is etched using the pattern transferred to the resist 34 as a mask to form a resist pattern 34a (see FIG. 8C).
- the magnetic layer 32 is ion milled using the resist pattern 34a as a mask (see FIG. 8D).
- the resist pattern 34a is removed by dry etching or a chemical solution to form a discrete magnetic layer 32a (see FIG. 8E).
- a protective film 36 is formed on the entire surface to complete the magnetic recording medium (see FIG. 8F).
- the shape of the substrate on which the pattern is formed using this manufacturing method is not particularly limited, but a disk shape, for example, a silicon wafer is preferable.
- the disk may have notches and orientation flats.
- a glass substrate, an aluminum alloy substrate, a ceramic substrate, a carbon substrate, a compound semiconductor substrate, or the like can be used as the substrate.
- Amorphous glass or crystallized glass can be used for the glass substrate. Examples of the amorphous glass include soda lime glass and aluminosilicate glass. Examples of crystallized glass include lithium-based crystallized glass.
- the ceramic substrate a sintered body mainly composed of aluminum oxide, aluminum nitride, silicon nitride or the like, or a fiber reinforced one of these sintered bodies can be used.
- the compound semiconductor substrate include GaAs and AlGaAs.
- the stamper 20 is manufactured using a method similar to the method shown in FIGS. 7 (a) to 7 (d).
- a concavo-convex processed substrate is produced using an imprint lithography method as follows.
- an imprint resist 41 is applied on the substrate 40.
- the stamper 20 is opposed to the resist 41 on the substrate 40, and the stamper 20 is pressed against the resist 41 by applying pressure, so that the convex pattern on the surface of the stamper 20 is formed on the resist 41. Transfer to the surface. Thereafter, the stamper 20 is removed. As a result, the resist 41 becomes a resist pattern 41a having a concavo-convex pattern (see FIG. 9B).
- the substrate 40a on which the concavo-convex pattern is formed is obtained. Thereafter, the resist pattern 41a is removed (see FIG. 9C).
- a magnetic film 43 made of a material suitable for perpendicular recording is formed on the substrate 40a.
- the magnetic film 43 formed on the convex portion of the substrate 40a becomes the convex magnetic body portion 43a
- the magnetic film formed on the concave portion of the substrate 40a becomes the concave magnetic body portion 43b.
- the magnetic film 43 is preferably a laminated film of a soft magnetic underlayer and a ferromagnetic recording layer.
- a protective film 45 made of carbon is provided on the magnetic film 43, and further a lubricant is applied to manufacture a magnetic recording medium.
- the fine concavo-convex pattern obtained by the concavo-convex pattern forming method of the present embodiment is suitable for use as a master disk for an imprint stamper because shoulder drop is suppressed.
- the concave / convex pattern forming method of the second embodiment is a method of creating a pattern with a smaller shoulder drop by preferentially forming a film on the side of a guide pattern to be left as a mask.
- a base material in which a base layer 4 made of, for example, amorphous silicon is formed on a silicon substrate 2 is prepared, and convex portions and concave portions are arranged in a pattern on the base layer 4.
- the guide pattern 6 made of carbon is formed.
- the substrate is rotated at an incident angle of 45 degrees or less with respect to the substrate, and the formation layer for forming the concavo-convex pattern is formed.
- a silicon oxide layer is formed as the lower layer 8 (FIG. 10A).
- the lower layer 8 is formed thicker on the side portion of the guide pattern 6 than the upper surface of the convex portion and the bottom surface of the groove portion of the guide pattern 6.
- the aluminum oxide layer is formed as the upper layer 10 for forming the concave / convex pattern while rotating the base at an incident angle of 45 degrees or less with respect to the base. It forms (FIG.10 (b)).
- the guide pattern 6 is etched, and a mask for transferring the concavo-convex pattern to the base layer 4 is formed.
- the upper layer 10 and the lower layer 8 other than the side portion of the guide pattern 6, that is, the upper portion of the guide pattern 6 and the bottom portion of the groove portion are also removed.
- the guide pattern 6 may not be completely removed but may be left.
- the concavo-convex pattern is transferred to the base layer 4 using the same process as the process shown in FIG. 3A of the first embodiment, and the concavo-convex pattern is formed.
- the formation layer formed by using the formation method of this embodiment has a two-layer structure of a silicon oxide layer and an aluminum oxide layer, as in the first embodiment, and further the etching amount of the lower layer 8 and the upper layer 10 Therefore, it is possible to further suppress the shoulder drop of the convex portion of the concave-convex pattern.
- the uneven pattern forming method of the third embodiment is a forming method in which the main element of the formation layer changes stepwise in the layer thickness direction.
- the concavo-convex pattern forming method of this embodiment is formed in the same manner as in the first embodiment until the guide pattern 6 is formed.
- corrugated pattern differs from 1st Embodiment.
- silicon oxide layers and aluminum oxide layers are alternately formed at the atomic layer level using, for example, the ALD method as the formation layers for forming the uneven pattern.
- the number of atomic layers of the silicon oxide layer decreases as the distance from the guide pattern 6 decreases, and the number of atomic layers of the aluminum oxide layer increases as the distance from the guide pattern 6 increases. That is, as shown in FIG.
- regions where silicon oxide layers and aluminum oxide layers are alternately formed are formed in the order of A region, B region, C region, D region, and E region. And At this time, in the region A closest to the guide pattern 6, the number of atomic layers of the silicon oxide layer and the aluminum oxide layer is 4: 0, the region B is 3: 1, the region C is 2: 2, and the region D is 1: 3 and 0: 4 in the E region.
- FIG. 12 shows the relationship between the number of atomic layers of the silicon oxide layer and the aluminum oxide layer and the layer thickness direction at this time.
- the etching-resistant material that is, silicon and aluminum change in a step shape in the thickness direction of the formation layer. Silicon decreases in a stepped manner as the distance from the guide pattern 6 increases, and aluminum increases in a stepwise shape as the distance from the guide pattern 6 increases.
- the formation layer formed using the formation method of the present embodiment is formed by alternately forming the silicon oxide layer and the aluminum oxide layer at the atomic layer level, and the atomic layer of the silicon oxide layer.
- the number decreases as the distance from the guide pattern 6 increases, and the number of atomic layers of the aluminum oxide layer increases as the distance from the guide pattern 6 increases. Therefore, as in the first embodiment, the shoulder of the convex portion of the uneven pattern Dropping and warping can be suppressed as much as possible.
- the change of the main element type with respect to the layer thickness direction of the formation layer may be continuous.
- the concavo-convex pattern forming method of the fourth embodiment is a forming method that prevents the formation layer from retreating when the guide pattern is removed.
- the uneven pattern forming method of the present embodiment is formed in the same manner as in the first embodiment until the guide pattern 6 is formed (FIG. 13A).
- the guide pattern (core material) 6 is formed by forming a carbon layer on the underlayer 4 using a CVD method or a sputtering method, patterning the carbon layer using a resist pattern by a lithography technique, It was formed by thinning (slimming) the line width by etching. Note that the carbon film may be patterned after the resist pattern is slimmed using a lithography technique.
- the guide pattern has a size of, for example, a line width of 5 nm, a pitch of 20 nm, and a height of 10 nm.
- ⁇ Formed using a method such as ALD, CVD, or sputtering.
- 1 nm of aluminum oxide is formed as the lower layer 12 by ALD.
- the lower layer 12 in addition to aluminum oxide, titanium oxide, tantalum oxide, tin oxide, chromium oxide, zirconium oxide, yttrium oxide, niobium oxide, indium oxide, lanthanum oxide, hafnium oxide, zinc oxide, or vanadium oxide are used.
- An oxide such as These materials can be formed by the ALD method without using oxygen plasma.
- a nitride such as aluminum nitride, tantalum nitride, niobium nitride, titanium nitride, molybdenum nitride, zirconium nitride, hafnium nitride, gallium nitride, or silicon nitride may be used. These nitride layers can be formed using the ALD method. In addition, a layer containing a metal element as a main element, for example, platinum, ruthenium, iridium, palladium, copper, cobalt, nickel, or a mixture thereof may be used. These metal layers can be formed using an ALD method. Next, as shown in FIG.
- an intermediate layer 13 is formed using a method such as an ALD method, a CVD method, or a sputtering method so as to cover the lower layer 12.
- a method such as an ALD method, a CVD method, or a sputtering method so as to cover the lower layer 12.
- 3 nm of silicon oxide is formed as the intermediate layer 13 by using the ALD method.
- the upper layer 14 is formed using a method such as an ALD method, a CVD method, or a sputtering method.
- a method such as an ALD method, a CVD method, or a sputtering method.
- 1 nm of aluminum oxide is formed as the upper layer 14 using the ALD method.
- the upper layer 14 in addition to aluminum oxide, titanium oxide, tantalum oxide, tin oxide, chromium oxide, zirconium oxide, yttrium oxide, niobium oxide, indium oxide, lanthanum oxide, harnium oxide, zinc oxide, vanadium oxide, etc.
- the oxide may be used. These materials can be formed by the ALD method without using oxygen plasma.
- a nitride such as aluminum nitride, tantalum nitride, niobium nitride, titanium nitride, molybdenum nitride, zirconium nitride, hafnium nitride, gallium nitride, or silicon nitride may be used. These nitride layers can be formed using the ALD method.
- the lower layer 12 is formed of aluminum oxide
- the middle layer 13 is formed of silicon oxide
- the upper layer is formed of aluminum oxide.
- the lower layer 12 is made of tantalum nitride
- the middle layer 13 is made of silicon oxide
- the upper layer 14 is made of aluminum oxide
- the lower layer 12 is made of titanium nitride
- the middle layer 13 is made of silicon oxide
- the upper layer 14 is made of There is a form formed with aluminum oxide.
- the upper layer 14 made of aluminum oxide is trimmed by etching. That is, by selectively removing the aluminum oxide layer 14 on the upper surface of the guide pattern 6, the silicon oxide layer 13 on the upper surface of the guide pattern 6 is exposed and the aluminum oxide layer 14 is formed only on the side of the guide pattern 6. Is left behind.
- the silicon oxide layer 13 on the upper surface of the guide pattern 6 is exposed by selectively removing the aluminum oxide layer 14 on the upper surface of the guide pattern 6 by, for example, argon ion milling. Alternatively, it is carried out by RIE using a carbon fluoride gas or a hydrogen fluoride carbon gas.
- the middle layer 13 made of silicon oxide is trimmed by etching. That is, by selectively removing the silicon oxide layer 13 on the upper surface of the guide pattern 6, the aluminum oxide layer 12 on the upper surface of the guide pattern 6 is exposed, and the silicon oxide layer 13 is formed only on the side portion of the guide pattern 6. Is left behind. Etching is performed by RIE using a fluorocarbon-based gas or a hydrofluorinated carbon-based gas.
- the lower layer 12 made of aluminum oxide is trimmed by etching.
- the aluminum oxide layer 12 on the upper surface of the guide pattern 6 is selectively removed, and the aluminum oxide layer 12 is left only on the side of the guide pattern 6.
- Etching is performed by RIE or the like using a mixed gas in which argon, oxygen, or the like is appropriately added mainly with a fluorocarbon gas, a hydrofluoric carbon gas, or the like.
- the guide pattern 6 made of carbon is removed by etching or ashing using oxygen plasma, so that a concavo-convex pattern forming layer made of a lower layer, a middle layer, and an upper layer is left.
- an uneven pattern forming layer composed of a lower layer, a middle layer, and an upper layer is formed.
- the line width is 5 nm
- the pitch is 10 nm
- the height is 10 nm.
- the pitch is larger than the guide pattern 6 having the line width of 5 nm, the pitch of 20 nm, and the height of 10 nm.
- the concavo-convex pattern forming layer having a narrower pitch than the guide pattern 6 can be obtained.
- the guide pattern is protected by oxygen plasma by forming aluminum oxide having oxygen plasma resistance as the lower layer 12 on the guide pattern, the guide pattern is prevented from retreating by oxygen plasma in the forming layer forming step. Therefore, a film forming method using oxygen plasma for forming the intermediate layer 13 is possible. Increased process margin contributes to cost reduction.
- FIG. 16 shows the density distribution of the main elements of the concavo-convex pattern forming layer (mask) thus formed.
- the main element of the lower layer 12 is aluminum
- the main element of the middle layer 13 is silicon
- the main element of the upper layer 14 is aluminum.
- the underlying layer 4 is patterned using this uneven pattern forming layer as a mask to form an uneven pattern.
- an imprint stamper can be formed in the same manner as described in the first embodiment.
- the metal element included in the lower layer 12 is the same as the metal element included in the upper layer 14, but may be a different metal element.
- the middle layer 13 may further contain a metal element instead of silicon, but the metal element contained in the middle layer 13 is preferably different from the metal element contained in the lower layer 12 and the upper layer 14.
- FIGS. 17 (a) to 19 (b) A concave / convex pattern forming method according to a fifth embodiment of the present invention will be described with reference to FIGS. 17 (a) to 19 (b).
- the concavo-convex pattern forming method of this embodiment is used to form MTJ (Magnetic Tunnel Junction).
- the MTJ film 40 having the ferromagnetic layer 53 is prepared.
- a hard mask layer 55 made of, for example, tantalum having a thickness of 50 nm is formed on the second ferromagnetic layer 53 of the MTJ film 50.
- a guide pattern (core material) 56 made of carbon is formed on the hard mask layer 55.
- the guide pattern 56 is formed using the method described in the first to fourth embodiments.
- the guide pattern 56 includes a step of 60 nm, for example, having an end surface 56a substantially orthogonal to the upper surface of the hard mask layer 55. This step is formed by etching with oxygen. Therefore, the guide pattern 56 covers a part of the hard mask layer 55, but the other part of the hard mask layer 55 is exposed.
- a lower layer 58 is formed so as to cover the guide pattern 56 and the exposed hard mask layer 55.
- the lower layer 58 is formed so as to cover the end surface 56 a of the guide pattern 56.
- the lower layer 58 is made of, for example, silicon oxide, has a layer thickness of, for example, 14.4 nm, and is formed by a method such as an ALD method, a CVD method, or a sputtering method.
- the upper layer 60 is formed by a method such as an ALD method, a CVD method, or a sputtering method.
- a method such as an ALD method, a CVD method, or a sputtering method.
- an aluminum oxide layer of 2 nm is formed as the upper layer 60 by using the ALD method.
- the upper aluminum oxide layer 60 is trimmed by etching. That is, the aluminum oxide layer 60 existing on the upper surface of the guide pattern 56 and the upper surface of the hard mask layer 55 is removed to expose the silicon oxide layer 58, and the aluminum oxide layer 60 is left only on the end surface 56a of the guide pattern 56. .
- the aluminum oxide layer 60 is selectively removed by, for example, argon ion milling to selectively expose the silicon oxide layer 58. Alternatively, it is carried out by RIE using a carbon fluoride gas or a hydrogen fluoride carbon gas.
- the lower silicon oxide layer 58 is trimmed by etching. That is, the silicon oxide layer 58 existing on the upper surface of the guide pattern 56 and the upper surface of the hard mask layer 55 is removed, and the silicon oxide layer 58 is left only on the end surface 56 a of the guide pattern 56. Trimming is performed by RIE using a fluorocarbon-based gas or a hydrofluorinated carbon-based gas.
- the guide pattern 56 made of carbon is removed by etching or ashing using oxygen plasma.
- an uneven pattern forming layer (mask) constituted by the lower layer 58 and the upper layer 60 is formed.
- the mask at this time has a size of, for example, a width of 15 nm and a height of 60 nm.
- the aluminum oxide layer 60 in which chemical etching is difficult to proceed is formed as the upper layer 60 outside the lower layer 58 made of silicon oxide, when the lower layer 58 is finally trimmed by etching, the side with respect to the lower layer 58 is formed. Etching hardly progresses, and as a result, a concavo-convex pattern forming layer (mask) having no shoulder drop is formed.
- the hard mask layer 55 is patterned using the concave / convex pattern forming layer formed by the lower layer 58 and the upper layer 60 as a mask, and a line-shaped hard mask 45a made of a hard mask material is formed.
- the concavo-convex pattern forming layer constituted by the lower layer 58 and the upper layer 60 is covered with an insulating film (not shown), and planarized by CMP (Chemical-Mechanical-Polishing) to expose the upper surface of the concavo-convex pattern forming layer.
- a mask (not shown) for etching is formed in a direction substantially perpendicular to the line-shaped hard mask 55a, and the underlying concavo-convex pattern is formed using this mask.
- a rectangular hard mask 55b is formed (FIG. 19A).
- the MTJ film 50 is patterned to form an MTJ 50a (FIG. 19B).
- the MTJ 50a is processed into a desired shape using physical etching such as ion milling.
- shoulder dropping and warping of the convex portions of the concave-convex pattern can be suppressed as much as possible.
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Inorganic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- ing And Chemical Polishing (AREA)
- Manufacturing Of Magnetic Record Carriers (AREA)
Abstract
Description
本発明の第1実施形態による凹凸パターン形成方法を図1(a)乃至図3(c)に示す。
SiO2+4F→SiF4+O2 (1)
Al+3F→AlF3 (2)
本発明の第2実施形態による凹凸パターン形成方法について、図10(a)乃至図10(d)を参照して説明する。この第2実施形態の凹凸パターン形成方法はマスクとして残置するガイドパターンの側部に優先的に膜形成することで、より肩落ちの小さいパターンを作成する方法である。
次に、本発明の第3実施形態による凹凸パターン形成方法について、図11および図12を参照して説明する。この第3実施形態の凹凸パターン形成方法は、形成層の主元素が層厚方向において段階的に変化する形成方法である。
次に、本発明の第4実施形態による凹凸パターン形成方法について、図13(a)乃至図16を参照して説明する。この第4実施形態の凹凸パターン形成方法は、ガイドパターン除去時の形成層の後退を防止した形成方法である。
本発明の第5実施形態による凹凸パターン形成方法を図17(a)乃至図19(b)を参照して説明する。本実施形態の凹凸パターン形成方法は、MTJ(Magnetic Tunnel Junction)を形成するために用いられる。
Claims (8)
- 基材上に凸部を有するガイドパターンを形成する工程と、
第1の金属元素および類金属元素から選択される少なくとも1つの元素を含む第1の層と、前記第1の金属元素と異なる第2の金属元素を含む第2の層とが積層された積層構造を含む形成層を前記ガイドパターン上に形成する工程と、
前記形成層をエッチングすることで前記凸部の側部にのみ前記形成層を選択的に残置する工程と、
前記ガイドパターンを除去する工程と、
残置された前記形成層をマスクとして前記基材をエッチングすることにより前記基材に凹凸パターンを形成する工程と、
を備えていることを特徴とする凹凸パターン形成方法。 - 前記形成層を形成する工程は、
前記ガイドパターンを覆うように前記第1の層を形成する工程と、
前記第1の層を覆うように前記第2の層を形成する工程と、
を備えていることを特徴とする請求項1記載の凹凸パターン形成方法。 - 前記第1の層は酸化シリコン層であり、前記第2の層は酸化アルミ層であることを特徴とする請求項2記載の凹凸パターン形成方法。
- 前記形成層を形成する工程は、
前記ガイドパターンを覆うように、前記第2の金属元素と同じ金属元素を含む第3の層を形成する工程と、
前記第3の層を覆うように前記第1の層を形成する工程と、
前記第1の層を覆うように前記第2の層を形成する工程と、
を備えていることを特徴とする請求項1記載の凹凸パターン形成方法。 - 前記第1の層は酸化シリコン層であり、前記第2の層は酸化アルミ層であり、前記第3の層は酸化アルミ層であることを特徴とする請求項4記載の凹凸パターン形成方法。
- 前記形成層を形成する工程は、
前記ガイドパターンを覆うように、前記第1及び第2の金属元素と異なる金属元素を含む第3の層を形成する工程と、
前記第3の層を覆うように前記第1の層を形成する工程と、
前記第1の層を覆うように前記第2の層を形成する工程と、
を備えていることを特徴とする請求項1記載の凹凸パターン形成方法。 - 前記形成層は、ALD法によって形成されることを特徴とする請求項1記載の凹凸パターン形成方法。
- 前記形成層は、前記第1の層と前記第2の層との積層構造を複数組有し、それぞれの組は、前記ガイドパターンから遠ざかるにつれて前記第1の層の原子層数は減少し、前記第2の層の原子層数は増加するように構成されていることを特徴とする請求項1記載の凹凸パターン形成方法。
Priority Applications (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020117027506A KR101311621B1 (ko) | 2009-05-20 | 2009-05-20 | 요철 패턴 형성 방법 |
PCT/JP2009/059287 WO2010134176A1 (ja) | 2009-05-20 | 2009-05-20 | 凹凸パターン形成方法 |
JP2011514252A JP5356516B2 (ja) | 2009-05-20 | 2009-05-20 | 凹凸パターン形成方法 |
CN200980159359.0A CN102428544B (zh) | 2009-05-20 | 2009-05-20 | 凹凸图案形成方法 |
US13/300,062 US8420499B2 (en) | 2009-05-20 | 2011-11-18 | Concave-convex pattern forming method and magnetic tunnel junction element forming method |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
PCT/JP2009/059287 WO2010134176A1 (ja) | 2009-05-20 | 2009-05-20 | 凹凸パターン形成方法 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US13/300,062 Continuation US8420499B2 (en) | 2009-05-20 | 2011-11-18 | Concave-convex pattern forming method and magnetic tunnel junction element forming method |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2010134176A1 true WO2010134176A1 (ja) | 2010-11-25 |
Family
ID=43125874
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP2009/059287 WO2010134176A1 (ja) | 2009-05-20 | 2009-05-20 | 凹凸パターン形成方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US8420499B2 (ja) |
JP (1) | JP5356516B2 (ja) |
KR (1) | KR101311621B1 (ja) |
CN (1) | CN102428544B (ja) |
WO (1) | WO2010134176A1 (ja) |
Cited By (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20120075397A (ko) * | 2010-12-28 | 2012-07-06 | 에이에스엠 저펜 가부시기가이샤 | 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법 |
KR20130028873A (ko) * | 2011-09-12 | 2013-03-20 | 도쿄엘렉트론가부시키가이샤 | 건식 금속 에칭 방법 |
JP2014045077A (ja) * | 2012-08-27 | 2014-03-13 | Tokyo Electron Ltd | プラズマエッチング方法及びプラズマエッチング装置 |
JP2014079903A (ja) * | 2012-10-15 | 2014-05-08 | Hoya Corp | インプリント用モールドの製造方法 |
JP2014112655A (ja) * | 2012-10-30 | 2014-06-19 | Dainippon Printing Co Ltd | ナノインプリントモールドおよびその製造方法 |
JP2014133310A (ja) * | 2013-01-08 | 2014-07-24 | Dainippon Printing Co Ltd | インプリントモールドの製造方法 |
JP2014175509A (ja) * | 2013-03-11 | 2014-09-22 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
JP2015507363A (ja) * | 2012-01-19 | 2015-03-05 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | スペーサおよびスペーサ保護用途のための共形アモルファスカーボン |
JP2015065214A (ja) * | 2013-09-24 | 2015-04-09 | 大日本印刷株式会社 | インプリントモールドの製造方法 |
KR20150053253A (ko) * | 2013-11-07 | 2015-05-15 | 노벨러스 시스템즈, 인코포레이티드 | 진보된 패터닝을 위한 소프트 랜딩 나노적층물들 |
JP2017028081A (ja) * | 2015-07-22 | 2017-02-02 | 大日本印刷株式会社 | インプリントモールド製造用の基板およびインプリントモールドの製造方法 |
JP2017163032A (ja) * | 2016-03-10 | 2017-09-14 | 東芝メモリ株式会社 | 半導体装置の製造方法 |
JP2020017569A (ja) * | 2018-07-23 | 2020-01-30 | 東京エレクトロン株式会社 | エッチング方法及びエッチング装置 |
JP2021511673A (ja) * | 2018-01-30 | 2021-05-06 | ラム リサーチ コーポレーションLam Research Corporation | パターニングにおける酸化スズマンドレル |
JP2021093395A (ja) * | 2019-12-06 | 2021-06-17 | 東京エレクトロン株式会社 | エッチング方法及びエッチング装置 |
WO2023190168A1 (ja) * | 2022-03-31 | 2023-10-05 | 大日本印刷株式会社 | 硬化膜形成方法、インプリントモールド用基板の製造方法、インプリントモールドの製造方法、凹凸構造体の製造方法、パターン形成方法、ハードマスク形成方法、絶縁膜形成方法及び半導体装置の製造方法 |
US11784047B2 (en) | 2016-06-28 | 2023-10-10 | Lam Research Corporation | Tin oxide thin film spacers in semiconductor device manufacturing |
US11848212B2 (en) | 2019-06-27 | 2023-12-19 | Lam Research Corporation | Alternating etch and passivation process |
JP7483839B2 (ja) | 2017-02-13 | 2024-05-15 | ラム リサーチ コーポレーション | エアギャップの形成方法 |
US11987876B2 (en) | 2018-03-19 | 2024-05-21 | Lam Research Corporation | Chamfer-less via integration scheme |
Families Citing this family (303)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8717710B2 (en) * | 2012-05-08 | 2014-05-06 | HGST Netherlands, B.V. | Corrosion-resistant bit patterned media (BPM) and discrete track media (DTM) and methods of production thereof |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US9299924B1 (en) | 2015-06-29 | 2016-03-29 | International Business Machines Corporation | Injection pillar definition for line MRAM by a self-aligned sidewall transfer |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10453686B2 (en) * | 2016-08-31 | 2019-10-22 | Tokyo Electron Limited | In-situ spacer reshaping for self-aligned multi-patterning methods and systems |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
KR20200108016A (ko) | 2018-01-19 | 2020-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
US10937945B2 (en) | 2019-01-22 | 2021-03-02 | International Business Machines Corporation | Structured pedestal for MTJ containing devices |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
CN111593319B (zh) | 2019-02-20 | 2023-05-30 | Asm Ip私人控股有限公司 | 用于填充在衬底表面内形成的凹部的循环沉积方法和设备 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
TW202129068A (zh) | 2020-01-20 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 形成薄膜之方法及修飾薄膜表面之方法 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
TW202140831A (zh) | 2020-04-24 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含氮化釩層及包含該層的結構之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR20210145080A (ko) | 2020-05-22 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220006455A (ko) | 2020-07-08 | 2022-01-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
KR102292282B1 (ko) * | 2021-01-13 | 2021-08-20 | 성균관대학교산학협력단 | 비등방성 기계적 팽창 기판 및 이를 이용한 크랙 기반 압력 센서 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH04320036A (ja) * | 1991-04-18 | 1992-11-10 | Hitachi Ltd | 半導体装置およびその製造方法 |
JP2000173979A (ja) * | 1998-12-07 | 2000-06-23 | Sanyo Electric Co Ltd | エッチングマスク及び微細パターンの形成方法 |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2940689B2 (ja) * | 1990-03-23 | 1999-08-25 | 三洋電機株式会社 | アクティブマトリクス型表示装置の薄膜トランジスタアレイ及びその製造方法 |
JPH0677180A (ja) | 1992-08-24 | 1994-03-18 | Fujitsu Ltd | 細線状エッチングマスクの製造方法 |
KR100848087B1 (ko) | 2001-12-11 | 2008-07-24 | 삼성전자주식회사 | 기판 위에 패턴을 형성하는 방법 및 이를 이용한 액정표시 장치용 기판의 제조 방법 |
US6821907B2 (en) * | 2002-03-06 | 2004-11-23 | Applied Materials Inc | Etching methods for a magnetic memory cell stack |
JP3913203B2 (ja) * | 2003-08-28 | 2007-05-09 | 松下電器産業株式会社 | 半導体装置 |
US7390746B2 (en) * | 2005-03-15 | 2008-06-24 | Micron Technology, Inc. | Multiple deposition for integration of spacers in pitch multiplication process |
US7902074B2 (en) | 2006-04-07 | 2011-03-08 | Micron Technology, Inc. | Simplified pitch doubling process flow |
JP4795214B2 (ja) | 2006-12-07 | 2011-10-19 | チェイル インダストリーズ インコーポレイテッド | ワイヤーグリッド偏光子及びその製造方法 |
US8072601B2 (en) * | 2007-02-28 | 2011-12-06 | Kabushiki Kaisha Toshiba | Pattern monitor mark and monitoring method suitable for micropattern |
JP2009130035A (ja) * | 2007-11-21 | 2009-06-11 | Toshiba Corp | 半導体装置の製造方法 |
JP4982582B2 (ja) | 2010-03-31 | 2012-07-25 | 株式会社東芝 | マスクの製造方法 |
-
2009
- 2009-05-20 WO PCT/JP2009/059287 patent/WO2010134176A1/ja active Application Filing
- 2009-05-20 JP JP2011514252A patent/JP5356516B2/ja not_active Expired - Fee Related
- 2009-05-20 CN CN200980159359.0A patent/CN102428544B/zh not_active Expired - Fee Related
- 2009-05-20 KR KR1020117027506A patent/KR101311621B1/ko not_active IP Right Cessation
-
2011
- 2011-11-18 US US13/300,062 patent/US8420499B2/en not_active Expired - Fee Related
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH04320036A (ja) * | 1991-04-18 | 1992-11-10 | Hitachi Ltd | 半導体装置およびその製造方法 |
JP2000173979A (ja) * | 1998-12-07 | 2000-06-23 | Sanyo Electric Co Ltd | エッチングマスク及び微細パターンの形成方法 |
Cited By (34)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101866567B1 (ko) * | 2010-12-28 | 2018-06-11 | 에이에스엠 저펜 가부시기가이샤 | 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법 |
JP2012142574A (ja) * | 2010-12-28 | 2012-07-26 | Asm Japan Kk | 金属酸化物のハードマスクの形成方法 |
KR20120075397A (ko) * | 2010-12-28 | 2012-07-06 | 에이에스엠 저펜 가부시기가이샤 | 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법 |
KR20130028873A (ko) * | 2011-09-12 | 2013-03-20 | 도쿄엘렉트론가부시키가이샤 | 건식 금속 에칭 방법 |
KR102054017B1 (ko) * | 2011-09-12 | 2019-12-09 | 도쿄엘렉트론가부시키가이샤 | 건식 금속 에칭 방법 |
JP2015507363A (ja) * | 2012-01-19 | 2015-03-05 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | スペーサおよびスペーサ保護用途のための共形アモルファスカーボン |
JP2014045077A (ja) * | 2012-08-27 | 2014-03-13 | Tokyo Electron Ltd | プラズマエッチング方法及びプラズマエッチング装置 |
JP2014079903A (ja) * | 2012-10-15 | 2014-05-08 | Hoya Corp | インプリント用モールドの製造方法 |
JP2014112655A (ja) * | 2012-10-30 | 2014-06-19 | Dainippon Printing Co Ltd | ナノインプリントモールドおよびその製造方法 |
JP2014133310A (ja) * | 2013-01-08 | 2014-07-24 | Dainippon Printing Co Ltd | インプリントモールドの製造方法 |
JP2014175509A (ja) * | 2013-03-11 | 2014-09-22 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
JP2015065214A (ja) * | 2013-09-24 | 2015-04-09 | 大日本印刷株式会社 | インプリントモールドの製造方法 |
KR20220082792A (ko) * | 2013-11-07 | 2022-06-17 | 노벨러스 시스템즈, 인코포레이티드 | 진보된 패터닝을 위한 소프트 랜딩 나노적층물들 |
KR102551503B1 (ko) | 2013-11-07 | 2023-07-05 | 노벨러스 시스템즈, 인코포레이티드 | 진보된 패터닝을 위한 소프트 랜딩 나노적층물들 |
US10192742B2 (en) | 2013-11-07 | 2019-01-29 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
JP2015111668A (ja) * | 2013-11-07 | 2015-06-18 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 先進のパターニングのためのソフトランディング・ナノラミネート |
KR20150053253A (ko) * | 2013-11-07 | 2015-05-15 | 노벨러스 시스템즈, 인코포레이티드 | 진보된 패터닝을 위한 소프트 랜딩 나노적층물들 |
KR102407002B1 (ko) * | 2013-11-07 | 2022-06-08 | 노벨러스 시스템즈, 인코포레이티드 | 진보된 패터닝을 위한 소프트 랜딩 나노적층물들 |
JP2017028081A (ja) * | 2015-07-22 | 2017-02-02 | 大日本印刷株式会社 | インプリントモールド製造用の基板およびインプリントモールドの製造方法 |
US10490415B2 (en) | 2016-03-10 | 2019-11-26 | Toshiba Memory Corporation | Method of manufacturing 3-dimensional memories including high aspect ratio memory hole patterns |
JP2017163032A (ja) * | 2016-03-10 | 2017-09-14 | 東芝メモリ株式会社 | 半導体装置の製造方法 |
US11784047B2 (en) | 2016-06-28 | 2023-10-10 | Lam Research Corporation | Tin oxide thin film spacers in semiconductor device manufacturing |
JP7483839B2 (ja) | 2017-02-13 | 2024-05-15 | ラム リサーチ コーポレーション | エアギャップの形成方法 |
JP2021511673A (ja) * | 2018-01-30 | 2021-05-06 | ラム リサーチ コーポレーションLam Research Corporation | パターニングにおける酸化スズマンドレル |
JP7334166B2 (ja) | 2018-01-30 | 2023-08-28 | ラム リサーチ コーポレーション | パターニングにおける酸化スズマンドレル |
US11987876B2 (en) | 2018-03-19 | 2024-05-21 | Lam Research Corporation | Chamfer-less via integration scheme |
JP2020017569A (ja) * | 2018-07-23 | 2020-01-30 | 東京エレクトロン株式会社 | エッチング方法及びエッチング装置 |
US11462407B2 (en) | 2018-07-23 | 2022-10-04 | Tokyo Electron Limited | Etching method and etching apparatus |
CN111819665A (zh) * | 2018-07-23 | 2020-10-23 | 东京毅力科创株式会社 | 蚀刻方法和蚀刻装置 |
WO2020022045A1 (ja) * | 2018-07-23 | 2020-01-30 | 東京エレクトロン株式会社 | エッチング方法及びエッチング装置 |
US11848212B2 (en) | 2019-06-27 | 2023-12-19 | Lam Research Corporation | Alternating etch and passivation process |
JP7357528B2 (ja) | 2019-12-06 | 2023-10-06 | 東京エレクトロン株式会社 | エッチング方法及びエッチング装置 |
JP2021093395A (ja) * | 2019-12-06 | 2021-06-17 | 東京エレクトロン株式会社 | エッチング方法及びエッチング装置 |
WO2023190168A1 (ja) * | 2022-03-31 | 2023-10-05 | 大日本印刷株式会社 | 硬化膜形成方法、インプリントモールド用基板の製造方法、インプリントモールドの製造方法、凹凸構造体の製造方法、パターン形成方法、ハードマスク形成方法、絶縁膜形成方法及び半導体装置の製造方法 |
Also Published As
Publication number | Publication date |
---|---|
JPWO2010134176A1 (ja) | 2012-11-08 |
CN102428544A (zh) | 2012-04-25 |
US8420499B2 (en) | 2013-04-16 |
KR101311621B1 (ko) | 2013-09-26 |
KR20120024616A (ko) | 2012-03-14 |
US20120115250A1 (en) | 2012-05-10 |
JP5356516B2 (ja) | 2013-12-04 |
CN102428544B (zh) | 2014-10-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5356516B2 (ja) | 凹凸パターン形成方法 | |
CN105609471B (zh) | 用于垂直nand孔蚀刻的镀覆金属硬掩模 | |
TWI302635B (en) | Partially formed integrated circuit and method of integrated circuit fabrication and forming an integrated circuit | |
TWI409852B (zh) | 利用自對準雙重圖案製作半導體元件微細結構的方法 | |
US6949833B2 (en) | Combined atomic layer deposition and damascene processing for definition of narrow trenches | |
CN102543102A (zh) | 使用pvd溅射碳膜作为阻挡层以形成磁记录头的镶嵌处理 | |
US8216942B2 (en) | Method for manufacturing semiconductor device | |
JP4564929B2 (ja) | 3次元フォトニック結晶の形成方法 | |
CN109478502B (zh) | 用于形成用以图案化衬底的结构的方法、图案化衬底的方法以及形成掩膜的方法 | |
US6664026B2 (en) | Method of manufacturing high aspect ratio photolithographic features | |
JP2006344352A (ja) | 窪みの形成方法、枠型の形成方法および枠型 | |
TW202202903A (zh) | 波導光柵的梯度密封 | |
US9308676B2 (en) | Method for producing molds | |
US20090170310A1 (en) | Method of forming a metal line of a semiconductor device | |
WO2022198949A1 (zh) | 半导体结构的制作方法 | |
EP2911185B1 (en) | Method for etching organic film | |
CN108574010A (zh) | 半导体结构及其形成方法 | |
US8084360B2 (en) | Method of manufacturing semiconductor device | |
JP5743718B2 (ja) | 成形型の製造方法及び光学素子 | |
JP5499920B2 (ja) | 半導体光デバイスの製造方法 | |
KR0178238B1 (ko) | 박막 자기 헤드의 하부 자성층 패턴 형성 방법 | |
US20110226625A1 (en) | Master mold manufacturing method and mold structure manufacturing method | |
CN111799157A (zh) | 一种用于形成栅极掩模层的方法 | |
US8642484B2 (en) | Method for manufacturing semiconductor device | |
JP5038218B2 (ja) | 3次元フォトニック結晶の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WWE | Wipo information: entry into national phase |
Ref document number: 200980159359.0 Country of ref document: CN |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 09844909 Country of ref document: EP Kind code of ref document: A1 |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2011514252 Country of ref document: JP |
|
ENP | Entry into the national phase |
Ref document number: 20117027506 Country of ref document: KR Kind code of ref document: A |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 09844909 Country of ref document: EP Kind code of ref document: A1 |