JP2012142574A - 金属酸化物のハードマスクの形成方法 - Google Patents

金属酸化物のハードマスクの形成方法 Download PDF

Info

Publication number
JP2012142574A
JP2012142574A JP2011284831A JP2011284831A JP2012142574A JP 2012142574 A JP2012142574 A JP 2012142574A JP 2011284831 A JP2011284831 A JP 2011284831A JP 2011284831 A JP2011284831 A JP 2011284831A JP 2012142574 A JP2012142574 A JP 2012142574A
Authority
JP
Japan
Prior art keywords
hard mask
metal oxide
film
template
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011284831A
Other languages
English (en)
Other versions
JP5913965B2 (ja
Inventor
Masashi Kawa
正石 河
Hideaki Fukuda
秀明 福田
Shintaro Kaido
進太郎 海道
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2012142574A publication Critical patent/JP2012142574A/ja
Application granted granted Critical
Publication of JP5913965B2 publication Critical patent/JP5913965B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/0215Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】 本発明はSDDPの問題を解消するスペーサ膜の形成方法を提供する。
【解決手段】本方法はテンプレート上に金属酸化物のハードマスクを形成する方法であって、基板上にフォトレジストまたは非結晶性カーボンを与える工程と、式Si(1−x)をもつ材料に構成されるテンプレート上に金属酸化物のハードマスクを原子層成膜により蒸着する工程と、を含み、ここで、Mは少なくとも一つの金属元素を表し、xはゼロを含む1未満の数であり、yは約2または化学量論的に決定される数である。
【選択図】図4

Description

関連出願
本出願は、35USC第119条(e)により、2010年12月28日に出願された米国仮出願第61/427661号(この開示内容は全体に参考文献として組み込まれる)に基づく。
本発明は半導体集積回路の製造に関し、ハードマスク、特にスペーサ膜を形成する方法に関する。
フォトリソグラフィ技術は、最近、サブミクロンレベルよりも狭いピッチを有するパターンの形成の難しさに直面している。様々なアプローチが検討されているが、有望な方法の一つは、光源の波長と高指数浸漬液のような従来のリソグラフィの制限を超えて狭ピッチを作成することを可能にするスペーサ画成のダブルパターン成形(SDDP)である。一般的に、SDDPは、一つのコンフォーマルなスペーサ膜およびハードマスクテンプレート(コンフォーマルなスペーサ膜は通常凸パターンを持つテンプレート上に蒸着される)が必要である。酸化ケイ素が、一般的にコンフォーマルなスペーサとして使用され、ハードマスクのテンプレートが、典型的に、フォトレジスト(PR)または非結晶カーボン(a−C)(スピンオン法またはCVD法により作成される)によって構成されている。
米国特許第7,826,492号明細書
下述のとおり、本発明者はSDDPのいくつかの問題点を認識し、その解決策(解決策はまた一般的なパターン形成のプロセスに適用することができるもの)を検討した。したがって、本発明はハードマスクに使用される一般的なパターン成形プロセスについて、特にSDDPについて改良を図るものである。
従来技術にかかる問題および解決策の記述は本発明の内容を示す目的にのみ、ここで開示する内容に含まれ、この記述が、本発明時に知られていることを認めているとすべきではない。
SDDPプロセスの流れにおいて、スペーサ膜には、典型的に、下部反射防止コーティング(BARC)またはBARC機能をもつハードマスクであるベース膜に対して、エッチ選択性をもつことが要求される。ベース膜は典型的に、SiO、SiOC、TiN−HMなど(典型的にCVDにより形成される)のような材料により構成される。典型的に、スペーサ膜として、低温度で原子層成膜(ALD)により形成される低温SiO膜(LT−SiO)が使用される。しかし、LT−SiOはベース膜に対して十分なエッチ(ドライおよび/またはウエット)選択性をもっておらず、そのため、予期しない限界寸法(CD)の変化などが生ずる。
多くの金属酸化物/窒化物に、SiOに対するエッチ選択性があることが知られている。いくつかの金属酸化物はドライエッチによるエッチが難しい。このことは、半導体集積、リアクタのクリーニングに対して、重大な関心事である。たとえば、Alが有望な候補材料の一つであるが、それが、室温でさえも100%のコンフォーマルな膜のプロファイルをもち、ベース膜に対する高いエッチ選択性をもつからである。しかし、Alがドライエッチおよび/またはウエットエッチによってエッチすることが難しく、スペーサ材料としてのAlの使用が妨げられている。
さらに、テンプレートがフォトレジストにより構成されているときは、150℃以下、テンプレートが非結晶カーボンで構成されているときは、300℃以下の低蒸着温度で、スペーサ膜が形成されることが要求されている。さもないと、テンプレートはスペーサ膜の蒸着の間に熱により損傷を受け、さらに、温度が400℃を超えると、絶縁膜、配線、またはトランジスタのようなデバイスへのCuまたはBの拡散またはマイグレーションが生ずるからである。すなわち、スペーサ膜はテンプレートと適合することが必要となる。また、スペーサの膜が、実質的に100%コンフォーマルで、実質的にパターンローディング効果をもたないこと(たとえば、パターンの密度やパターンのピッチが異なるときでさえ、側壁上で同じ厚さになっていること)が要求される。在来のスペーサ膜は上記基準を満たしていない。さらに、半導体処理プロセスにおいて一般的に使用されていないVまたはNbのような金属は良い候補でないだろう。
多くの人たちがコンフォーマルなSiNの蒸着を研究したが、かれらの試みは、コンフォーマルなSiN膜を得ることについての解決策を与えるほど成功しなかった。SiNプロセスは、400℃またはそれ以下の低温度でコンフォーマルな膜を形成することがでず(“コンフォーマル”とは、Ts/Tt>95%をいい、ここで、TsとTtはそれぞれ、側壁と上端面での厚さである。)、低温SiNプロセスは、0.1nm/minよりも非常に低い成長速度で実施される。
本発明者は、パターン化されたスペーサの崩壊の問題というSDDPについての他の問題を認識している。図1は、SDDPのシーケンスの一部である、(a)フォトレジスト(PR)のパターン形成、(b)PE−ALDによりSiOの蒸着、(c)反応性イオンエッチ(RIE)、および(d)RFアッシングを略示す。この図は単に上記の問題を説明するもので、在来の技術を必ずしも表してはいない。図1に示されているように、工程(a)において、PR2(テンプレート)がベース膜1(BARCまたはハードマスク)上にパターンをもって形成され、その下にはエッチされるターゲット膜4が形成されている。工程(b)において、スペーサ膜3がPR2およびベース膜1の上に蒸着され、それらを覆う。工程(c)において、非等方のスペーサエッチングが実施され、その結果PR2およびベース膜1の上面が露出し、これにより露出したPR2’およびスペーサ3’が形成される。工程(d)において、露出したPR2’はエッチングにより除去され、その結果、スペーサ3’は崩壊し、パターンは劣化する。
崩壊の主要な原因は、テンプレート(PR2’)がアッシングにより除去された後、残ったリンス、水を乾かしている間の毛管力であるようにみえる。パターンの崩壊の問題は、パターンがサブミクロンのレベルのように狭いとき、さらに1より大きなアスペクト比をもつと、より重大となる。図2は、毛管力σmax(スペーサに及ぼす最大のストレス)が以下の式(数1)で示される(Y. Matusi 等、ISSM、2010年、東京、PO−O−103)。

γ:HOの表面張力
θ:HOの接触角度
D:空間の幅
H:高さ
W:幅
図において、スペーサ21はベース膜23上に形成され、スペーサ21間の空間22は水で満たされている。満たされた水の上面は乾燥していくと凹状となる。スペーサに影響を与える最大のストレスはアスペクト比(H/W)と接触角度(θ)に強く依存する。
この問題を解決する一つのアプローチは毛管力を減少させるために疎水性材料を使用することである。しかし、疎水性の材料が使用されるとしても、SDDPに対するスペーサの表面の疎水性を維持することは困難で、その理由は、アッシングの後(典型的には、酸素プラズマ、NOプラズマ、COプラズマのようなオキシダントプラズにテンプレートを曝すことにより)、表面が、空気に曝された後、酸化された表面において親水性のO−Hが生ずることから親水性に容易に変化するからである。
上述のとおり、本発明者はSDDPにおけるいくつかの問題を認識し、その解決策を開発した。解決策はまた一般的なパターン形成プロセスと両立するものである。本発明のある実施例は、上記問題の少なくとも一つの解決策を与え、ある実施例は上記問題の全てに解決策を与える。
本発明の実施例は金属酸化膜をテンプレート上に形成する方法を提供し、該方法は、(i)基板上にフォトレジストまたは非結晶カーボンを与える行程と、(ii)式Si(1−x)をもつ材料により構成されるテンプレート上に金属酸化物のハードマスクを原子層成膜(ALD)により蒸着する工程と、を含み、ここで、Mは少なくとも一つの金属元素を表し、xはゼロを含む1未満の数であり、yは約2または化学量論的に決定される数である。“ハードマスク”は、“ソフト”マスクを使用して画成されたパターンが、ハードマスクと比べて、プラズマエッチングの間に急激に悪化する場合に限り、酸素、フッ素、塩素または他の反応ガスにおより容易にエッチされる傾向のあるポリマーまたは有機“ソフト”材料(ターゲット膜)に代えて、エッチマスクとして、どの半導体処理においても使用される材料を示す。
ある実施例において、金属酸化膜のハードマスクはスペーサ膜である。ある実施例では、スペーサ膜はスペーサ画成ダブルパターン形成(SDDP)のためのもので、本方法はさらに、スペーサ膜をテンプレート上に蒸着する工程の後に、SDDPを実施する工程を含む。
ある実施例において、Mは、金属酸化膜を蒸着するための使用されるリアクタをクリーニングする温度で、100Paより高い蒸気圧を有するフッ化物の金属である。ある実施例では、MはTi、W、またはTaである。ある実施例では、MはTiである。ある実施例では、金属酸化物のハードマスクを構成する材料はTiOである。
いつくかの実施例では、ALDはプラズマ励起ALD(PE−ALD)である。いくつかの実施例では、ALDは、非結晶カーボンにより構成されるテンプレートに対しては300℃またはそれ以下、フォトレジストで構成されるテンプレートに対しては150℃またはそれ以下の温度で実施される。ある実施例では、ALDは、SiOにより構成されるSiOのハードマスクに対して設定される条件と実質的に同等の条件の下で実施され、ここでM含有ガスがSiOのハードマスクに対するSi含有ガスに代えて使用される。ある実施例では、金属酸化物のハードマスクはSiOのハードマスクのものより少なくとも三倍以上の弾性率を有し、SiOのハードマスクのものより少なくとも二倍以上の硬度を有する。ある実施例では、金属酸化物のハードマスクはSiOのハードマスクのものより少なくとも低いドライエッチレートを有し、標準熱酸化物のものに匹敵するウエットエッチレートを有する。
ある実施例では、基板はテンプレートの下に形成されたベース膜を有し、該ベース膜は酸化ケイ素により構成されている。ある実施例では、テンプレートは、フォトレジストまたは非結晶カーボンにより構成されている凸状のパターンを有し、該凸状のパターンは1マイクロメートル未満の幅および1またはそれ以上の幅に対する高さの比を有する。
本発明の態様および従来技術を超えて達成される効果を要約する目的のために、本発明の一定の目的および効果がここで説明される。もちろん、このような目的や効果のすべてが本発明の特定の実施例のいずれかに従って達成されるわけではないことは理解されるであろう。したがって、たとえば、以下で教示する他の目的、効果を必ずしも達成することなく、ここで教示する一つの効果又は一群の効果を達成又は最適にする方法で本発明を実施または成し遂げることができることを、当業者は理解するであろう。
さらに、本発明の態様、特徴及び利点は以下の詳細な説明により明らかになろう。
図1はSDDPシーケンスの一部、(a)フォトレジスト(PR)パターン形成、(b)PE−ALDによるSiO蒸着、(c)反応性イオンエッチ(RIE)、および(d)PRアッシングを略示する。 図2は乾燥プロセス中に生成された毛管力を示す模式図である。 図3はインサイチューでのフッティング減少を略示する。 図4は、SDDPプロセスを図示する(ここで、(a)はハードマスク上に予め形成された特徴部の略示断面図で、(b)はコンフォーマルなスペーサ蒸着の略示断面図で、(c)は非等方のスペーサエッチングの略示断面図で、(d)は、予めパターン形成された特徴部の除去の略示断面図で、(e)は非等方のエッチングにより転写されたパターン形成の略示断面図で、(f)は転写されたパターンのプロファイルの略示断面図で、(g)はスペーサの除去の略示断面図である)。 図5は、例において蒸着された各スペーサ膜の弾性率および硬度を示す。 図6は、本発明の一実施例に使用可能なスペーサ膜を蒸着するPE−ALD装置の概略図である。 図7は、本発明の実施例にしたがったPE−ALDの一サイクルのプロセスシーケンスを示す。 図8Aは比較例にしたがったパターン転写とターゲットエッチングの概略図であり、図8Bは本発明の実施例にしたがったパターン転写とターゲットのエッチングの概略図である。 図9は、本発明の実施例にしたがってスペーサ画成ダブルパターン形成(SDDP)を使用したパターン転写とターゲットのエッチングの概略図である。 図10は、本発明の実施例にしたがってTiO/(TiO+SiO)のALDサイクル比に関する、屈折率(633nmで)と、平均成長速度(nm/サイクル)の関係を示すグラフである。
本発明のこれらまたは他の特徴は、本発明を限定することを意図するものではないが、好適実施例を図示する図面を参照して説明される。図面は説明の目的で単純化され、尺度も必ずしも一致しない。
この開示では、"ガス"は、気化した固体および/または液体を含んでもよく、ガスの混合物によって構成されてもよい。この開示では、反応ガス、添加剤/キャリアガス、および前駆体は、ガスのタイプに関して互いに異なっても、あるいは相互に相いれないものであってもよく、すなわち、これらのカテゴリの中でガスの重複がない。ある実施例では、“膜”は、全ターゲットまたは当該表面を覆うための、ピンホールのない実質的に厚さ方向に対して垂直な方向に連続して伸張する層、または、単に、ターゲットまたは当該表面を覆う層を示す。また、ある実施例では、“層”は、表面または膜と同義のものの上に形成された特定の厚さを有する構造を示す。さらに、“ひとつの”とは、一つの種類や、複数の種類を含む属を示す。この開示では、どの定義された意味も、実施例において、通常の及び慣習の意味を必ずしも除外するものではない。この開示では、“実質的に高く”、“実質的に異なる”などは、たとえば、少なくとも10%、50%、100%、200%、300%、または任意の範囲の違いを含む。また、この開示では、“実質的に同じ”、“実質的に均一”、“実質的に同等”などは、20%未満、10%未満、5%未満、1%未満、または任意の範囲の違いを含む。例に適用される数値の数は、他の条件では、少なくとも±50%の範囲で変更することができ、さらに、この開示では、任意の範囲は両端の値を含んでもよく、含まなくともよい。条件および/または構造が指定されていない本開示では、当業者は容易に通常の実験の問題として、本開示の観点から、そのような条件および/または構造を与えることができる。
いくつかの実施例では、ハードマスクを使用してパターン形成プロセスの際の上述の問題の少なくとも一つまたはすべてを解決するために、ハードマスクの材料が選択される。いくつかの実施例では、 材料が次の基準、たとえば、材料が高い機械的強度を有し、低ドライおよび/またはウェットエッチングレートを有するが、しかしエッチングするフッ素ガスによりエッチングされ得ること、そのフッ化物がリアクタクリーニング温度で個体でなく、さらに400℃またはそれ以下といった低温度でALDにより蒸着され得るという一つまたはすべての基準を使用して候補の材料から選択される。
いくつかの実施例では、ハードマスク材料が、次の基準の少なくとも一つまたは全てを使用して選択される。
1)ハードマスクの材料が、従前のSiOハードマスクのものより高い機械的強度を有する。たとえば、その材料により構成され、ALDにより形成されたハードマスクは、低温、たとえば150℃でALDにより形成された従前のSiOのものより実質的に高く、さらに、少なくとも、低温、たとえば150℃でALDにより形成された従前のSiNハードマスクのものに実質的に同等である弾性率を有する。また、その材料で構成され、ALDにより形成されたハードマスクは、低温、たとえば150℃でALDにより形成された従前のSiOのものより実質的に高く、さらに、低温、たとえば150℃でALDにより形成された従前のSiNハードマスクのものに匹敵する硬度を有する。
2)ハードマスク材料は従前のSiOハードマスクより高い耐化学性(低ドライエッチレート)を有する。たとえば、その材料で構成され、ALDにより形成されたハードマスクは、低温、たとえば150℃でALDにより形成された在来のSiOのものより実質的に低く、さらに、標準的な熱酸化物のものよりもまた低いドライエッチレート(100℃でNF)を有する。また、その材料で構成され、ALDにより形成されたハードマスクは、低温、たとえば150℃でALDにより形成された在来のSiOのものより実質的に低く、さらに、標準的な熱酸化物のものに実質的に匹敵するウエットエッチレート(1:100でDHF)を有する。
3)ハードマスク材料は、少なくとも一つのタイプの金属元素、および酸素および/または窒素を含有する。その材料は化学式SiM(1−x)により表すことでき、ここでMは少なくとも一つの金属元素を表し、xはゼロを含む1未満の数で、yは約2または化学量論的に決定される数である。たとえば、酸化チタンたとえば、TiO)、酸化チタン(たとえば、TiSiO)が含まれる。
4)ハードマスクは、屈折率および成長速度を有効に調節するために、金属酸化物(たとえば、酸化チタン)および酸化ケイ素(たたとえば、SiO2、非金属酸化ケイ素)により構成される。金属酸化物と酸化ケイ素とを混合するために、いくつかの実施例では以下の方法が実施される。金属酸化物の薄膜と酸化ケイ素の薄膜を交互に蒸着すること(各膜は、約3nmまたはそれ以上の厚さを有する)。金属酸化物の前駆体と酸化ケイ素の前駆体を交互に導入することにより膜を蒸着すること。たとえば、ハードマスク(金属酸化ケイ素、たとえば、TiSi(1−x),0<x<1)が交互に一定サイクル比(サイクル比は、酸化ケイ素の膜のサイクルに対する金属酸化膜のサイクルの比)で、ALDにより金属酸化膜と酸化ケイ素膜とを交互に形成され、ここで、酸化ケイ素の成長速度は金属酸化物の約2.5倍で、酸化ケイ素の屈折率は金属酸化物より低く、その結果サイクル比を調節することにより、得られるハードマスクの成長速度および屈折率は調節可能となる。たとえば、サイクル比が1(すなわち、酸化物膜の一サイクルと酸化ケイ素膜の一サイクルが交互に実施される)のとき、酸化ケイ素の成長速度が金属酸化物より2.5倍高いことから、ハードマスクの、酸化ケイ素に対する金属酸化物の構成比は約1/2.5である。サイクル比を調節することにより、金属酸化物と酸化ケイ素の混合物に対する金属酸化物の比は0%を超えた値から100%まで変化することができる。
5)ハードマスクは、最良の機械的強度およびドライエッチレートを調整するために、少なくとも一つの金属元素Si、ならびに酸素および/または窒素を含む。いくつかの実施例では、このタイプの膜は特許文献1(米国特許第7,824,492号明細書)に開示された方法(開示内容はここに参考文献として組み込まれる)により形成することができる。
6)ハードマスクは、ターゲット膜、ベース膜およびテンプレートを形成するのと同じリアクタを使用して下述する工程を含むプロセス工程により形成することができる。この一連の工程は連続的に実施することができる。上記のとおり、“連続的”は、真空を破ることなく、スケジュールを妨げることなく、基板を移動することなく、または直後に次の工程となることを示す。ターゲット膜およびベース膜はCVDにより蒸着することができるのに対して、ハードマスクはALDにより蒸着することができるが、これらの反応は同じリアクタにおいて実施することができる。
6−1)ベーキングによりテンプレートから水を蒸発させる工程
テンプレートは、リアクタの外のクリーンルームにおいてテンプレートの表面に吸着した水を蒸発させるために、不活性ガス(たとえば、He、ArまたはN)のプラズマまたはラジカルにテンプレートを曝すことで続いて生ずる熱の影響を受ける。ここで、吸着した水の量は、テンプレートがクリーンルームにおいて空気にどれほど長く曝されたかによって決まる。
6−2)酸素を含有するガス(たとえば、NOまたはCO)を使用してトリミングを行う工程および/またはフッティング(footing)の減少を図る工程
6−3)ハードマスク(スペーサ)とベース膜との間の接着を強化するために、ベース膜上の接着層を蒸着工程、またはプラズマによってベース膜を処理する工程
6−4)ALD(下述する)によってスペーサ膜を蒸着する工程
6−5)後処理工程
スペーサ膜は、水分の吸着を防止するために、リモートプラズマを使用して熱アニーリング、プラズマ処理、UV照射、ラジカルに曝すことといった後処理により処理することができる。
7)ハードマスクがALCにより形成される。蒸着方法は、蒸着を生じさせるべく、反応物質を活性化するためのプラズマ(リモートおよびインサイチュー(in-situ))の生成を含む。ドライ/ウエットエッチレートおよび機械的強度は、ハードマスクのための複数の材料を特定の比で使用し、複合膜を形成することによって制御することができる。複合膜の準備は、次の工程の少なくとも一つにより実施できる。
a)一つの膜を他のものの上に形成するために、一つの前駆体を供給する工程と異なる前駆体を供給する工程を交互に行い、それら工程を繰り返すこと、b)複数の前駆体を含有する混合前駆体を供給することにより膜を蒸着すること、およびc)個別になった複数の前駆体をそれぞれ、同時に供給することにより膜を蒸着すること。蒸着温度は、テンプレートが非結晶カーボンにより構成されるときは300℃未満、テンプレートがフォトレジストにより構成されるときは、150℃未満となる。
8)ハードマスクは、金属(そのフッ化物がリアクタクリーニング温度、たとえば400℃未満で固体でないもの)を含み、その結果リアクタの内壁に付着した不所望の膜がフッ素を含有するクリーニングガスにより容易に除去できる。
いくつかの実施例が下述されるが、それら実施例は本発明の限定を意図するものではない。
金属酸化物のハードマスクは、Ti、W、および/またはTaにより構成される。いくつかの実施例では、Mn、Hf、および/またはRuの酸化物を、Ti、W、および/またはTaに代え、または組み合わせて使用することができる。しかし、半導体処理との適合性の観点から、酸化チタン、酸化タングステン、および/または酸化タンタルが好適である。ハードマスクはALDにより、好適にはPE−ALDにより蒸着される。たとえば、酸化チタンの前駆体が、チタニウムアルコキシドおよびアルキルアミノチタニウム{Ti(OR)[ここでRは単独で、CxHy(x=0,1,2,3,4または5、y=2x+1)であり、各Rは異なることができる(たとえば、Ti(OCH(OC)(OC))]、Ti(NR[ここでR単独で、CxHy(x=0,1,2,3,4または5、y=2x+1)であり、各Rは異なることができる(たとえば、Ti(N(CH)(C)]を含む}から選択される少なくとも一つの化合物であってもよい。酸化チタン以外の金属酸化物の前駆体もまた、適切な化合物から選択することができる。一般的に、テトラキスジメチルアミノチタニウム(TDMAT)のようなアルキルアミノ前駆体が、チタニウムテトライソプロポキシド(TTIP)のようなアルコキシ前駆体より高い膜成長速度を与えることができ、その理由は、TDMATのようなより小さな分子の大きさを有する前駆体が、TTIPのようなよりおおきな分子の大きさをもつ前駆体と比較してより多くの吸着点をもつために、ほとんど立体障害(sterific hindrance)を有しない傾向がある。ALDが自己制限的な吸着反応プロセスであることから、蒸着した前駆体の分子の量は反応表面のサイトの数により決定され、飽和の後前駆体の露出に無関係であり、前駆体は、反応表面のサイトが各パルスにより飽和するように供給される。蒸着温度は、約0℃から200℃の範囲にあってよく、この温度はフォトレジストと適合する(たとえば、熱的ダメージがフォトレジストに生じない)。反応ガスが、O、NH、NO、および/またはHからなるグループから選択される。ひとつ以上の反応ガスが金属酸化物のハードマスクを形成するために使用されてもよい。反応ガスの流量は、約200から約5,000sccmの範囲にあってもよい。前駆体のためのキャリアガスは、100から約5,000sccmの範囲にあってもよい。蒸着圧力は100から約1,000Paの範囲にあってもよい。RFパワーはダイレクトプラズマに対して約50から約500Wの範囲にあってもよく、またはリモートプラズマに対して1kWより大きくてもよい。前駆体のボトル(またはタンク)の温度および送出ラインが約0から約200℃の温度に制御されてよい。いくつかの実施例では、プラズマ点火の手段ばかりかUV照射手段によっても反応エネルギーを供給することができる。
さらに、蒸着処理の前またはその間に、特定の処理を行うことができ、ここで、処理ガスがO、NH、H、N、NO、Ne、および/またはArからなるグループから選択される。
リアクタ温度はクリーニング速度を速めるために、リアクタのクリーニングのために違ってセットされてもよい(たとえば、蒸着用にセットされた温度よりもより高い温度とする)。
いくつかの実施例では、SDDPは次のとおりに実施できる。
図4は、SDDPプロセスを略示する。まず、予めパターン化された特徴部(たとえば、フォトレジスト)が図4(a)に示されているように、ベース膜(ハードマスクまたはBARC)42上に形成される。つぎに、コンフォーマルな膜である集積スペーサ膜43(一般的に、(ハードマスク)とも参照される)が、図4(b)に示されているように、予めパターン化された特徴部41とベース膜42を覆うように、開示のいずれかの実施例にしたがって蒸着される。集積膜のスペーサは、底部での厚さに対する上端の厚さの比が所望のものとなっている。スペーサが予めパターン化された特徴部41の側壁上に形成された膜の層である。スペーサを形成するために、非等方のスペーサエッチングが、底面および傾斜面を含む上端面、すなわち水平面および上端にある傾斜面上の膜材料の全てを、側壁(43a)上の材料のみ残して、除去するために、図4(c)に示されているように実行される。傾斜面上の膜の厚さおよび底面上の膜に厚さは、傾斜面および底面における膜の部分が、殆ど同時にエッチングすることにより除去されるようなものとなっていることから、元々の予めパターン化された特徴部41を除去した後、上端上の残余の部分の完全な除去が実現し、図4(d)に示されているように、スペーサのみが残される。スペーサの内壁43bは上端での突き出した部分をもたない。スペーサ43aを使用して、非等方のエッチングが、図4(e)に示されているように、パターンの転写のために実施されるが、ここでパターンの転写層44が基板45上に形成されている。いくつかの実施例にしたがって、スペーサはベース膜に対して十分なエッチ選択性をもち、如何なる突き出た部分も形成されていないことから、スペーサの上端の縁の間の距離およびスペーサの垂直部分の間の距離は実質的に、または殆ど同じであり(±20%あるいはそれ以下、±10%あるいはそれ以下、または±5%あるいはそれ以下)、パターンの転写層(ターゲット膜)44は垂直方向に正確にエッチされる。本発明のいくつかの実施例にしたがって、スペーサ43bは、洗浄後、乾燥処理の間、従前のスペーサよりも崩壊する可能性が低い。すなわち、残余部分の完全な除去が達成され、限界寸法(CD)の変化が最小にされ、正確な幅が、図4(f)に示されているように得られる。さらに、いくつかの実施例にしたがって、スペーサは図4(g)に示されているように、エッチングにより容易に除去できる。さらに、リアクタがフッ素を含むクリーニングガスでクリーンにされる場合で、スペーサに含まれる金属がフッ素添加物の影響を受けるとき、金属フッ化物は、リアクタクリーニング温度では固体ではなく、したがって、リアクタから容易に除去できる。
図6はいくつかの実施例において使用可能な装置の略示図である。この例では、一対で平坦な電気伝導性プレート電極64、62を平行で、反応チャンバー63の内部71で互いに面するように設け、一方にRFパワーを適用し、他方を電気的に接地72することにより、プラズマが電極間で励起される。温度調整器が下方ステージ(下方電極62としても機能する)に設けられ、その上に配置される基板61の温度が所定の温度で一定に維持される。上方電極はシャワープレートとしても機能し、反応ガス(C)および必要であれば添加/パージガス(B)が反応チャンバー63に、ガス流量制御器181、182のそれぞれを通過し、そしてシャワープレートを通過して導入される。また、前駆体(A)が反応チャンバー63に、ガス流量制御器183、パルス流量制御弁31およびシャワープレートを通過して導入される。さらに、反応チャンバーには、排気パイプ66が、反応チャンバー63の内部71のガスを排気するために設けられている。さらにまた、シールガスを反応チャンバー63の内部71に導入するために、反応チャンバーにシールガス流量制御器(図示せず)が設けられている。反応チャンバー内で、反応ゾーンと移動ゾーンとを分離する分離プレートについては、図の簡略化のたに省略されている。シールガスは必要ではないが、反応ガスが分離プレートの下方にあるチャンバーの下部部分と連通することを防止することを支援するという実施例において使用される。
パルス流量制御弁31に対して、パルス供給弁をPE−ALD用に有効に使用することができる。この装置はまたPE−CVD用にも使用できる。パルス制御弁は、反応ガス(C)および/または添加/パージガス(B)のために備えることができる。さらに、RFパワーのパルス化もできる。上述において、RFパワーのパルス化は、整合器(図示せず)を調節することにより実施することができる。RFパワーは放電のための最小の時間(典型的には8m秒より短い)を必要とする。したがって、整合器を調節することにより、RFパワーの持続時間は、たとえば、約0.1秒で容易に制御することができる。
いくつかの実施例では、サイクル当たりの平均蒸着厚は、約0.6nm/サイクルから1.0nm/サイクルである。前駆体のパルス供給は、所望の厚さの膜が得られるまで続けることができる。所望の厚さの膜が約20nmから約100nmであるならば、約20サイクルから約150サイクル(たとえば、約40から約100サイクル)が実施されてよい。
リモートプラズマが装置に接続され、エッチングガスまたはプロセスガスを、シャワープレート64を通して装置の内部に供給することができる。
図1(d)に関連して上述したとおり、パターンが崩壊する原因は、1)テンプレートの温度が非常に高く、続くドライエッチングの間、スペーサを維持することができないこと、2)スペーサ膜の機械的特性が貧弱で、たとえば弾性率およぎ硬度が非常低く、容易に変形してしまうこと、3)スペーサ膜とコア材料との間に膜ストレスの差異が非常に大きく、結果としてパターンが崩壊してしまうとことが考えられる。いくつかの実施例では、パターンが崩壊する上記原因は、ここに明らかに、必然的に、または本質的に開示された金属酸化膜のいずれかを含むもの、代表されるもの、主に作られもの、本来的に成るもの、構成されるもの、または同等のものであるスペーサを使用することにより解消することができる。たとえば、図8Bに示されているように、ハードマスクとしてTiOのような金属酸化膜が、下に位置するテンプレート/ハードマスク82に対して高いエッチ選択性を有することから、TiOにより構成されるハードマスクの高さは、SiO(図8A)により構成されるハードマスクよりも非常に低くすることができる。図8Aにおいて、テンプレートとしてのSiOスペーサのエッチ選択性(SiOスペーサはそれ自身の低ドライエッチ抵抗性のために、ハードマスクとであるとは考えられない)は非常に低いことから、テンプレートの高さは高くある必要があり、図4の工程(d)(図4の工程(b)から(d)は“スペーサ画成ダブルパターン形成”として参照される)に対応する工程(a)において背の高い垂直なスペーサが生ずる。パターンをテンプレート/ハードマスク82に転写するために、工程(b)でスペーサ83を使用してテンプレート/ハードマスクをエッチングすると、垂直なスペーサ83は少なくとも部分的に崩壊しまたは変形する傾向をもつ。垂直なスペーサが変形または崩壊すると、パターンの転写は正確に行えず、工程(c)において、ターゲット層81の不正確なエッチングをもたらすことになる。図8Bにおいて、金属酸化物スペーサは高いエッチ選択性をもつことから、スペーサが上に形成され、エッチされるテンプレート(たとえば、図9の工程(b)におけるテンプレート/ハードマスク91を参照)を低くでき、工程(a)において、垂直スペーサ84(金属酸化物スペーサ)を形成するためにエッチングの間、維持できる。したがって、工程(b)および(c)は正確に実施することができる。いくつかの実施例では、好適に、垂直スペーサの高さ(すなわち、エッチングによってパターン化されたテンプレートの厚さ)は約50nmから約400nmの範囲、典型的には,約80nmから200nmの範囲にあってよく、垂直スペーサの厚さは、約3nmから約60nmの範囲、典型的には5nmから約40nmの範囲にあってもよい。さらに、金属酸化物スペーサは高い弾性率および硬度をもち(膜として測定して)(好適に、弾性率は約70GPaから約400GPa、典型的に約100GPaから約200GPaで、硬度は約5GPaから約20GPa、典型的には、約6GPaから約15GPaである。)、したがってスペーサはドライエッチング間、維持することができる。
さらに、金属酸化物の膜ストレス(膜として測定して)はRFの適用および/またはRFパワーの持続時間を変化させることにより制御することができ、その結果スペーサ膜(垂直なスペーサとして構成される)とコア材料(図9の工程(d)において、垂直スペーサによって囲まれるスペース内に残ったテンプレートのフォトレジスト材料)との間の膜ストレスの違いは最小にすることができ、このことによりパターンが崩壊することを防止することができる。いくつかの実施例として、平坦な膜として測定されるスペーサ膜の膜ストレスと、平坦な膜として測定されるコア材料の膜ストレスは、実質的にまたはほぼ同じに、または同等に、または約100MPaより小さく、または約50MPaより小さくしてもよい。これら膜ストレスは、両者の間の違いが、たとえば、SDDPにおいてパターンの崩壊を妨げるほど小さいならば、同等である。いくつかの実施例として、テンプレートの膜ストレスは最初に決定され、つぎにスペーサの所望の膜ストレスが決定され、そして、スペーサの蒸着条件が、スペーサの膜ストレスを、RFパワーおよび/またはRFパワーの持続時間の関数として所望の値に調節するために決定される。
この開示において、用語“テンプレート”はパターン形成またはホールの形成にしたがう膜のような、処理される膜を示し、用語“ハードマスク”は高いエッチ抵抗性を有する膜を示す(たとえば、ハードマスクの膜はエッチされるテンプレートより約五倍高く、その結果その膜はテンプレートの特定の一部をエッチから有効に保護することができる)。“ハードマスク”は“エッチマスク”として参照することができる。
いくつかの実施例において、NH、Nのような窒素を含有するガス使用されると、PEALDにおいてTiOのような金属酸化物の膜成長速度が増加する。さらん、窒素を含有するガスが使用されると、ウエットエッチレートは著しく増加するが(標準的な熱酸化物より、好適には2から20倍、典型的には4から8倍)、ドライエッチ抵抗性は有効に維持され(ドライエッチレートは、好適には、標準的な熱酸化物の場合の約1/100から約1/5、典型的には約1/50から約1/10)、このとは続くスペーサの除去に非常に役に立つ。いくつかの実施例では、窒素含有ガスは、約100sccmから約2,000sccm、典型的には約200sccmから1,000sccmの流量で、典型的には酸素ガス(好適には、約200sccmから1,000sccm)と組み合わせて使用される。いくつかの実施例では、窒素含有ガスの流量は全反応ガスの50%未満であるが、10%以上(典型的に、20%から35%)である。
前述のとおり、実施例にしたがって、つぎの利点の少なくとも一つを実現することができる。低周波数RF(LRF)(約200MHzから約1,000MHz、典型的には約300MHzから約600MHz)を、約1から約30の全RFに対するLRFのパワー比で加えることにより、膜ストレスはより効果的に制御することができる。プラズマ点火条件を制御することにより(たとえば、一サイクル当たりのパワーおよび/または点火時間)、膜ストレスおよびウエットエッチレートは効果的に制御することができる。窒素を含有する反応ガスを使用することにより、膜の性質は有効に調整または調節することができる。
図9は、本発明の他の実施例にしたがって、スペース画成ダブルパターン形成(SDDP)を使用して行ったパターンの転写およびターゲットのエッチングを略示し、ここで金属酸化膜は第1のテンプレートから第2のテンプレートへパターンを転写するためにテンプレートの間のハードマスクとして使用される。テンプレート/ハードマスク91はSDDPプロセスにおいて、パターンの密度を増加させるために(たとえば、ピッチを狭くする)使用される。実施例において、テンプレート/ハードマスク82の膜ストレスは、ターゲット層81をエッチングするためのハードマスクとして使用される。ハードマスク92がテンプレート/ハードマスク91からテンプレート/ハードマスク82にパターンを転写するために使用される。テンプレート/ハードマスク91にパターンを転写する工程である図9の工程(b)において、テンプレート/ハードマスク91をフォトレジストパターンでエッチングできるように、図9の工程(a)において、下部反射防止コーティング(BARC)94上に、フォトレジストパターン93が形成される。工程(c)において、金属酸化物スペーサ95が、ここに開示された実施例またはそれと同等のものにしたがって蒸着され、続いてスペーサRIE工程である工程(d)においてエッチングが行われる。テンプレート/ハードマスク91の材料(コア部分96にあるフォトレジスト材料)を取り除くと、垂直スペーサが工程(e)において形成される。図9の工程(e)から(g)は図8Bの工程(a)から(c)に対応する(ただし、垂直スペーサの高さは誇張されている)。すなわち、工程(f)において、パターンはテンプレート/ハードマスク82に転写され、ターゲット層81はドライエッチングを受ける。上述のとおり、ハードマスク92として、ここで開示された実施例またはこれと同等物にしたがって金属酸化物を使用することにより、パターンは、テンプレート/ハードマスク91からテンプレート/ハードマスク82に効果的に転写される。いくつかの実施例では、ハードマスク92のような平坦なハードマスクが、ここで開示された実施例またはこれと同等のもののいずれかにより、またはパルス化されたPECVDにより蒸着されてもよい。
実施例は、本発明の限定を意図しない具体的な例を参照して説明される。具体例に適用される数値は他の条件で、少なくとも±50%の範囲で修正してもよいもので、範囲の両端は含んでも、含まなくともよい。
例1
金属酸化物のハードマスクの膜が、図6に図示されたPE−ALD装置を使用して以下の条件の下、PE−ALDにより基板(Φ200mm)上に形成された。
PE−ALDの各サイクルのシーケンスが図7に示されている。
SiOハードマスク(LT−SiO)もまた、実質的に上記と同じ条件でPE−ALDにより基板上に形成された。
各ハードマスクのドライエッチ選択性およびウエットエッチ選択性(標準的な熱酸化物のそれらも)が測定され、その結果は以下のとおりである。
各ハードマスクの硬度および弾性率もまた測定され、その結果は以下のとおりである
上記表に示されているように、TiOハードマスクはLT−SiOハードマスクのものに対して実質的に高いドライ/ウエットエッチ選択性を有する。TiOハードマスクのウエットエッチ選択性は標準熱酸化物のものに実質的に匹敵し、TiOハードマスクのドライエッチレートはLT−SiOハードマスクのものより実質的に低かった。さらに、TiOハードマスクの機械的強度は、LT−SiOハードマスクのものより実質的に高く、スペースの崩壊という問題が有効に避けることができることを示した。
例2
以下の表4に示された条件を除き例1の説明と同様に、膜が、チタニウムテトライソプロポキシド(TTIP)およびテトラキスジメチルアミノチタニウム(TDMAT)を使用して膜成長速を比較するために蒸着された。表から分かるように、TDMATによる膜の成長速度は、TTIP(2−1、2−2)による場合よりほぼ二倍高かった(2−3,2−4)。TDMATによる膜のウエットエッチレートはTTIPによる場合の約2から3倍、増加したが、TDMATにより、得られた膜の特性はTTIPのものと同等であった。さらに、RF点火時間(2−5)を長くすることにより、機械的強度は増加し、ウエットエッチレートは減少した。
例3
以下の表5および6に示された条件を除き、例1に記載された方法で、膜が膜ストレスの制御性を確認するために蒸着された。表6から分かるように、膜ストレスは、プラズマの適用時間(RF点火の持続時間)および/またはプラズマパワー(RFパワー)を変化させることによって良好に制御され、TTIPを使用して、得られた膜がパターンの崩壊に対する抵抗性をもつスペーサとして適していることが示されている。すなわち、プラズマの適用時間を増加させることにより、膜の引っ張りストレスの程度を減少させることができ、圧縮ストレスに変化させることさえできる。
例4
以下の表7に示されている条件を除き例1で記載した方法で、膜が、該膜の特性へのNH3の効果を評価するために蒸着された。
以下の表7から分かるように、反応ガスとして酸素にNHを添加したとき(4−3、4−4)、膜の成長速度は増加(20%以上)し、膜のドライエッチレートは著しく減少(70%以上)する一方、膜のウエットエッチレートは、NHのない場合(4−1、4−2)と比較して驚くほど増加(60%以上)し、膜が耐化学性をもつが、容易に除去できるスペーサとして適していることが示されている。さらに、NHがほとんどなく使用せず得られた膜、酸素より多くのNHを使用して得られた膜特性は同様に見える。
例5
例1に加え、従前の方法にしたがって、SiNのハードマスクは400℃と100℃でそれぞれPE−ALDにより形成され、TEOSのハードマスクもまた380℃でPE−ALDにより形成され、得られたハードマスクの機械的強度が測定された。結果は図5に示されている。図5から分かるように、TiOのハードマスクは、100℃でSiNのハードマスクよりも実質的に高い弾性率を有し、
TiOのハードマスクの硬度は、100℃のSiNハードマスクおよびTEOSのハードマスクのものに匹敵する。TiOのハードマスクが非常に高い弾性率を有することから、スペーサの崩壊の問題は有効に避けることができる(弾性率は、スペーサの崩壊の防止という観点から見て硬度よりも重要である)。さらに、TiOのハードマスクは、蒸着速度、コンフォーマリティ(共形性)、エッチ選択性等の観点からみて、100℃のSiNのハードマスクおよびTEOSのハードマスクと比較して顕著な利点を有する。たとえば、100℃のSiNのハードマスクは、ベース膜に対し良いエッチ選択性を有するが、エッチングにより除去することが容易でない。TEOSのハードマスクは、ベース膜に対し良いエッチ選択性を持たない。400℃のSiNのハードマスクは、TiOのハードマスクより高い機械的強度を有する。しかし、400℃の蒸着温度は、テンプレートやフォトレジストに熱的損傷を受け、さらに、銅や他の金属の拡散またはマイグレーションが問題となってくる。さらに、400℃でSiNのハードマスクを除去することは容易でなく、400℃でさえ、蒸着速度は低い。上述のとおり、TiOのハードマスクは、他のハードマスクより著しく優れている。
例6
以下の表8に示された条件を除き、例5の場合と同じ方法で、膜が該膜の弾性率および硬度を評価するために蒸着された。表から分かるように、TiOの膜が、蒸着温度が低いにも拘わらず優れた弾性率を有し、また膜はSiNの膜と同様によい硬度を示し、SiOの膜よりも非常によい硬度を示している。
例7
以下の表9に示された条件を除き、例1の場合と同じ方法で、膜が、該膜の屈折率(633nmで)および平均成長速度(nm/サイクル)を評価するために蒸着され、ここで多層の内の一つの層に対する単位サイクル当たりの、TiOおよびSiOの全サイクル数に対するTiOのサイクル数のALDサイクル比は、0/1から1/1(すなわち、0/1、1/3、1/2、2/3、および1/1)に変化する。上述のとおり、1/3の比は、二つのSiOのサイクルと、続く一つのTiOのサイクルによって構成される単位サイクルを示し、1/2の比は、一つのSiOのサイクルと続く一つのTiOのサイクルから構成される単位サイクルを示し、2/3の比は、一つのSiOのサイクルと続く二つのTiOのサイクルから構成される単位サイクルを示す。
図10に示されているように、ALDサイクルを変更することにより(たとえば、上記比のいずれか二つによって、または0.1ずつ増える0.1から0.9のうちのいずれか二つによって定義される範囲内で、得られた膜の屈折率および成長速度は、屈折率とALDサイクル比との間の関係、および成長速度とALDサイクル比との関係は逆くになるけれども、所望のレベルで調節することができることが確認された。
本発明は、限定を意味しないが、以下の他の実施例および利点を有することができる。
スペーサの崩壊を避けるために、上述したもの以外の機械的に強固な材料を使用することができる。
スペーサの崩壊を防止するために、フッティング(footing)の減少を達成することができる。図3は、インサイチュー(in-situ)でのフッティング減少(ここでは(a)反応性イオンエッチ(RIE)および(b)アッシング(ashing)が実行される)を略示する。工程(a)で、スペーサ膜がフォトレジストおよびベース膜上にPE−ALDにより蒸着され、インサイチューでのインターフェースの制御が実行され、これにより、スペーサ膜のフッティングの減少がインサイチューで達成され、ここでフォトレジストの上面およびベース膜31の一部が露出し、スペーサ32がフッティングを伸長させることはない。工程(b)で、アッシングにより、フォトレジスト33は除去され、これによりスペーサ32’の成形がフッティングを減少させ、CDの変化が減少する。本発明の実施例において選択された金属酸化物のハードマスクはフッティングの減少に効果的である。
スペーサの崩壊を防止するために、スペーサとベース膜との間の接着が強化される。接着の強化は、接着層を形成すること、またはベース膜の表面を処理することにより達成される。発明の実施例において選択された金属酸化物のハードマスクはフッティングの減少に効果的である。
一つのPE−ALDにおいて、連続して、プレベーキング、トリミング、接着の制御、蒸着および表面制御を含む全てのプロセスが連続して行われる。
ドライエッチレートおよび機械的強度を複数の材料を組み合わせることにより制御することができる。
インサイチューでのリアクタの自己クリーニングは、室温で高い蒸気圧をもつ(AlFと異なり)フッ化物の金属を選択することにより実施され、このことにより、高い生産性および低コストが達成される。本発明の実施例で選択された金属酸化物のハードマスクは容易な自己クリーニングに対して効果的である。
蒸着プロセスは、100%のコンフォーマリティ、より少ないパターンローディング、およびよい一様性が効果的に達成できるようにALDである。
ALDの誘電体が広範囲に研究されていることから、実施例において開示された基準の少なくとも一つまたは全てを使用することによって不当な負担なしで良い候補となる材料を選択することが可能である。
一般的に、熱ALDは、化学反応性が温度ともに減少するために400℃以下の低温では非常に問題がある。PE−ALDは低温で有利なもので、コンフォーマルな膜を形成することができる。熱ALDと違って、PE−ALDは同じ温度でも基板に異なる材料を蒸着することができる。したがって、PE−ALDは有利である。熱反応が膜の組成および前駆体の吸着を有効に制御できない。PE−ALDはプロセス条件を調整することにより、各膜の質を制御することができる。良好なプロセス制御性および良好なプロセス信頼性はPE−ALDを使用することにより実現することができる。ちなみに、蒸着の補助として使用される触媒は、通常熱ALDにせよ、PE−ALDにせよ有用ではなく、したがって触媒は使用されない。
好適な金属酸化物はSiTi(1−x)で表せ、ここで01、y〜2である。WOまたはTaOのハードマスクを使用することができる。さらに、TiN、WNまたはTaNのハードマスクはここの開示にものと組み合わせて使用することができる。
本発明の思想及び態様から離れることなく多くのさまざまな修正が可能であることは当業者の知るところである。したがって、言うまでもなく、本発明の態様は例示に過ぎず、本発明の範囲を限定するものではない。

Claims (18)

  1. テンプレート上に金属酸化物のハードマスクを形成する方法であって、
    基板上にフォトレジストまたは非結晶性カーボンを与える行程と、
    式Si(1−x)をもつ材料により構成されるテンプレート上に金属酸化物のハードマスクを原子層成膜により蒸着する工程と、
    を含み、
    ここで、Mは少なくとも一つの金属元素を表し、xはゼロを含む1未満の数であり、yは約2または化学量論的に決定される数である、方法。
  2. 前記金属酸化物のハードマスクがスペーサ膜である、請求項1に記載の方法。
  3. 前記スペーサ膜が、スペーサ画成ダブルパターン形成のためのもので、
    当該方法が、前記スペーサ膜を前記テンプレート上に蒸着する工程の後に、SDDPを実行する工程を含む、請求項2に記載の方法。
  4. 前記Mが、前記金属酸化物のハードマスクを蒸着するために使用されるリアクタをクリーニングするための温度で、100Pa未満の蒸気圧を有するフッ化物の金属である、請求項1に記載の方法。
  5. 前記MがTi、WまたはTaである、請求項4に記載の方法。
  6. 前記MがTiである、請求項5に記載の方法。
  7. 前記金属酸化物のハードマスクを構成する材料がTiO2である、請求項6に記載の方法。
  8. 前記ALDがプラズマ励起ALD(PE−ALD)である、請求項1に記載の方法。
  9. 前記ALDが、非結晶カーボンにより構成される前記テンプレートに対して300℃またはそれ以下の温度で、またはフォトレジストにより構成される前記テンプレートに対して150℃またはそれ以下の温度で実施される、請求項1に記載の方法。
  10. 前記ALDが、SiOにより構成されるSiOのハードマスクに対して設定される条件と同じ条件の下で実施され、ここでM含有ガスがSiOのハードマスクに対するSi含有ガスに代えて使用される、請求項1に記載の方法。
  11. 前記金属酸化物のハードマスクがSiOのハードマスクのものより少なくとも三倍以上の弾性率を有し、SiOのハードマスクのものより少なくとも二倍以上の硬度を有する、請求項10に記載の方法。
  12. 前記金属酸化物のハードマスクがSiOのハードマスクのものより少なくとも低いドライエッチレートを有し、標準熱酸化物のものに匹敵するウエットエッチレートを有する、請求項10に記載の方法。
  13. 前記基板が前記テンプレートの下に形成されたベース膜を有し、該ベース膜は酸化ケイ素により構成される、請求項1に記載の方法。
  14. 前記テンプレートが、前記フォトレジストまたは非結晶カーボンにより構成されている凸状のパターンを有し、該凸状のパターンは1マイクロメートル未満の幅および1またはそれ以上の幅に対する高さの比を有する、請求項1に記載の方法。
  15. 前記金属酸化物のハードマスクの蒸着工程が、金属酸化物のハードマスクのための前駆体をパルス状に供給する工程、および前記前駆体のパルスの間に、RFパワーをパルス状に適用する工程を含んで成り、ここで、少なくとも一つの反応ガスが、前記RFパワーの適用の間に供給され、ここで、前記前駆体は、アルカリアミノ化合物または金属含有アルコキシ化後物である、請求項8に記載の方法。
  16. 前記反応ガスが窒素含有ガスからなる、請求項15に記載の方法。
  17. 前記金属酸化物のハードマスクが、交互に蒸着される金属酸化物の原子層と酸化ケイ素の原子層の積層から構成される、請求項1に記載の方法。
  18. 前記金属酸化物のハードマスクが前記テンプレートのものと同等の膜ストレスを有する、請求項1に記載の方法。
JP2011284831A 2010-12-28 2011-12-27 金属酸化物のハードマスクの形成方法 Active JP5913965B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201061427661P 2010-12-28 2010-12-28
US61/427661 2010-12-28

Publications (2)

Publication Number Publication Date
JP2012142574A true JP2012142574A (ja) 2012-07-26
JP5913965B2 JP5913965B2 (ja) 2016-05-11

Family

ID=46317718

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011284831A Active JP5913965B2 (ja) 2010-12-28 2011-12-27 金属酸化物のハードマスクの形成方法

Country Status (3)

Country Link
US (2) US8901016B2 (ja)
JP (1) JP5913965B2 (ja)
KR (2) KR101866567B1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014103323A (ja) * 2012-11-21 2014-06-05 Dainippon Printing Co Ltd ナノインプリント用テンプレートの製造方法
KR20150053253A (ko) * 2013-11-07 2015-05-15 노벨러스 시스템즈, 인코포레이티드 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
US9640481B2 (en) 2015-09-03 2017-05-02 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US9799534B1 (en) 2017-01-04 2017-10-24 International Business Machines Corporation Application of titanium-oxide as a patterning hardmask
KR20180013745A (ko) * 2016-07-29 2018-02-07 램 리써치 코포레이션 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
US9941135B2 (en) 2014-10-01 2018-04-10 Samsung Electronics Co., Ltd. Methods of forming a hard mask layer and of fabricating a semiconductor device using the same
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
JP2021528865A (ja) * 2018-06-26 2021-10-21 ラム リサーチ コーポレーションLam Research Corporation 有機材料上に金属酸化物膜を堆積するための堆積ツールおよび方法
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis

Families Citing this family (398)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP5637212B2 (ja) * 2010-08-27 2014-12-10 東京エレクトロン株式会社 基板処理方法、パターン形成方法、半導体素子の製造方法、および半導体素子
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9171720B2 (en) * 2013-01-19 2015-10-27 Rohm And Haas Electronic Materials Llc Hardmask surface treatment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI559381B (zh) 2013-02-19 2016-11-21 應用材料股份有限公司 金屬合金薄膜的原子層沉積
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104347360B (zh) * 2013-07-24 2017-02-08 中芯国际集成电路制造(上海)有限公司 双重图形结构及其形成方法
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6692754B2 (ja) 2014-01-13 2020-05-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積法による、自己整合ダブルパターニング
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9171796B1 (en) 2014-06-19 2015-10-27 International Business Machines Corporation Sidewall image transfer for heavy metal patterning in integrated circuits
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9443716B2 (en) * 2014-10-08 2016-09-13 Applied Materials, Inc. Precise critical dimension control using bilayer ALD
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR20220132603A (ko) * 2015-06-17 2022-09-30 인텔 코포레이션 디바이스 제조를 위한 산화물 층들의 원자 층 제거에 의한 전이 금속 건식 에칭
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9790591B2 (en) 2015-11-30 2017-10-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
KR102471161B1 (ko) * 2015-12-23 2022-11-25 삼성전자주식회사 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN105668511B (zh) * 2016-03-03 2017-06-09 广东工业大学 一种微纳加工制备电子器件的方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10276677B2 (en) * 2016-11-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10090164B2 (en) 2017-01-12 2018-10-02 International Business Machines Corporation Hard masks for block patterning
KR101900181B1 (ko) * 2017-01-25 2018-09-18 인천대학교 산학협력단 이종원소 합금화를 통한 고품질 사중패터닝 물질의 제조방법
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10262865B2 (en) 2017-04-14 2019-04-16 Asm Ip Holding B.V. Methods for manufacturing semiconductor devices
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10366879B2 (en) 2017-11-14 2019-07-30 International Business Machines Corporation Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10978302B2 (en) * 2017-11-29 2021-04-13 Lam Research Corporation Method of improving deposition induced CD imbalance using spatially selective ashing of carbon based film
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US20190189447A1 (en) * 2017-12-19 2019-06-20 Lam Research Corporation Method for forming square spacers
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10340136B1 (en) * 2018-07-19 2019-07-02 Lam Research Corporation Minimization of carbon loss in ALD SiO2 deposition on hardmask films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020140234A1 (zh) * 2019-01-03 2020-07-09 京东方科技集团股份有限公司 模板制备方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7326077B2 (ja) 2019-09-10 2023-08-15 キオクシア株式会社 エッチングマスクの形成方法および半導体装置の製造方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN114424321A (zh) * 2019-09-25 2022-04-29 东京毅力科创株式会社 图案化基板
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
JP2021071685A (ja) * 2019-11-01 2021-05-06 凸版印刷株式会社 反射型マスク及び反射型マスクの製造方法
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20230238238A1 (en) * 2020-07-23 2023-07-27 Lam Research Corporation Advanced self aligned multiple patterning using tin oxide
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11715640B2 (en) * 2020-09-30 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including silicon-containing layer and method for semiconductor device fabrication
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR102527983B1 (ko) * 2020-11-04 2023-05-03 엠에이치디 주식회사 반도체 장치의 미세 패턴 형성방법
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175466A (ja) * 2003-11-26 2005-06-30 Air Products & Chemicals Inc 反応器表面から物質を除去するための方法、装置及び混合物
JP2007211326A (ja) * 2006-02-13 2007-08-23 Nec Electronics Corp 成膜装置および成膜方法
US20100170868A1 (en) * 2009-01-07 2010-07-08 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
JP2010527138A (ja) * 2006-04-07 2010-08-05 マイクロン テクノロジー, インク. 簡易化ピッチダブリング工程
WO2010134176A1 (ja) * 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
DE10207131B4 (de) * 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
EP1851794A1 (en) * 2005-02-22 2007-11-07 ASM America, Inc. Plasma pre-treating surfaces for atomic layer deposition
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7544604B2 (en) * 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7727864B2 (en) * 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
KR101368544B1 (ko) * 2007-05-14 2014-02-27 마이크론 테크놀로지, 인크. 간이화한 피치 더블링 프로세스 플로우
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP4427562B2 (ja) * 2007-06-11 2010-03-10 株式会社東芝 パターン形成方法
JP5236983B2 (ja) 2007-09-28 2013-07-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
US8440569B2 (en) * 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US8680650B2 (en) * 2009-02-03 2014-03-25 Micron Technology, Inc. Capacitor structures having improved area efficiency
US8173554B2 (en) * 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
EP2336824A1 (en) * 2009-11-19 2011-06-22 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
KR20110055912A (ko) * 2009-11-20 2011-05-26 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성방법
US20110183269A1 (en) * 2010-01-25 2011-07-28 Hongbin Zhu Methods Of Forming Patterns, And Methods For Trimming Photoresist Features
US20110294075A1 (en) * 2010-05-25 2011-12-01 United Microelectronics Corp. Patterning method
US8470187B2 (en) * 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US8314034B2 (en) * 2010-12-23 2012-11-20 Intel Corporation Feature size reduction
US8298951B1 (en) * 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175466A (ja) * 2003-11-26 2005-06-30 Air Products & Chemicals Inc 反応器表面から物質を除去するための方法、装置及び混合物
JP2007211326A (ja) * 2006-02-13 2007-08-23 Nec Electronics Corp 成膜装置および成膜方法
JP2010527138A (ja) * 2006-04-07 2010-08-05 マイクロン テクノロジー, インク. 簡易化ピッチダブリング工程
US20100170868A1 (en) * 2009-01-07 2010-07-08 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
WO2010134176A1 (ja) * 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014103323A (ja) * 2012-11-21 2014-06-05 Dainippon Printing Co Ltd ナノインプリント用テンプレートの製造方法
US10192742B2 (en) 2013-11-07 2019-01-29 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
KR20150053253A (ko) * 2013-11-07 2015-05-15 노벨러스 시스템즈, 인코포레이티드 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
KR20220082792A (ko) * 2013-11-07 2022-06-17 노벨러스 시스템즈, 인코포레이티드 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
KR102407002B1 (ko) * 2013-11-07 2022-06-08 노벨러스 시스템즈, 인코포레이티드 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
JP2015111668A (ja) * 2013-11-07 2015-06-18 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 先進のパターニングのためのソフトランディング・ナノラミネート
KR102551503B1 (ko) * 2013-11-07 2023-07-05 노벨러스 시스템즈, 인코포레이티드 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
US9941135B2 (en) 2014-10-01 2018-04-10 Samsung Electronics Co., Ltd. Methods of forming a hard mask layer and of fabricating a semiconductor device using the same
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9640481B2 (en) 2015-09-03 2017-05-02 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
KR20180013745A (ko) * 2016-07-29 2018-02-07 램 리써치 코포레이션 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
JP2018061007A (ja) * 2016-07-29 2018-04-12 ラム リサーチ コーポレーションLam Research Corporation 半導体パターニング用途のためのドープald膜
KR102510157B1 (ko) * 2016-07-29 2023-03-14 램 리써치 코포레이션 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR102273916B1 (ko) * 2016-07-29 2021-07-06 램 리써치 코포레이션 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR20210086594A (ko) * 2016-07-29 2021-07-08 램 리써치 코포레이션 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9799534B1 (en) 2017-01-04 2017-10-24 International Business Machines Corporation Application of titanium-oxide as a patterning hardmask
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
JP2021528865A (ja) * 2018-06-26 2021-10-21 ラム リサーチ コーポレーションLam Research Corporation 有機材料上に金属酸化物膜を堆積するための堆積ツールおよび方法
US11887846B2 (en) 2018-06-26 2024-01-30 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials

Also Published As

Publication number Publication date
KR101866567B1 (ko) 2018-06-11
KR20120075397A (ko) 2012-07-06
US20150056540A1 (en) 2015-02-26
JP5913965B2 (ja) 2016-05-11
KR20180002566A (ko) 2018-01-08
KR101849500B1 (ko) 2018-04-16
US9171716B2 (en) 2015-10-27
US20120164846A1 (en) 2012-06-28
US8901016B2 (en) 2014-12-02

Similar Documents

Publication Publication Date Title
JP5913965B2 (ja) 金属酸化物のハードマスクの形成方法
US20200111669A1 (en) Method for depositing oxide film by peald using nitrogen
US11961741B2 (en) Method for fabricating layer structure having target topological profile
KR102434504B1 (ko) 토폴로지상 제한된 플라즈마-강화 순환 증착의 방법
US11676812B2 (en) Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
TW202104647A (zh) 使用氟移除形成一結構之方法
JP2021064788A (ja) 酸化シリコンの形態選択的な膜形成方法
JP5679153B2 (ja) 低温でプラズマ励起原子膜の成膜によりシリコン酸化膜を成膜する方法
TWI525658B (zh) 設計製造用於微影蝕刻遮罩應用的富硼薄膜之方法
JP7422557B2 (ja) 基板処理方法および基板処理装置
JP2015111668A (ja) 先進のパターニングのためのソフトランディング・ナノラミネート
JP2024045236A (ja) プラズマ処理装置および基板処理装置
JP2005045053A (ja) 半導体装置の製造方法
CN111819669B (zh) 形成气隙的系统及方法
US20170213732A1 (en) Semiconductor device fabrication using etch stop layer
US10515796B2 (en) Dry etch rate reduction of silicon nitride films
TWI842531B (zh) 氧化矽之拓撲選擇性膜形成之方法
US11658035B2 (en) Substrate processing method
US20100068882A1 (en) Semiconductor Device and Method for Manufacturing the Same
TW202334478A (zh) 氧化矽之拓撲選擇性膜形成之方法
TW202320160A (zh) 基板處理方法
TW202303687A (zh) 基板處理方法
KR20170077841A (ko) 원자층 식각을 포함하는 연속 공정

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141021

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150826

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151016

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160309

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160404

R150 Certificate of patent or registration of utility model

Ref document number: 5913965

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250