JP2021528865A - 有機材料上に金属酸化物膜を堆積するための堆積ツールおよび方法 - Google Patents

有機材料上に金属酸化物膜を堆積するための堆積ツールおよび方法 Download PDF

Info

Publication number
JP2021528865A
JP2021528865A JP2020572887A JP2020572887A JP2021528865A JP 2021528865 A JP2021528865 A JP 2021528865A JP 2020572887 A JP2020572887 A JP 2020572887A JP 2020572887 A JP2020572887 A JP 2020572887A JP 2021528865 A JP2021528865 A JP 2021528865A
Authority
JP
Japan
Prior art keywords
metal oxide
ald
precursor
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020572887A
Other languages
English (en)
Inventor
シンハル・アキル
ヴァン・クリープト・パトリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021528865A publication Critical patent/JP2021528865A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/01Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes on temporary substrates, e.g. substrates subsequently removed by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

【解決手段】高反応性の有機金属前駆体を用いて基板上の有機フォトレジストの上に金属酸化物層を堆積するよう構成されている原子層堆積(ALD)ツールが開示されている。高反応性の金属前駆体を用いることにより、金属酸化物層の成長率が非常に速くなり、後続のALDサイクル中に酸素種への後の暴露による損失および分解から有機フォトレジストを効果的に保護する「シール」を形成する。金属酸化物層を堆積できることは、マルチパターニングで金属酸化物スペーサを利用できることを意味し、結果として、非常に均一で高密度のラインが得られると共に、フォトリソグラフィ−エッチング工程が削減される。
【選択図】図3

Description

関連出願への相互参照
本願は、2018年6月26日出願の米国仮出願第62/690,210号、および、2018年8月1日出願の米国仮出願第16/052,286号に基づく優先権の利益を主張し、これらの仮出願は両方とも、すべての目的に対して参照によって本明細書に組み込まれる。
原子層堆積(ALD)は、半導体基板上に形成される薄膜の厚さを1原子単層のオーダーで制御することのできる堆積方法である。
プラズマ強化ALD(PEALD)は、低温で膜特性の改善を可能にするさらなる改善である。PEALDは、RF誘導プラズマ内の化学前駆体(ALDと同様の前駆体)を用いて、高度に制御された方法で薄膜を形成するのに必要な化学反応を引き起こす。PEALDは、低温処理、堆積層の優れた共形性および厚さの制御、ならびに、堆積前後のその場処理の能力など、多くの利点を提供する。
マルチプルパターニングは、フォトリソグラフィの限界を超えて集積回路(IC)のフィーチャ密度を高めるための技術である。かかるマルチパターニング技術は、例えば、いくつかの例を挙げると、ピッチ分割、側壁イメージ転写、自己整合コンタクト、ビアパターニング、レイアウト分割、自己整合ダブルまたはクアドラプルパターニング、を含む。マルチパターニングは、10nmおよび7nmノード以上の半導体処理に必要になると予想される。
自己整合クアドラプルパターニング(SAQP)は、基本的に、ダブルパターニング技術(しばしば、自己整合ダブルパターニング(SADP)と呼ばれる)を2サイクル行うものである。SAQPおよびSAQPは両方とも、複数の層を上に形成された基板を必要とする。例えば、基板上の層は、最下層から上へ向かって、アッシャブルハードマスク(AHM)またはスピンオンカーボン層(SOC)と呼ばれる第1炭素膜、酸化シリコンSiO層、第2炭素AHM/SOC層、ならびに、反射防止層(ARL)、を含みうる。
SAPDは、フォトリソグラフィ工程およびさらなるエッチング工程を用いて、基板上にスペーサのようなフィーチャを規定する。SADP処理において、最初の工程は、レジスト材料を堆積した後に、フォトリソグラフィを用いて、基板上の上部ARL層の上に「マンドレル」をパターニングするための工程である。マンドレルは、通例、フォトリソグラフィの限界またはそれに近いピッチを有する。マンドレルは、次に、酸化シリコン(SiO)などの堆積層で被覆される。「スペーサエッチング」が、その後に実行され、(a)SiO層の水平面と、(b)フォトレジストと、を除去する。結果として、SiOの垂直面だけが、ARL層上に残る。これらの垂直面は、「スペーサ」を規定し、スペーサは、従来のフォトリソグラフィで達成できるよりも細かいピッチを有する。
SAQPは、上述のダブルパターニング処理の延長である。SAQPでは、SiOスペーサが、マスクされた領域の下を除いて下層のARL層および第2AHM層を除去するエッチング工程でマスクとして用いられる。その後、SiOスペーサが除去され、AHM層に形成された第2マンドレルが残る。次いで、別のSiO層が堆積され、続いて、別の「スペーサエッチング」が実行され、(a)SiO層の水平部分と、(b)第2マンドレルと、を除去する。結果として、下層のSiO層の上にSiOスペーサを形成された構造が得られる。SAQP処理では、第2SiOスペーサのピッチは、第1スペーサよりも細かく、従来のリソグラフィの限界を大幅に超える。
マルチパターニングは、大きい利益を提供し、従来のフォトリソグラフィの有用性を次世代の集積回路に拡張するのに役立つが、様々な処理に限界がある。特に、マルチパターニングは、スペーサを形成するために、多くの堆積工程、フォトリソグラフィ工程、および、エッチング工程を必要とする。スペーサのピッチが細かくなるほど、より多くのフォトリソグラフィ−エッチングのサイクルが必要になる。これらの追加の工程が、半導体製造のコストおよび複雑さを大幅に増大させる。したがって、よりコスト効率がよく、簡単な、マルチパターニング処理(SADPおよびSAQPおよびそれらを超える処理)を実施するためのソリューションが求められる。
有機金属前駆体を用いて基板上の有機フォトレジストの上に金属酸化物層を堆積するためのシステムおよび方法が開示されている。非排他的実施形態において、システムおよび方法は、原子層堆積(ALD)ツール内で実施され、各ALDサイクルは、(1)基板上の有機フォトレジスト層の上に金属酸化物層を堆積するために有機金属前駆体を用いる第1ハーフサイクルと、(2)有機フォトレジストを分解する傾向のある酸素種を含有するプラズマを含む第2ハーフサイクルと、を備える。第1ハーフサイクルで高反応性の前駆体を用いることにより、金属酸化物層は、非常に速い速度で堆積されるため、後続のALDサイクルの第2ハーフサイクル中に有機フォトレジストを分解および損失からシールおよび保護するのに十分である。好ましいが非排他的な実施形態において、有機フォトレジストは、3回以下のALDサイクルで金属酸化物層によって効果的にシールされる。
様々な非排他的実施形態において、(a)金属酸化物層は、ALDサイクル当たり1.0オングストローム以上の速度で堆積され、(b)有機金属前駆体は、アミノ10タイプの前駆体またはメトキシタイプの前駆体のいずれかなど、金属有機スズ前駆体であり、(c)有機フォトレジストは、炭素フォトレジスト、ポリマフォトレジスト、炭素アッシャブルハードマスク膜、または、炭素反射防止層(ARL)であり、(d)金属酸化物層は、酸化スズ(SnO)である。
さらに別の実施形態において、堆積された金属酸化物層は、マルチパターニング処理フローの中で基板上にスペーサを形成するために用いられる。金属酸化物スペーサを用いることにより、非常に均一なスペーサなど、多くの利点が実現され、結果として、高密度のフィーチャパターン、より小さいライン幅、および、より少ないフォトリソグラフィ−エッチング工程の必要回数、が実現される。結果として、マルチパターニングが大幅に簡略化され、半導体製造のコストおよび複雑さが低減され、同時に、半導体デバイスの精度および性能が改善される。
さらに別の実施形態において、ALDツールは、プラズマ強化ALDツール(PEALD)である。
本願およびその利点は、添付の図面に関連して行う以下の説明を参照することによって最も良く理解できる。
本発明の非排他的実施形態に従って、原子堆積層(ALD)ツールを示すブロック図。
本発明の非排他的実施形態に従って、自己整合クアドラプルパターニング(SAQP)処理の処理工程を示す一連の半導体基板断面の1つを示す図。 本発明の非排他的実施形態に従って、自己整合クアドラプルパターニング(SAQP)処理の処理工程を示す一連の半導体基板断面の1つを示す図。 本発明の非排他的実施形態に従って、自己整合クアドラプルパターニング(SAQP)処理の処理工程を示す一連の半導体基板断面の1つを示す図。 本発明の非排他的実施形態に従って、自己整合クアドラプルパターニング(SAQP)処理の処理工程を示す一連の半導体基板断面の1つを示す図。 本発明の非排他的実施形態に従って、自己整合クアドラプルパターニング(SAQP)処理の処理工程を示す一連の半導体基板断面の1つを示す図。
本発明の非排他的実施形態に従って、SAQPを実施するための処理工程を示すフローチャート。
本発明の非排他的実施形態に従って、ALDツールを制御するために利用されるシステムコントローラを示すブロック図。
図面において、同様の符号が、同様の構造要素を指定するために用いられることがある。図中の描写は、図式的なものであり、必ずしも縮尺通りではないことも理解されたい。
以下では、添付図面に例示されたいくつかの非排他的実施形態を参照しつつ、本願の詳細な説明を行う。以下の説明では、本開示の完全な理解を促すために、数多くの具体的な詳細事項が示されている。しかしながら、当業者にとって明らかなように、本開示は、これらの具体的な詳細事項の一部または全てがなくとも実施することが可能である。また、本開示が不必要に不明瞭となるのを避けるため、周知の処理工程および/または構造については、詳細な説明を省略した。
図1を参照すると、原子堆積層(ALD)ツール10のブロック図が示されている。ツール10は、処理チャンバ12と、シャワーヘッド14と、基板18を配置/保持するための基板ホルダ16と、任意選択的なRF源20と、システムコントローラ22と、を備える。動作中、1または複数の反応ガスすなわち「前駆体」が、シャワーヘッド14を通して一つずつ処理チャンバ12に供給される。シャワーヘッド14内で、前駆体は、基板18の表面の上方の領域全体において、1または複数のプレナム(図示せず)を介してチャンバ12内へ分配される。
2部分のALDサイクルが、基板18の上面の上に膜を形成するために用いられる:
(1)ALDサイクルの第1ハーフ(前半)において、第1前駆体が、シャワーヘッド14を介して処理チャンバ12に導入される。第1前駆体は、基板18と反応して、表面上に第1粒子の第1層を堆積する。次いで、処理チャンバ12は、パージされる。
(2)ALDサイクルの第2ハーフ(後半)において、第2前駆体が、処理チャンバに導入される。第2前駆体も、基板18と反応して、表面上に第2粒子の第2層を形成する。
第1および第2前駆体の両方の反応は、自己制限的であり、これは、基板18の表面の特定の領域が粒子によって被覆されると、さらなる粒子がその同じ領域には蓄積しないことを意味する。結果として、各反応物質は、基板18の表面上に原子単層を形成する。
上記のALDサイクルは、連続的に複数回実行されて、第1および第2粒子の交互の単層を生成してよい。所望の厚さの膜が達成されると、ALDサイクルは停止され、処理は完了する。
非排他的実施形態において、ALDツール10は、プラズマ強化ALDすなわち「PEALD」ツールである。PEALDツールでは、任意選択的なRF発生器20によって生成されたRF電位が、シャワーヘッド14、基板ホルダ18(これも図示せず)、あるいは、シャワーヘッド14および基板ホルダ18の両方、の上の電極(図示せず)へ印加される。どのように印加されたかに関わらず、RF電位は、処理チャンバ12内でプラズマ24を生成する。
PEALDツール内でのALDサイクル中、第1前駆体が処理チャンバ12に導入され、RF電位が印加される。結果として生じたプラズマ24は、励起電子が、第1前駆体から電離または解離(すなわち「クラック」)させて、化学反応性ラジカルを生成する。これらのラジカルが反応すると、粒子が基板18上に堆積して、原子単層を形成する。原子単層が完成すると、処理チャンバはパージされる。次いで、第2前駆体が処理チャンバ12に導入され、プラズマがRF電位によって生成され、第2粒子の別の単層が、基板18の表面上に形成される。上述の2部分のALDサイクルは、第1および第2粒子の交互の単層から形成された膜が所望の厚さになるまで、複数回繰り返されてよい。
様々な非排他的実施形態において、RF発生器20は、高、中、および/または、低RF周波数を生成することができる単一のRF発生器または複数のRF発生器であってよい。例えば、高周波数の場合、RF発生器20は、2〜100MHzの範囲、好ましくは13.56MHzまたは27MHzの周波数を生成してよい。低周波数が生成される場合、範囲は、50KHz〜2MHzであり、好ましくは350〜600KHzである。さらに別の実施形態において、RF源20は、上述のようにシャワーヘッド14および/または基板ホルダ16上に提供された1または複数のRF発生電極に誘導結合または受動結合されてよい。
マルチパターニングでは、金属酸化物を堆積できることが、いくつかの理由で有利である。かかる金属酸化物膜は、(1)エッチング選択性の改善、および、(2)マルチパターニング処理の単純化、という利点を提供し、フォトレジスト工程およびエッチング工程の必要な回数を潜在的に削減する。
しかしながら、従来のALD前駆体を用いた有機炭素層へのかかる金属薄膜の堆積には、いくつかの理由で問題がある。ALDサイクルの第1ハーフで用いられる従来の前駆体(テトラメチルスズなど)は、ALDサイクル当たりに非常に遅い成長率(例えば、0.1〜0.2オングストロームのオーダー)をもたらす。結果として、ALDサイクルの第2ハーフ中に、著しい損失および分解が、酸素種への暴露により有機炭素層に起きる。
マルチパターニングでは、スペーサの側壁の均一性が、極めて重要である。しかしながら、ALD処理で用いられる従来の前駆体では、結果として得られるスペーサの側壁は、ALDサイクル中に受ける損失および分解により、実質的に不均一である。側壁スペーサの欠陥により、一般に、従来の前駆体は、マルチパターニング処理における金属酸化物膜(酸化スズ(SnO)など)のパターニングに不適合となる。
出願人は、いくつかの高反応性の前駆体の1つに依存するALDサイクルを規定した。高反応性の前駆体を用いることにより、金属酸化物の成長率は、PEALDツールで一般に用いられる低温であっても、ALDサイクルの第1ハーフにおいてはるかに速くなる。結果として、金属酸化物は、下層の有機層を効果的に「シール」する。したがって、有機層は、ALDサイクルの第2ハーフ中に酸素種への暴露による著しい損失/分解から実質的に保護される。
非排他的実施形態において、金属酸化物層は、1または複数のALDサイクル中に有機フォトレジスト上に堆積される酸化スズ(SnO)である。酸化スズの場合、第1ハーフサイクルは、スズ(Sn)を含む第1前駆体と、第2ハーフサイクルのための酸素(O)種を含む第2前駆体と、を用いる。各ALDサイクルは、以下を含む:
(1)基板上の有機フォトレジスト層上に金属酸化物層を堆積するために、スズを含む高反応性の有機金属前駆体を用いる第1ハーフサイクル、および、
(2)酸素(O)種を含む第2ハーフサイクル。しかしながら、酸素種の副次的な影響により、有機フォトレジストを分解する。
高反応性の有機金属前駆体を用いると、堆積された金属酸化物層が、3回以内のALDサイクルで有機フォトレジストを効果的にシールする。例えば、各第1ハーフサイクルが、1.0オングストローム以上の金属酸化物層の厚さをもたらす場合、有機フォトレジストは、ALDサイクルの第2ハーフ中に酸素種からの暴露から効果的にシールされる。
非排他的実施形態において、有機金属前駆体は、金属有機スズ前駆体(アミノ10タイプの前駆体またはメトキシタイプの前駆体など)である。アミノ10前駆体の例は、(a)ジメチルアミノジメチルスズ(MeSn(NMe)、(b)ジメチルアミノトリメチルスズ(MeSn(MMe)、または、(c)テトラキス(ジメチルアミノ)スズ(Sn(Nme、(d)テトラキス(ジエチルアミノ)スズ(Sn(Net)を含む。メトキシタイプの前駆体の例は、ジブチルジメトキシスズ(BuSn(OMe))、もしくは、(b)アミノスズおよびメトキシ金属有機スズ前駆体を含む。
さらに別の非排他的実施形態において、有機フォトレジストは、炭素フォトレジスト、ポリマフォトレジスト、炭素ハードマスク膜、および/または、反射防止層(ARL)である。
比較として、出願者は、従来の前駆体が、ALDサイクル当たり、0.1〜0.2オングストロームのオーダーの金属酸化物層の遅い成長率と、25ナノメートルを超える範囲の炭素層の損失とをもたらすことを見出した。一方、ALDサイクル当たり1.0〜2.0オングストロームのオーダーに成長を加速させる上記の高反応性の前駆体の1つを用いれば、損失は、ALDサイクル当たり0.5ナノメートル未満に抑えられた。このようにかなりの程度まで損失を抑えることにより、酸化スズ(SnO)などの酸化物薄膜の堆積物は、マルチパターニング処理に適したものとなる。
ALDサイクルの詳細
非排他的実施形態において、有機金属前駆体を用いて、炭素などの有機フォトレジスト上へ酸化スズ(SnO)などの金属酸化物層を堆積するためのALDサイクルの第1ハーフサイクルおよび第2ハーフサイクルの例のための処理パラメータを、以下に提供する。
第1ハーフサイクルのための処理パラメータは、(a)毎分0.1〜0.5リットルの流量の上記の有機金属前駆体の1つ、(b)室温または周囲温度から125℃までの範囲の温度、(c)13.5MHzのRF周波数および50〜1250ワットの範囲の電位、1.0〜6.0Torrの範囲のチャンバ圧、1.0〜3.0秒の継続時間、を含む。
第2ハーフサイクルのための処理パラメータは、(a)酸素、亜酸化窒素、二酸化炭素、オゾンなどの酸素種を毎分0.1〜2リットルの流量で含むプラズマ、(b)室温または周囲温度から125℃までの範囲の温度、(c)13.5MHzのRF周波数および50〜1200ワットの範囲の電位、1.0〜6.0Torrの範囲のチャンバ圧、1.0〜3.0秒の継続時間、を含む。さらに別の実施形態において、アルゴンおよびヘリウムが、サイクルの第2ハーフにおける酸素種と共に含められてもよい。アルゴンおよびヘリウムの存在が、チャンバ内でより均一なプラズマを生成するのに役立つ。
マルチパターニング処理
有機層上に金属酸化物を堆積できることで、(1)含まれる層の数と、(2)必要なリソグラフィ−エッチングサイクルの回数とを削減することによって、マルチパターニングを大幅に改善および単純化する機会が生まれる。これらの利点は、以前には上述したように不均一性の問題によって適していなかった金属酸化物から形成されたスペーサを導入または形成することによって実現される。
図2A〜図2Eを参照すると、金属酸化物スペーサを用いた自己整合クアドラプルパターニング(SAQP)シーケンスの処理工程を示す基板18の一連の断面が示されている。
図2Aにおいて、断面は、基板18上に形成された有機アッシャブルハードマスク(AHM)40および有機反射防止層(ARL)42を含む。マンドレル44が、フォトリソグラフィ工程によって形成され、有機ARL層42上に提供されている。特定の実施形態において、マンドレル44は、典型的に、必ずではないが、従来のリソグラフィの限界に等しいかまたは近いピッチを有する。別の実施形態において、マンドレル44は、その限界よりも大きいピッチを有する。さらに別の実施形態において、有機層42、44は、炭素および/またはポリマ材料でありうる。
図2Bでは、金属酸化物層46が、マンドレル44およびARL層42の上に形成されている。金属酸化物層46は、上述のように、ツール10内で3回以下のALDサイクルを用いて形成される。換言すると、少なくとも1.0オングストロームの厚さを有する金属酸化物層が、各ハーフサイクルごとに堆積される。これらの層は、下層の有機マンドレル44およびARL層42を各ALDサイクルの第2ハーフ中の分解および損失から効果的にシールするよう機能する。非排他的実施形態において、金属酸化物層は、酸化スズ(SnO)である。
図2Cでは、(a)金属酸化物層46の水平部分と(b)マンドレル44とを除去する「スペーサエッチング」後の基板18が示されている。結果として得られた構造は、複数の金属酸化物スペーサ48を規定する。金属酸化物スペーサ48は、マンドレル44よりも大幅に小さいピッチを有する第2マンドレルとして効果的に機能する。
図2Dでは、酸化シリコン(SiO)層50が、金属酸化物スペーサ48上に形成されている。
最後に、図2Eにおいて、(a)酸化シリコン層50の水平部分と(b)第2マンドレル(例えば、金属酸化物スペーサ48)とを除去する第2スペーサエッチングが実行されている。結果として得られた構造は、金属酸化物スペーサ48よりも大幅に小さいピッチを有する複数の酸化シリコンスペーサ52を基板18上に備える。
例として、第1マンドレル44の特定の実施形態は、32〜128ナノメートルの範囲のピッチを有し、金属酸化物スペーサ48は、16〜32ナノメートルの範囲のピッチを有し、酸化シリコンスペーサ52は、8〜16ナノメートルの範囲のピッチを有しうる。したがって、上述のSAQP処理は、従来の前駆体で可能なよりも大幅に小さい寸法を有するラインおよびフィーチャをもたらす。これらの範囲は、例示であり、限定として解釈されるべきでないことを理解されたい。半導体製造処理が改良され、フィーチャサイズがますます小さくなるにつれ、これらの範囲もますます小さくなる可能性がある。
高反応性の前駆体を用いることで、均一性の高い金属酸化物スペーサの形成が可能になり、多くの利点が提供される。第1に、金属酸化物スペーサの均一性は、非常に密度の高いラインを可能にし、非常に小さいフィーチャサイズにつながる。第2に、金属酸化物スペーサは、高弾性を有する。第3に、金属酸化物スペーサは、従来の反応前駆体に依存した従来のマルチパターニングと比較して、フォトレジストエッチング工程の回数の削減も実現する。処理工程の削減により、精度および性能を改善しつつ、マルチパターニングのコストおよび複雑さが削減される。
上記の実施形態において、酸化スズ(SnO)は、唯一言及した金属酸化物である。しかしながら、二酸化チタン、二酸化ハフニウム、二酸化ジルコニウム、酸化タンタルなど、その他の金属酸化物が用いられてもよいことを理解されたい。
図3を参照すると、上述のようにSAQPシーケンスを実施するための処理工程を示すフローチャート300が示されている。
工程302で、マンドレル44が、有機層(炭素またはポリマ材料など)をパターニングするためにフォトリソグラフィを用いて、基板18上に形成される。
工程304で、金属酸化物層46が、マンドレル44および下層の有機層の上に堆積される。酸化金属層46は、高反応性の有機金属前駆体(上記したものの内の1つなど)を用いて堆積される。
工程306で、(a)金属酸化物層46の水平部分と(b)マンドレル44とを除去するスペーサエッチングが実行される。スペーサエッチングの結果として、金属酸化物スペーサ48が形成される。金属酸化物スペーサ48は、第1マンドレル44よりも細かいピッチを有する第2マンドレルを効果的に規定する。
工程308で、酸化シリコン(SiO)の層50が、酸化シリコン(SiO)を含む基板18の上に堆積される。
工程410で、(a)酸化シリコン(SiO)50の水平部分と(b)酸化シリコン(SiO)とを除去する第2スペーサエッチングが実行される。最終結果として、マンドレル44よりも大幅に小さいピッチを有するスペーサ52が形成される。
システムコントローラ
図4を参照すると、本発明の非排他的実施形態に従ったシステムコントローラ22のブロック図が示されている。システムコントローラ24は、堆積中、堆積後、および/または、その他の処理動作中に、ALD(またはPEALD)ツール10の動作全体を一般に制御し、処理条件を管理するために用いられる。
システムコントローラ24は、集積回路から、プリント回路基板、小型ハンドヘルドデバイス、パーソナルコンピュータ、サーバ、スーパーコンピュータに及ぶ多くの物理的形態(いずれも、1または複数のプロセッサを有しうる)を取りうる。コンピュータシステム24は、さらに、電子ディスプレイデバイス404(画像、テキスト、および、その他のデータを表示するためのもの)と、非一時的なメインメモリ406(例えば、ランダムアクセスメモリ(RAM))と、ストレージデバイス408(例えば、ハードディスクドライブ)と、リムーバブルストレージデバイス410(例えば、光学ディスクドライブ)と、ユーザインターフェースデバイス412(例えば、キーボード、タッチスクリーン、キーパッド、マウス、または、その他のポインティングデバイスなど)と、通信インターフェース414(例えば、無線ネットワークインターフェース)と、を備えてよい。通信インターフェース414は、リンクを介してシステムコントローラ24および外部デバイスの間でソフトウェアおよびデータを転送することを可能にする。システムコントローラ24は、さらに、上述のデバイス/モジュールが接続される通信インフラ216(例えば、通信バス、クロスオーバーバー、または、ネットワーク)を備えてもよい。
「非一時的なコンピュータ読み取り可能媒体」という用語は、一般に、メインメモリ、二次メモリ、リムーバブルストレージ、および、ストレージデバイスなどのメディア(ハードディスク、フラッシュメモリ、ディスクドライブメモリ、CD−ROM、および、その他の形態の持続性メモリなど)を指すために用いられ、搬送波または信号など、一時的な対象を網羅すると解釈されるべきではない。
特定の実施形態において、システムコントローラ24は、システムソフトウェアまたはコードを実行または遂行して、処理動作のタイミング、RF発生器20の動作の周波数および電力、処理チャンバ12内の圧力、反応物質の流量、処理チャンバ12内の濃度および温度、処理チャンバをパージするタイミング、などを制御するような動作を含むツール10の動作の全部または少なくとも大部分を制御する。
通信インターフェース414を介して転送される情報は、電子信号、電磁信号、光信号、または、信号を搬送する通信リンクを介して通信インターフェース414によって受信できるその他の信号など、信号の形態であってよく、電線すなわちケーブル、光ファイバ、電話回線、携帯電話リンク、無線周波リンク、および/または、通信チャネルを用いて実施されてよい。かかる通信インターフェースを用いて、1または複数のプロセッサ402は、ネットワークから情報を受信、または、ネットワークに情報を出力しうることが想定される。さらに、方法の実施形態は、プロセッサだけで実行されてもよいし、インターネットなどのネットワークを介して、処理の一部を分担する遠隔プロセッサと協働で実行されてもよい。
本明細書で提供する実施形態は、単に例示であり、任意の点に関して限定として解釈されるべきでないことを理解されたい。一般に、本願は、2つのらせんパターンを規定する少なくとも2セットの穴と、2つのパターンに対する2つのプレナムとを有する任意のシャワーヘッドを丁寧に網羅するよう意図されている。
いくつかの実施形態についてのみ、詳細に説明したが、本明細書に提供する本開示の精神または範囲を逸脱することなしに、多くの他の形態で本願を実施できることを理解すべきである。例えば、基板は、半導体ウエハ、別の半導体デバイス、平面パネルディスプレイ、または、任意のその他のタイプのワークピースであってよい。
したがって、これらの実施形態は、例示的なものであって、限定的なものではないとみなされ、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてもよい。
様々な非排他的実施形態において、(a)金属酸化物層は、ALDサイクル当たり1.0オングストローム以上の速度で堆積され、(b)有機金属前駆体は、アミノタイプの前駆体またはメトキシタイプの前駆体のいずれかなど、金属有機スズ前駆体であり、(c)有機フォトレジストは、炭素フォトレジスト、ポリマフォトレジスト、炭素アッシャブルハードマスク膜、または、炭素反射防止層(ARL)であり、(d)金属酸化物層は、酸化スズ(SnO)である。
非排他的実施形態において、有機金属前駆体は、金属有機スズ前駆体(アミノタイプの前駆体またはメトキシタイプの前駆体など)である。アミノ前駆体の例は、(a)ジメチルアミノジメチルスズ(MeSn(Me)、(b)ジメチルアミノトリメチルスズ(MeSn(Me)、または、(c)テトラキス(ジメチルアミノ)スズ(Sn(N 、(d)テトラキス(ジエチルアミノ)スズ(Sn(N)を含む。メトキシタイプの前駆体の例は、(a)ジブチルジメトキシスズ(BuSn(OMe))、および、(b)アミノスズおよびメトキシ金属有機スズ前駆体を含む。
したがって、これらの実施形態は、例示的なものであって、限定的なものではないとみなされ、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてもよい。
適用例1:
原子層堆積(ALD)ツールであって、有機金属前駆体を用いて基板上の有機フォトレジストの上に金属酸化物層を堆積するよう構成されている、ALDツール。
適用例2:
適用例1のALDツールであって、前記金属酸化物層は、1回または複数回のALDサイクル中に前記有機フォトレジストの上に堆積され、各ALDサイクルは、
前記基板上の前記有機フォトレジストの上に前記金属酸化物層を堆積するために前記有機金属前駆体を用いる第1ハーフサイクルと、
前記有機フォトレジストを分解する酸素種を含む第2ハーフサイクルと、
を備える、ALDツール。
適用例3:
適用例2のALDツールであって、前記有機金属前駆体は、3回以内の前記ALDサイクルで前記堆積された金属酸化物層によって前記有機フォトレジストをシールするのに十分な反応性を有する、ALDツール。
適用例4:
適用例2のALDツールであって、前記金属酸化物層は、ALDサイクル当たり1.0オングストローム以上の速度で堆積される、ALDツール。
適用例5:
適用例1のALDツールであって、前記有機金属前駆体は、金属有機スズ前駆体である、ALDツール。
適用例6:
適用例1のALDツールであって、前記有機金属前駆体は、アミノ10タイプの前駆体である、ALDツール。
適用例7:
適用例6のALDツールであって、前記アミノ10タイプの前駆体は、
(a)ジメチルアミノジメチルスズ(Me Sn(NMe
(b)ジメチルアミノトリメチルスズ(Me Sn(MMe )、
(c)テトラキス(ジメチルアミノ)スズ(Sn(Nme
(d)テトラキス(ジエチルアミノ)スズ(Sn(Net )) 、または、
(e)その他のアミノ金属有機スズ前駆体、
を含む群から選択される、ALDツール。
適用例8:
適用例1のALDツールであって、前記有機金属前駆体は、メトキシタイプの前駆体である、ALDツール。
適用例9:
適用例8のALDツールであって、前記メトキシタイプの前駆体は、
(a)ジブチルジメトキシスズ(Bu Sn(OMe) )、または、
(b)任意のメトキシまたはエトキシスズ前駆体、
を含む群から選択される、ALDツール。
適用例10:
適用例1のALDツールであって、前記有機フォトレジストは、炭素フォトレジストである、ALDツール。
適用例11:
適用例1のALDツールであって、前記有機フォトレジストは、ポリマフォトレジストである、ALDツール。
適用例12:
適用例1のALDツールであって、前記有機フォトレジストは、炭素マスク膜である、ALDツール。
適用例13:
適用例1のALDツールであって、前記有機フォトレジストは、反射防止層(ARL)である、ALDツール。
適用例14:
適用例1のALDツールであって、前記金属酸化物層は、酸化スズ(SnO )であり、前記有機フォトレジストは、炭素フォトレジストである、ALD。
適用例15:
適用例1のALDツールであって、前記金属酸化物層は、酸化スズ(SnO )であり、前記有機フォトレジストは、炭素反射防止層(ARL)である、ALD。
適用例16:
適用例2のALDツールであって、さらに、
処理チャンバと、
前記処理チャンバ内で前記基板を支持するための基板ペデスタルと、
を備え、
前記1回または複数回のALDサイクルは、前記処理チャンバ内で実行される、ALDツール。
適用例17:
適用例16のALDツールであって、前記ALDツールは、前記処理チャンバ内でプラズマを生成するためのRF源を備えたプラズマ強化ALDツール(PEALD)である、ALDツール。
適用例18:
適用例1のALDツールであって、前記堆積された金属酸化物層は、マルチパターニング処理フローの中で前記基板上にスペーサを形成するために用いられる、ALDツール。
適用例19:
基板に対して実行されるマルチパターニング方法であって、有機金属前駆体を用いて、前記基板上の有機フォトレジストの上に金属酸化物スペーサを形成する工程を備える、方法。
適用例20:
適用例19のマルチパターニング方法であって、前記金属酸化物スペーサを形成する工程は、さらに、
前記基板上にマンドレルを規定するために、フォトリソグラフィを用いて前記有機フォトレジストをパターニングする工程と、
前記基板上の前記マンドレルの上に金属酸化物の層を堆積する工程と、
前記金属酸化物の前記層の略水平面と、前記マンドレルとを前記基板から除去することにより、前記基板上に前記金属酸化物スペーサを形成する工程と、
を含む、方法。
適用例21:
適用例20のマルチパターニング方法であって、さらに、
前記基板上の前記金属酸化物スペーサの上に酸化シリコン(SiO )層を形成する工程と、
前記酸化シリコン(SiO )層の水平部分と、前記金属酸化物スペーサとを除去することにより、前記基板上にSiO スペーサを形成する工程と、
を備える、方法。
適用例22:
適用例21のマルチパターニング方法であって、前記金属酸化物スペーサは、前記マンドレルよりも小さいピッチを有し、前記SiO スペーサは、前記金属酸化物スペーサよりも小さいピッチを有する、方法。
適用例23:
適用例20のマルチパターニング方法であって、前記金属酸化物の層を堆積する工程は、さらに、3回以下の原子層堆積(ALD)サイクルを前記基板に実行する工程を備え、各ALDサイクルは、1.0オングストローム以上の厚さを有する金属酸化物膜をもたらす、方法。
適用例24:
適用例23のマルチパターニング方法であって、各ALDサイクルは、さらに、
第1ハーフサイクル中に、前記金属酸化物膜を堆積して、前記金属酸化物層を形成する工程と、
第2ハーフサイクル中に、前記基板を酸素種に暴露させる工程と、
を含み、
前記第1ハーフサイクル中に堆積された前記金属酸化物膜は、前記第2ハーフサイクル中に前記酸素種が前記マンドレルを分解することを防止するのに役立つ、方法。
適用例25:
適用例21のマルチパターニング方法であって、前記有機金属前駆体は、金属有機スズ前駆体である、方法。
適用例26:
適用例25のマルチパターニング方法であって、前記有機金属前駆体は、アミノ10タイプの前駆体である、方法。
適用例27:
適用例26のマルチパターニング方法であって、前記アミノ10タイプの前駆体は、
(a)ジメチルアミノジメチルスズ(Me Sn(NMe
(b)ジメチルアミノトリメチルスズ(Me Sn(MMe )、
(c)テトラキス(ジメチルアミノ)スズ Sn(Nme
(d)テトラキス(ジエチルアミノ)スズ(Sn(Net )4)、または、
(e)任意の金属有機アミノスズ前駆体、
を含む群から選択される、方法。
適用例28:
適用例21のマルチパターニング方法であって、前記有機金属前駆体は、メトキシタイプの前駆体である、方法。
適用例29:
適用例28のマルチパターニング方法であって、前記メトキシタイプの前駆体は、
(a)ジブチルジメトキシスズ(Bu Sn(OMe) )、
(b)任意のその他のメトキシまたはエトキシスズ前駆体、
を含む群から選択される、方法。
適用例30:
適用例21のマルチパターニング方法であって、前記有機フォトレジストは、
(a)炭素フォトレジスト、
(b)ポリマフォトレジスト、
(c)炭素マスク膜、または、
(d)炭素反射防止層(ARL)、
の内の1つである、方法。
適用例31:
適用例19のマルチパターニング方法であって、前記金属酸化物層は、酸化スズ(SnO )であり、前記有機フォトレジストは、炭素フォトレジストである、方法。
適用例32:
適用例19のマルチパターニング方法であって、前記金属酸化物層は、酸化スズ(SnO )であり、前記フォトレジストは、炭素反射防止層(ARL)である、方法。
適用例33:
適用例23のマルチパターニング方法であって、各ALDサイクルは、室温から125℃までの範囲の温度で実行される、方法。
適用例34:
適用例23のマルチパターニング方法であって、前記第1ハーフサイクル中に、前記金属酸化物膜を堆積する工程は、さらに、毎分0.1〜0.5リットルの範囲の流量で、有機金属前駆体を処理チャンバ内に導入する工程を含む、方法。
適用例35:
適用例34のマルチパターニング方法であって、さらに、前記第1ハーフサイクルの継続時間を1.0〜3.0秒の範囲で定める工程を含む、方法。
適用例36:
適用例1のALDツールであって、前記金属酸化物層は、
(a)酸化スズ、
(b)二酸化チタン、
(c)二酸化ハフニウム、
(d)二酸化ジルコニウム、または、
(e)酸化タンタル、
を含む群から選択される、ALDツール。
適用例37:
適用例19のマルチパターニング方法であって、前記金属酸化物スペーサは、
(a)酸化スズ、
(b)二酸化チタン、
(c)二酸化ハフニウム、
(d)二酸化ジルコニウム、または、
(e)酸化タンタル、
の内の1つを含む、方法。

Claims (37)

  1. 原子層堆積(ALD)ツールであって、有機金属前駆体を用いて基板上の有機フォトレジストの上に金属酸化物層を堆積するよう構成されている、ALDツール。
  2. 請求項1に記載のALDツールであって、前記金属酸化物層は、1回または複数回のALDサイクル中に前記有機フォトレジストの上に堆積され、各ALDサイクルは、
    前記基板上の前記有機フォトレジストの上に前記金属酸化物層を堆積するために前記有機金属前駆体を用いる第1ハーフサイクルと、
    前記有機フォトレジストを分解する酸素種を含む第2ハーフサイクルと、
    を備える、ALDツール。
  3. 請求項2に記載のALDツールであって、前記有機金属前駆体は、3回以内の前記ALDサイクルで前記堆積された金属酸化物層によって前記有機フォトレジストをシールするのに十分な反応性を有する、ALDツール。
  4. 請求項2に記載のALDツールであって、前記金属酸化物層は、ALDサイクル当たり1.0オングストローム以上の速度で堆積される、ALDツール。
  5. 請求項1に記載のALDツールであって、前記有機金属前駆体は、金属有機スズ前駆体である、ALDツール。
  6. 請求項1に記載のALDツールであって、前記有機金属前駆体は、アミノ10タイプの前駆体である、ALDツール。
  7. 請求項6に記載のALDツールであって、前記アミノ10タイプの前駆体は、
    (a)ジメチルアミノジメチルスズ(MeSn(NMe
    (b)ジメチルアミノトリメチルスズ(MeSn(MMe)、
    (c)テトラキス(ジメチルアミノ)スズ(Sn(Nme
    (d)テトラキス(ジエチルアミノ)スズ(Sn(Net))、または、
    (e)その他のアミノ金属有機スズ前駆体、
    を含む群から選択される、ALDツール。
  8. 請求項1に記載のALDツールであって、前記有機金属前駆体は、メトキシタイプの前駆体である、ALDツール。
  9. 請求項8に記載のALDツールであって、前記メトキシタイプの前駆体は、
    (a)ジブチルジメトキシスズ(BuSn(OMe))、または、
    (b)任意のメトキシまたはエトキシスズ前駆体、
    を含む群から選択される、ALDツール。
  10. 請求項1に記載のALDツールであって、前記有機フォトレジストは、炭素フォトレジストである、ALDツール。
  11. 請求項1に記載のALDツールであって、前記有機フォトレジストは、ポリマフォトレジストである、ALDツール。
  12. 請求項1に記載のALDツールであって、前記有機フォトレジストは、炭素マスク膜である、ALDツール。
  13. 請求項1に記載のALDツールであって、前記有機フォトレジストは、反射防止層(ARL)である、ALDツール。
  14. 請求項1に記載のALDツールであって、前記金属酸化物層は、酸化スズ(SnO)であり、前記有機フォトレジストは、炭素フォトレジストである、ALD。
  15. 請求項1に記載のALDツールであって、前記金属酸化物層は、酸化スズ(SnO)であり、前記有機フォトレジストは、炭素反射防止層(ARL)である、ALD。
  16. 請求項2に記載のALDツールであって、さらに、
    処理チャンバと、
    前記処理チャンバ内で前記基板を支持するための基板ペデスタルと、
    を備え、
    前記1回または複数回のALDサイクルは、前記処理チャンバ内で実行される、ALDツール。
  17. 請求項16に記載のALDツールであって、前記ALDツールは、前記処理チャンバ内でプラズマを生成するためのRF源を備えたプラズマ強化ALDツール(PEALD)である、ALDツール。
  18. 請求項1に記載のALDツールであって、前記堆積された金属酸化物層は、マルチパターニング処理フローの中で前記基板上にスペーサを形成するために用いられる、ALDツール。
  19. 基板に対して実行されるマルチパターニング方法であって、有機金属前駆体を用いて、前記基板上の有機フォトレジストの上に金属酸化物スペーサを形成する工程を備える、方法。
  20. 請求項19に記載のマルチパターニング方法であって、前記金属酸化物スペーサを形成する工程は、さらに、
    前記基板上にマンドレルを規定するために、フォトリソグラフィを用いて前記有機フォトレジストをパターニングする工程と、
    前記基板上の前記マンドレルの上に金属酸化物の層を堆積する工程と、
    前記金属酸化物の前記層の略水平面と、前記マンドレルとを前記基板から除去することにより、前記基板上に前記金属酸化物スペーサを形成する工程と、
    を含む、方法。
  21. 請求項20に記載のマルチパターニング方法であって、さらに、
    前記基板上の前記金属酸化物スペーサの上に酸化シリコン(SiO)層を形成する工程と、
    前記酸化シリコン(SiO)層の水平部分と、前記金属酸化物スペーサとを除去することにより、前記基板上にSiOスペーサを形成する工程と、
    を備える、方法。
  22. 請求項21に記載のマルチパターニング方法であって、前記金属酸化物スペーサは、前記マンドレルよりも小さいピッチを有し、前記SiOスペーサは、前記金属酸化物スペーサよりも小さいピッチを有する、方法。
  23. 請求項20に記載のマルチパターニング方法であって、前記金属酸化物の層を堆積する工程は、さらに、3回以下の原子層堆積(ALD)サイクルを前記基板に実行する工程を備え、各ALDサイクルは、1.0オングストローム以上の厚さを有する金属酸化物膜をもたらす、方法。
  24. 請求項23に記載のマルチパターニング方法であって、各ALDサイクルは、さらに、
    第1ハーフサイクル中に、前記金属酸化物膜を堆積して、前記金属酸化物層を形成する工程と、
    第2ハーフサイクル中に、前記基板を酸素種に暴露させる工程と、
    を含み、
    前記第1ハーフサイクル中に堆積された前記金属酸化物膜は、前記第2ハーフサイクル中に前記酸素種が前記マンドレルを分解することを防止するのに役立つ、方法。
  25. 請求項21に記載のマルチパターニング方法であって、前記有機金属前駆体は、金属有機スズ前駆体である、方法。
  26. 請求項25に記載のマルチパターニング方法であって、前記有機金属前駆体は、アミノ10タイプの前駆体である、方法。
  27. 請求項26に記載のマルチパターニング方法であって、前記アミノ10タイプの前駆体は、
    (a)ジメチルアミノジメチルスズ(MeSn(NMe
    (b)ジメチルアミノトリメチルスズ(MeSn(MMe)、
    (c)テトラキス(ジメチルアミノ)スズ Sn(Nme
    (d)テトラキス(ジエチルアミノ)スズ(Sn(Net)4)、または、
    (e)任意の金属有機アミノスズ前駆体、
    を含む群から選択される、方法。
  28. 請求項21に記載のマルチパターニング方法であって、前記有機金属前駆体は、メトキシタイプの前駆体である、方法。
  29. 請求項28に記載のマルチパターニング方法であって、前記メトキシタイプの前駆体は、
    (a)ジブチルジメトキシスズ(BuSn(OMe))、
    (b)任意のその他のメトキシまたはエトキシスズ前駆体、
    を含む群から選択される、方法。
  30. 請求項21に記載のマルチパターニング方法であって、前記有機フォトレジストは、
    (a)炭素フォトレジスト、
    (b)ポリマフォトレジスト、
    (c)炭素マスク膜、または、
    (d)炭素反射防止層(ARL)、
    の内の1つである、方法。
  31. 請求項19に記載のマルチパターニング方法であって、前記金属酸化物層は、酸化スズ(SnO)であり、前記有機フォトレジストは、炭素フォトレジストである、方法。
  32. 請求項19に記載のマルチパターニング方法であって、前記金属酸化物層は、酸化スズ(SnO)であり、前記フォトレジストは、炭素反射防止層(ARL)である、方法。
  33. 請求項23に記載のマルチパターニング方法であって、各ALDサイクルは、室温から125℃までの範囲の温度で実行される、方法。
  34. 請求項23に記載のマルチパターニング方法であって、前記第1ハーフサイクル中に、前記金属酸化物膜を堆積する工程は、さらに、毎分0.1〜0.5リットルの範囲の流量で、有機金属前駆体を処理チャンバ内に導入する工程を含む、方法。
  35. 請求項34に記載のマルチパターニング方法であって、さらに、前記第1ハーフサイクルの継続時間を1.0〜3.0秒の範囲で定める工程を含む、方法。
  36. 請求項1に記載のALDツールであって、前記金属酸化物層は、
    (a)酸化スズ、
    (b)二酸化チタン、
    (c)二酸化ハフニウム、
    (d)二酸化ジルコニウム、または、
    (e)酸化タンタル、
    を含む群から選択される、ALDツール。
  37. 請求項19に記載のマルチパターニング方法であって、前記金属酸化物スペーサは、
    (a)酸化スズ、
    (b)二酸化チタン、
    (c)二酸化ハフニウム、
    (d)二酸化ジルコニウム、または、
    (e)酸化タンタル、
    の内の1つを含む、方法。
JP2020572887A 2018-06-26 2019-06-05 有機材料上に金属酸化物膜を堆積するための堆積ツールおよび方法 Pending JP2021528865A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862690210P 2018-06-26 2018-06-26
US62/690,210 2018-06-26
US16/052,286 US20190390341A1 (en) 2018-06-26 2018-08-01 Deposition tool and method for depositing metal oxide films on organic materials
US16/052,286 2018-08-01
PCT/US2019/035638 WO2020005487A1 (en) 2018-06-26 2019-06-05 Deposition tool and method for depositing metal oxide films on organic materials

Publications (1)

Publication Number Publication Date
JP2021528865A true JP2021528865A (ja) 2021-10-21

Family

ID=68980387

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020572887A Pending JP2021528865A (ja) 2018-06-26 2019-06-05 有機材料上に金属酸化物膜を堆積するための堆積ツールおよび方法

Country Status (6)

Country Link
US (2) US20190390341A1 (ja)
JP (1) JP2021528865A (ja)
KR (1) KR20210014202A (ja)
CN (1) CN112334598A (ja)
SG (1) SG11202013031PA (ja)
WO (1) WO2020005487A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
JP7334166B2 (ja) * 2018-01-30 2023-08-28 ラム リサーチ コーポレーション パターニングにおける酸化スズマンドレル
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US11043381B2 (en) * 2019-01-27 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Directional patterning method
CN114270479B (zh) 2019-06-27 2022-10-11 朗姆研究公司 交替蚀刻与钝化工艺
JP2023535292A (ja) * 2020-07-23 2023-08-17 ラム リサーチ コーポレーション 酸化スズを使用した高度な自己整列マルチパターニング
CN112850639A (zh) * 2021-01-26 2021-05-28 嘉庚创新实验室 一种微纳器件聚合物、制备方法及应用
US11882057B2 (en) * 2022-03-28 2024-01-23 Bank Of America Corporation Pluggable cloud security system

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1026405A (en) * 1962-09-26 1966-04-20 Michael Franz Lappert Improvements relating to organotin compounds
US3949146A (en) * 1973-08-24 1976-04-06 Rca Corporation Process for depositing transparent electrically conductive tin oxide coatings on a substrate
US6441087B1 (en) * 1999-10-19 2002-08-27 Shell Oil Company High gloss acrylic coatings with improved impact resistance
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7312165B2 (en) * 2004-05-05 2007-12-25 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100581993B1 (ko) * 2004-06-09 2006-05-22 삼성전자주식회사 원자층 증착법을 이용한 물질 형성방법
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) * 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP2007056262A (ja) 2005-08-20 2007-03-08 Samsung Electronics Co Ltd 共重合体、バッファ膜用組成物、それを用いたパターン形成方法及びそれを用いたキャパシタの製造方法
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US8815014B2 (en) * 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7645484B2 (en) * 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
TWI471449B (zh) * 2007-09-17 2015-02-01 Air Liquide 用於gst膜沈積之碲前驅物
US10131991B2 (en) * 2010-02-24 2018-11-20 Uchicago Argonne, Llc Method for depositing transparent conducting oxides
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8951615B2 (en) * 2011-02-16 2015-02-10 Uchicago Argonne, Llc Doping control by ALD surface functionalization
US20130115778A1 (en) * 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
US20130113085A1 (en) * 2011-11-04 2013-05-09 Applied Materials, Inc. Atomic Layer Deposition Of Films Using Precursors Containing Hafnium Or Zirconium
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US8623770B1 (en) * 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9437443B2 (en) * 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
US9416447B2 (en) * 2014-02-07 2016-08-16 HGST Netherlands B.V. Method for line density multiplication using block copolymers and sequential infiltration synthesis
US20150247238A1 (en) * 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
EP3122918A4 (en) * 2014-03-27 2018-03-14 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
US9269590B2 (en) * 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
TWI633596B (zh) * 2015-01-14 2018-08-21 聯華電子股份有限公司 形成溝渠的方法
US9472414B2 (en) * 2015-02-13 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned multiple spacer patterning process
KR101727259B1 (ko) * 2015-03-18 2017-04-17 연세대학교 산학협력단 산화물 박막 형성 방법 및 산화물 박막 형성 장치
US9704974B2 (en) * 2015-04-16 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Process of manufacturing Fin-FET device
KR102329363B1 (ko) * 2015-04-20 2021-11-19 보드 오브 레젼츠, 더 유니버시티 오브 텍사스 시스템 대면적 다단 나노구조의 제조
US9865459B2 (en) * 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
TWI766789B (zh) * 2015-06-18 2022-06-01 美商應用材料股份有限公司 用於均勻且共形之混成氧化鈦薄膜的沉積方法
US9362179B1 (en) * 2015-06-22 2016-06-07 International Business Machines Corporation Method to form dual channel semiconductor material fins
US9523148B1 (en) * 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
JP6163524B2 (ja) * 2015-09-30 2017-07-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10199223B2 (en) * 2016-01-26 2019-02-05 Asm Ip Holding B.V. Semiconductor device fabrication using etch stop layer
US10157736B2 (en) * 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US9824893B1 (en) * 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10246774B2 (en) * 2016-08-12 2019-04-02 Lam Research Corporation Additive for ALD deposition profile tuning in gap features
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9997369B2 (en) * 2016-09-27 2018-06-12 International Business Machines Corporation Margin for fin cut using self-aligned triple patterning
JP6815158B2 (ja) 2016-10-13 2021-01-20 東京エレクトロン株式会社 酸化チタン膜の成膜方法およびハードマスクの形成方法
JP7005890B2 (ja) 2016-10-14 2022-01-24 株式会社リコー 半導体発光素子、照明装置、ヘッドライト、移動体、イルミネーション装置、映像装置、投射型映像装置及びプロジェクター。
US9859153B1 (en) * 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
US9934970B1 (en) * 2017-01-11 2018-04-03 International Business Machines Corporation Self aligned pattern formation post spacer etchback in tight pitch configurations
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR20180093798A (ko) * 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US9997371B1 (en) * 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10777386B2 (en) * 2017-10-17 2020-09-15 Lam Research Corporation Methods for controlling plasma glow discharge in a plasma chamber
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
TWI746303B (zh) * 2020-12-07 2021-11-11 華邦電子股份有限公司 字元線布局及其形成方法

Also Published As

Publication number Publication date
TW202014545A (zh) 2020-04-16
KR20210014202A (ko) 2021-02-08
SG11202013031PA (en) 2021-01-28
WO2020005487A1 (en) 2020-01-02
US20200199751A1 (en) 2020-06-25
CN112334598A (zh) 2021-02-05
US11887846B2 (en) 2024-01-30
US20190390341A1 (en) 2019-12-26

Similar Documents

Publication Publication Date Title
JP2021528865A (ja) 有機材料上に金属酸化物膜を堆積するための堆積ツールおよび方法
KR102403102B1 (ko) 반도체 처리 장치
JP6749516B1 (ja) 酸化ケイ素薄膜の選択的横成長
USRE47170E1 (en) Method of forming semiconductor patterns
US8592318B2 (en) Pitch reduction using oxide spacer
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
USRE47650E1 (en) Method of tungsten etching
KR20180073483A (ko) 기판 상의 구조물 형성 방법
TW201534556A (zh) 用於進階圖案化之軟著陸奈米層
TWI737612B (zh) 用於均勻且共形之混成氧化鈦薄膜的沉積方法
US11521860B2 (en) Selectively etching for nanowires
KR102403856B1 (ko) 에칭 층을 에칭하기 위한 방법
TW202033824A (zh) 用於原子層沉積或化學氣相沉積的方法及設備
US10361112B2 (en) High aspect ratio gap fill
KR20220025877A (ko) 선택적인 증착 및 에칭을 가능하게 하는 자기 조립된 단층 (self assembled monolayer) 의 증착
TWI835810B (zh) 用於在有機材料上沉積金屬氧化物膜的沉積工具及方法
US20220301853A1 (en) Method for etching features using a targeted deposition for selective passivation
CN111512413A (zh) 使用碳基膜空间选择性灰化改善沉积引起的cd不平衡的方法
US11913113B2 (en) Method and apparatus for modulating film uniformity
KR20180121096A (ko) 질소를 함유하는 실리콘 박막의 플라즈마 원자층 증착 방법 및 반도체 소자의 더블 패터닝 방법
KR20220030171A (ko) 기판의 표면 상에 패터닝된 특징부를 형성하기 위한 방법 및 시스템
KR20220029410A (ko) 기판 상의 보호 막의 두께를 제어하기 위한 방법 및 디바이스

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210420

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220509

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230606

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231206

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20240305