KR20220025877A - 선택적인 증착 및 에칭을 가능하게 하는 자기 조립된 단층 (self assembled monolayer) 의 증착 - Google Patents

선택적인 증착 및 에칭을 가능하게 하는 자기 조립된 단층 (self assembled monolayer) 의 증착 Download PDF

Info

Publication number
KR20220025877A
KR20220025877A KR1020227003090A KR20227003090A KR20220025877A KR 20220025877 A KR20220025877 A KR 20220025877A KR 1020227003090 A KR1020227003090 A KR 1020227003090A KR 20227003090 A KR20227003090 A KR 20227003090A KR 20220025877 A KR20220025877 A KR 20220025877A
Authority
KR
South Korea
Prior art keywords
region
inhibitor layer
gas
inhibitor
radicals
Prior art date
Application number
KR1020227003090A
Other languages
English (en)
Inventor
용희 이
다니엘 피터
사만다 시암화 탄
양 판
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220025877A publication Critical patent/KR20220025877A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

구조체의 제 2 영역에 대해 구조체의 제 1 영역을 선택적으로 에칭하기 위한 방법이 제공된다. 방법은 적어도 일 사이클을 포함한다. 사이클 각각은 구조체의 제 1 영역 상에 억제제 층을 선택적으로 증착하는 단계, 구조체 위에 원자 층 증착을 제공하는 단계―원자 층 증착은 억제제 층에 대해 구조체의 제 2 영역 상에 마스크를 선택적으로 증착함―, 및 마스크에 대해 구조체의 제 1 영역을 선택적으로 에칭하는 단계를 포함한다. 구조체의 제 1 영역 상에 억제제 층을 선택적으로 증착하는 단계는 억제제 층 가스를 제공하는 단계 및 억제제 층 가스를 억제제 층 라디칼들로 형성하는 단계를 포함하고, 억제제 층 라디칼들은 구조체의 제 2 영역에 대해 구조체의 제 1 영역 상에 선택적으로 증착된다.

Description

선택적인 증착 및 에칭을 가능하게 하는 자기 조립된 단층 (self assembled monolayer) 의 증착
본 개시는 반도체 웨이퍼 상에 반도체 디바이스들을 형성하는 방법들에 관한 것이다. 보다 구체적으로, 본 개시는 실리콘 옥사이드 (SiO2) 의 선택적인 에칭에 관한 것이다.
반도체 디바이스들을 형성할 때, 실리콘 옥사이드는 다른 실리콘 함유 영역들 또는 실리콘 옥사이드보다 저 농도의 산소를 갖는 다른 영역들에 대해 선택적으로 에칭될 수도 있다. 피처 사이즈들이 축소됨에 따라, 이러한 선택적인 에칭들은 충분히 선택적이지 않아서, 다른 실리콘 함유 영역들의 일부 에칭을 발생시킨다. 너무 많은 다른 실리콘 함유 영역들이 에칭될 때, 결함들이 증가된다. 에칭 선택도를 상승시키기 위해 에칭 프로세스의 속도는 감소될 수도 있다. 이러한 프로세스들은 쓰루풋 (throughput) 이 용인할 수 없는 레벨들로 감소되게 할 수도 있다.
반도체 디바이스들의 계속적인 스케일링으로, 피처들 사이의 거리가 축소되고, 리소그래피의 에지 배치 에러가 중요한 문제가 된다. 이를 완화시키기 위해 산업계는 예컨대 콘택트 홀들의 에칭을 위해, 자기 정렬 스킴들 (self aligned schemes) 을 채택하였다. 이 스킴에서, 콘택트 홀의 배치는 게이트 스페이서와 중첩될 수 있다. 그 결과, 콘택트 실리콘 옥사이드 에칭은 스페이서 재료에 대해 선택적이어야 한다. 동시에, 재료 예산은 축소된다. 축소되는 예산은 남아 있는 것 (손상되지 않은 재료) 에 대한 타깃팅된 에칭의 선택도 요건을 상승시킨다. 종래의 플루오로카본 (CxFy)/Ar 기반 실리콘 옥사이드 원자 층 에칭은 보다 고 재료 선택도를 보였지만, 여전히 수십 옹스트롬 (Å) 의 초기 손실을 겪는다.
관련 출원에 대한 교차 참조
본 출원은 2019년 6월 27일에 출원된 미국 특허 출원 번호 제 62/867,419 호의 우선권의 이익을 주장하고, 이는 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
전술한 바를 달성하기 위해 그리고 본 개시의 목적에 따라, 구조체의 제 2 영역에 대해 구조체의 제 1 영역을 선택적으로 에칭하기 위한 방법이 제공된다. 방법은 적어도 일 사이클을 포함한다. 사이클 각각은 구조체의 제 1 영역 상에 억제제 층을 선택적으로 증착하는 단계, 구조체 위에 원자 층 증착을 제공하는 단계―원자 층 증착은 억제제 층에 대해 구조체의 제 2 영역 상에 마스크를 선택적으로 증착함―, 및 마스크에 대해 구조체의 제 1 영역을 선택적으로 에칭하는 단계를 포함한다. 구조체의 제 1 영역 상에 억제제 층을 선택적으로 증착하는 단계는 억제제 층 가스를 제공하는 단계 및 억제제 층 가스를 억제제 층 라디칼들로 형성하는 단계를 포함하고, 억제제 층 라디칼들은 구조체의 제 2 영역에 대해 구조체의 제 1 영역 상에 선택적으로 증착된다.
본 개시의 이들 및 다른 특징들은 본 개시의 상세한 기술 (description) 및 이하의 도면들과 함께 본 개시의 발명을 실시하기 위한 구체적인 내용에 보다 상세히 기술될 것이다.
본 개시는 유사한 참조 번호들이 유사한 엘리먼트들을 참조하는 첨부된 도면들의 도면들에, 제한이 아니라 예로서 예시된다.
도 1은 일 실시 예의 고 레벨 플로우 차트이다.
도 2a 내지 도 2e는 일 실시 예에 따라 프로세싱된 구조체들의 개략적 단면도들이다.
도 3은 일 실시 예에서 사용될 수도 있는 플라즈마 에칭 챔버의 개략도이다.
도 4는 일 실시 예의 실시에 사용될 수도 있는 컴퓨터 시스템의 개략도이다.
본 개시는 첨부한 도면들에 예시된 바와 같이 개시의 몇몇 예시적인 실시 예들을 참조하여 이제 상세히 기술될 것이다. 이하의 기술 (description) 에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 그러나, 본 개시가 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자에게 명백할 것이다. 다른 예들에서, 공지된 프로세스 단계들 및/또는 구조체들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
반도체 디바이스들의 제조 동안, 구조체의 영역은 구조체의 제 2 영역에 대해 선택적으로 에칭될 수도 있다. 때때로 보다 저 원자 농도의 산소를 갖는 영역이 보다 고 원자 농도의 산소를 갖는 영역에 대해 선택적으로 에칭된다. 예를 들어, 실리콘 옥사이드 (SiO2) 영역은 실리콘 영역 또는 로우-k (low-k) 유전체 영역과 같은, 보다 저 원자 농도의 산소를 갖는 영역들에 대해 선택적으로 에칭될 수도 있다. 실리콘 (Si) 에 대해 SiO2를 에칭하는 다양한 방법들이 사용되었다. 그러나, 반도체 피처들이 축소됨에 따라 상승된 선택도가 필요하다. 피처들이 축소됨에 따라, 소량의 보다 저 산소 농도 영역들을 에칭하더라도 결함들을 증가시킨다.
다양한 실시 예들은 반도체 디바이스의 제 2 영역에 대해 반도체 디바이스의 제 1 영역을 에칭하기 위한 고 에칭 선택도를 제공한다. 이해를 용이하게 하기 위해, 도 1은 일 실시 예의 고 레벨 플로우 차트이다. 제 1 영역 및 제 2 영역을 갖는 구조체가 제공된다 (단계 104). 도 2a는 SiO2 영역 (204) 을 갖는 구조체 (200) 의 일부의 개략적인 단면도이다. 이 예에서, 구조체 (200) 는 또한 로우-k 유전체 영역 (208), 및 실리콘 (Si) 영역 (212) 을 갖는다. 실리콘 영역 (212) 은 결정질 또는 다결정질, 또는 비정질 실리콘일 수도 있다. 로우-k 유전체 재료는 실리콘 옥시나이트라이드 (SiON), 실리콘 옥시카바이드 (SiOC), 실리콘 카보나이트라이드 (SiCN), 유기실리콘 옥사이드 (SiOCHx) (BEOL (Back End Of Line) 로우-k), 실리콘 나이트라이드 (SiN), 및 실리콘 카바이드 (SiC) 를 포함한다. 예들로서, 로우-k 유전체 영역 (208) 은 3.0 이하의 유전 상수를 가질 수도 있다. 일부 실시 예들에서, 일부 첨가제들 또는 도펀트들이 첨가될 수도 있다는 점에서, 실리콘 영역 (212) 은 실리콘 기반이고 SiO2 영역 (204) 은 SiO2 기반이다. 이 실시 예에서, 로우-k 유전체 재료는 실리콘, 질소, 탄소 및 산소의 성분들 (components) 을 가질 수도 있다. 로우-k 유전체 영역 (208) 및 Si 영역 (212) 은 실리콘 옥사이드 영역들 (204) 보다 저 농도의 산소를 갖기 때문에, 보다 낮은 산소 실리콘 함유 영역들로 지정된다. 이 예에서, 보다 저 산소 함유 영역은 구조체 (200) 의 제 2 영역이고, SiO2 영역 (204) 은 구조체 (200) 의 제 1 영역이다. 이 실시 예에서, 천연 옥사이드 층 (214) 은 실리콘 영역 (212) 및 로우-k 유전체 영역 (208) 위에 형성된다.
구조체 (200) 의 표면이 컨디셔닝된다 (단계 105). 이 실시 예에서, 천연 옥사이드 층 (214) 을 제거하고 구조체 (200) 의 표면을 종단하기 (terminate) 위해 플루오르화 수소 (Hydrogen Fluoride; HF) 세정이 제공된다. 이 실시 예에서, 0.5 % 플루오르화 수소 (HF) 수용액이 구조체 (200) 의 표면을 습식 세정하도록 사용된다. 다른 실시 예들에서, 플루오르화 수소는 가스 또는 수용액일 수도 있다. 아르곤 (Ar), 헬륨 (He), 또는 수소 (H2) 를 사용하는 플라즈마 전처리가 로우-k 유전체 영역 (208) 및 Si 영역 (212) 을 더 세정하고 활성화하도록 사용될 수도 있다. 도 2b는 구조체 (200) 의 표면이 컨디셔닝된 후 구조체 (200) 의 일부의 개략적인 단면도이다. 도 2a에 도시된 천연 옥사이드 층 (214) 은 도 2b에서 에칭된다. SiO2 영역 (204) 내의 산소는 도시된 바와 같이 하이드록실-종단된 표면을 형성한다. 실리콘 영역 (212) 내의 실리콘은 수소-종단된 실리콘 표면을 형성한다. 로우-k 유전체 영역 (208) 에서, 실리콘 및 질소는 수소-종단되고, 산소는 하이드록실-종단된다. 로우-k 유전체 영역 (208) 이 SiO2 영역 (204) 보다 저 농도의 산소를 갖기 때문에, 로우-k 유전체 영역 (208) 은 SiO2 영역 (204) 보다 저 농도의 하이드록실 종단부들을 갖는다.
구조체 (200) 의 표면이 컨디셔닝된 후 (단계 105), 억제제 층이 SiO2 영역 (204) 상에 선택적으로 증착된다 (단계 106). 일 실시 예에서, 구조체 (200) 의 표면은 기상 (vapor phase) 또는 액상 (liquid phase) 억제제 증착에 노출된다. 이 예에서, 억제제 층은 하이드록실-종단된 표면에 선택적으로 결합하는 자기 조립된 단층 (self assembled monolayer) 이다. 기상 증착을 사용하여 완전한 단층을 확립하기 위한 통상적인 시간 프레임은 수 분 내지 최대 수 일의 범위이다. 액상이 사용된다면 시간은 보다 짧을 수도 있지만, 특히 웨이퍼 기판을 건조하기 위해 필요한 시간이 포함된다면 여전히 분 범위 내에 있다.
일부 실시 예들에서 억제제 층의 증착은 HMDS (헥사메틸디실라잔), 헥사메틸디실란 (hexamethyldisilane), 테트라메틸실란 (tetramethylsilane), ODTS (옥타데실트리클로로실란), FOTS (1H, 1H, 2H, 2H-퍼플루오로옥틸트리클로로실란), (1-옥타데센, 1-옥텐, 1-펜텐과 같은) 알켄들 및 N, N-디메틸트리메틸실릴아민 (TMS-DMA) 과 유사한 반응성 유기실란 화합물들을 제공한다. 다양한 실시 예들에서, 억제제 층은 억제제 가스로 형성될 수도 있다. 예를 들어, 억제제 층 가스는 1 내지 20 개의 탄소 원자들의 길이로 탄화수소 사슬을 갖는 유기실란 가스 또는 증기를 포함할 수도 있다. 다양한 실시 예들에서, 억제제 층 가스는 유기클로로실란 (organochlorosilane), 유기아미도실란 (organoamidosilane), 또는 유기알콕시실란 (organoalkoxysilane) 중 적어도 하나를 포함할 수도 있다. 일부 실시 예들에서, 억제제 층 가스는 부분적으로 플루오르화된 탄화수소 사슬의 가스 또는 증기를 포함할 수도 있다. 일부 실시 예들에서, 구조체는 가열된 페데스탈 상에 배치된다. 페데스탈은 100 ℃보다 고온으로 구조체를 가열할 수도 있다.
억제제 가스는 웨이퍼 위로 가스를 흘림으로써 도입될 수도 있다. 이 실시 예에서, 억제제 가스는 10 내지 1000 sccm HMDS이다. HMDS 플로우는 10 초 내지 30 분 유지될 수 있다. 이 실시 예에서, 열적 활성화는 억제제 층을 증착하기 위해 사용된다. 억제제 증기는 SiO2 영역 (204) 상에 자기 조립된 단층을 선택적으로 증착하도록 하이드록실기들과 반응한다. 억제제 증기는 10 내지 1000 sccm 아르곤 (Ar), 헬륨 (He), 질소 (N2), 네온 (Ne), 제논 (Xe), 또는 수소 (H2) 와 같은 다른 캐리어 가스들로 희석될 수도 있다. 다른 실시 예들에서, 억제제 가스는 챔버 내로 흐를 수도 있고, 이어서 챔버 밸브는 HMDS 증기 노출을 증가시킴으로써 포화되도록 폐쇄될 수 있다. 도 2c는 억제제 층 (218) 이 실리콘 옥사이드 영역 (204) 위에 선택적으로 증착된 후 구조체 (200) 의 일부의 개략적인 단면도이다. 표면의 컨디셔닝 (단계 105) 은 구조체의 제 2 영역에 대해 구조체의 제 1 영역 상의 억제제 층의 증착의 선택도를 상승시킨다.
상기 실시 예에서, 억제제 층의 증착은 수 분 또는 수 시간이 소요될 수도 있는 상대적으로 느린 프로세스이다. 쓰루풋을 증가시키기 위해, 다양한 실시 예들은 억제제 가스를 억제제 가스 라디칼들로 변환한다. 라디칼들은 원자들, 분자들, 또는 이온들로 하여금 매우 화학적으로 반응성이 되게 하는 짝이 없는 원자가 전자 (valence electron) 를 갖는, 원자들, 분자들, 또는 이온들이다. 억제제 가스를 억제제 라디칼들로 변환하기 위해, 전자를 부가하거나 제거함으로써 짝이 없는 원자가 전자를 제공하도록 여기 에너지가 인가된다. 다양한 실시 예들에서, 에너지는 펄싱된 플라즈마에 대한 RF 에너지의 펄스, 리모트 (remote) 플라즈마 에너지, 자외선 (UV) 에너지에 의해, 또는 필라멘트 열선 (hot wire) 에너지에 의해 제공될 수도 있다.
일 실시 예에서, 억제제 가스는 챔버 내로 흐를 수도 있고 챔버를 포화시킬 수도 있다. 가스 플로우는 중단되고, 플라즈마는 10 ㎳ 내지 1000 ㎳의 기간 동안 50 W 내지 500 W의 전력의 무선 주파수 (Radio Frequency; RF) 전력 펄스로 10 내지 1000 ㎳ 동안 점화된다. 펄스 RF 전력은 억제제 분자 사이즈에 따라 1 내지 2 ㎚의 두께를 갖는 단층으로 억제제 층의 두께를 제한하는 자기 제한 프로세스를 가능하게 한다 (enable). 처음 100 ㎳에서, 자기 조립된 단층이 형성되고, 자기 조립된 단층이 포화된 후 증착이 억제된다. 이 자기 제한 특성은 이전에 플라즈마 프로세스를 사용하여 달성되지 않았다. 이 실시 예는 1 초 미만으로 증착 시간의 감소를 가능하게 한다. 이러한 짧은 증착 시간은 가스상 온리 (gas phase only) 에서 프로세스로는 가능하지 않다. 다른 실시 예들에서, 억제제 증기는 10 내지 1000 sccm의 Ar, He, Ne, Xe, N2, 또는 H2와 같은 다른 캐리어 가스들로 희석될 수도 있다.
다양한 실시 예들에서, 증착 속도를 상승시키지만 연속적인 증착을 방지하기 위해 라디칼들의 에너지에 대한 우수한 제어가 필요하다. 일 예에서, 50 % 미만의 듀티 사이클을 갖는 50 내지 300 W 범위의 펄싱된 저 전력 플라즈마가 제공된다. 일부 실시 예들에서, 억제제 층 라디칼들의 증착 (단계 106) 동안 구조체의 온도는 라디칼들이 아닌 분자들의 흡착을 감소시키기 위해 100 ℃ 이상으로 유지된다. 리모트 플라즈마를 사용하는 실시 예에서, 억제제 가스는 리모트 위치에서 플라즈마 에너지에 노출된다. 플라즈마의 이격성 (remoteness) 은 제어 놉 (knob) 을 제공할 수도 있다.
억제제 층 가스가 라디칼들로 형성되지 않는다면, 억제제 층의 단층의 증착은 1 분 이상 소요될 수도 있고, 몇 시간 또는 며칠만큼 오래 소요될 수도 있다. 라디칼들을 형성하지 않고 압력 및 온도를 상승시킴으로써 증착 속도를 증가시키려는 시도 시, 단층들을 형성하는 능력이 손실된다. 억제제 층 가스로부터 라디칼들을 형성함으로써, 억제제 층의 단층의 증착은 약 200 ㎳ 미만으로 수행될 수도 있다. 규칙적인 플라즈마 프로세스가 사용된다면, 증착은 자기 제한적이지 않고 연속적인 성장을 발생시킬 것이다.
적절한 전처리를 선택하는 것은 반응성 사이트들 (reactive sites) 의 밀도에 기초하여 재료들을 차별화함으로써 억제제 층의 선택적인 증착을 가능하게 한다. 전처리는 활성화에 의해 반응성 사이트들을 향상시킬 수 있다. 다른 실시 예들에서, 억제제 층은 폴리머 기반 억제제 또는 탄소 기반 억제제의 자기 조립된 단층들에 의해 제공될 수도 있다. 다양한 실시 예들에서, 표면을 컨디셔닝하는 것은 암모니아 (NH3), 하이드라진 (N2H4), 수소 (H2), 물 (H2O), 퍼옥사이드 (H2O2), 산소 (O2), 오존 (O3), 및 기상 반응들을 사용할 수도 있다.
억제제 층이 증착된 후 (단계 106), 희생 마스크가 SiO2 영역 (204) 에 대해 보다 낮은 산소 실리콘 함유 영역들 상에 선택적으로 증착된다 (단계 108). 이 실시 예에서, 원자 층 증착 (Atomic Layer Deposition; ALD) 프로세스가 금속 함유 희생 마스크를 제공하도록 사용되고, 억제제 층 (218) 은 SiO2 영역 (204) 상의 증착을 억제한다. 선택적인 증착은 하나 이상의 사이클들로 수행될 수도 있다. 예를 들어 이 실시 예에서, 희생 마스크의 선택적인 증착 (단계 108) 은 선택적인 원자 층 증착 프로세스를 제공하기 위해 금속 전구체 증착 단계 (단계 110) 및 반응 물질 단계 (단계 112) 의 복수의 사이클들을 포함한다. 일부 실시 예들에서, 금속 전구체 증착 단계 (단계 110) 와 반응 물질 단계 (단계 112) 사이에 퍼지가 제공된다.
일 실시 예에서, 금속 옥사이드, 금속 카바이드, 및 금속 나이트라이드 중 적어도 하나는 열적 ALD 프로세스에 의해 증착된 티타늄 다이옥사이드이다. Ti 함유 전구체 (단계 110) 와 반응 물질로서의 산화제 (단계 112) 사이의 순환적 프로세스가 티타늄 다이옥사이드를 증착하도록 사용된다. Ti 전구체는 티타늄 (IV) 이소프로폭사이드, 테트라키스(디에틸아미도)티타늄 (IV), 테트라키스(디메틸아미도)티타늄 (IV), 테트라키스(에틸메틸아미도)티타늄 (IV), 티타늄 테트라클로라이드일 수 있다. 구조체 (200) 의 표면은 금속 함유 전구체 층을 증착하기 위해 Ti 함유 전구체에 노출된다 (단계 110).
금속 함유 전구체 층이 로우-k 유전체 영역 (208) 및 Si 영역 (212) 상에 선택적으로 증착된 (단계 110) 후, 금속 함유 전구체 층을 반응 물질 단계 (단계 112) 에서 제공된 금속 옥사이드, 금속 카바이드, 또는 금속 나이트라이드와 같은 금속 함유 층으로 형성하기 위해 반응 물질이 제공된다. 다양한 실시 예들에서, 반응 물질은 산소 함유 반응 물질, 질소 함유 반응 물질, 또는 탄소 함유 반응 물질일 수도 있다. 다양한 실시 예들에서, 반응 물질은 산소 가스, 수증기, 질소 가스, 및 수소 가스일 수도 있다. 이 실시 예에서, 금속 함유 전구체 층은 산화제를 사용하여 산화된다. 예시적인 산화제들은 수 (H2O) 증기, 산소 (O2), 퍼옥사이드 (H2O2), 또는 오존 (O3) 을 포함할 수도 있다. 도 2d는 희생 마스크 (220) 가 구조체 (200) 위에 선택적으로 증착된 후 구조체 (200) 의 일부의 개략적인 단면도이다. 이 실시 예에서, 희생 마스크 (220) 의 증착은 도 2c에 도시된 억제제 층 (218) 을 제거한다.
억제제의 선택적인 증착은 SiO2 영역 (204) 상의 금속 함유 층의 증착을 감소시켜, 보다 많은 금속 옥사이드, 금속 카바이드, 또는 금속 나이트라이드가 로우-k 유전체 영역 (208) 및 Si 영역 (212) 상에 증착된다. 억제제 막의 표면 밀도는 증착이 방지되는 시간을 결정한다. 로우-k 유전체 영역 (208) 및 Si 영역 (212) 상의 억제제의 부분적인 증착은 SiO2 영역 (204) 상의 전체 억제제 층과 비교하여 금속 옥사이드, 금속 카바이드, 또는 금속 나이트라이드 중 적어도 하나의 보다 이른 성장을 허용한다.
다른 실시 예들에서, 금속 함유 전구체들은 텅스텐 (W), 몰리브덴 (Mo), 티타늄 (Ti), 지르코늄 (Zr), 하프늄 (Hf), 안티몬 (Sb), 바나듐 (V), 탄탈룸 (Ta), 알루미늄 (Al), 이트륨 (Y), 또는 니켈 (Ni) 을 함유할 수도 있다. 다양한 실시 예들에서, 적어도 하나의 금속 옥사이드, 금속 카바이드, 또는 금속 나이트라이드 희생 마스크는 티타늄 다이옥사이드 (TiO2), 지르코늄 다이옥사이드 (ZrO2), 하프늄 다이옥사이드 (HfO2), 텅스텐 옥사이드 (WO3), 몰리브덴 옥사이드 (MoO3), 안티모니 (Sb2O3), 바나듐 옥사이드 (V2O3), 이트리아 (Y2O3), 탄탈룸 옥사이드 (Ta2O5), 또는 알루미늄 옥사이드 (Al2O3) 중 적어도 하나일 수도 있다.
다양한 실시 예들에서, HfO2의 희생 마스크가 사용된다. 이러한 실시 예에서, Hf 함유 전구체 및 반응 물질로서의 산화제가 하프늄 다이옥사이드를 증착하도록 사용된다. Hf 함유 전구체는 하프늄 (IV) tert-부톡사이드, 테트라키스(디에틸아미도)하프늄 (IV), 테트라키스(디메틸아미도)하프늄 (IV), 테트라키스(에틸메틸아미도)하프늄 (IV), 하프늄 테트라클로라이드 중 적어도 하나일 수 있다. HfO2 및 TiO2 대 SiO2의 에칭 선택도는 각각 30:1 및 5:1이다. 산화제는 수 (H2O) 증기, 산소 (O2), 퍼옥사이드 (H2O2), 또는 오존 (O3) 중 하나 이상일 수 있다. 다양한 실시 예들에서, 희생 층이 금속 나이트라이드이면, 반응 물질은 암모니아 (NH3), 하이드라진 (N2H4) 중 적어도 하나일 수도 있다. 다른 실시 예들에서, 희생 층이 금속 카바이드이면, 반응 물질은 메탄 (CH4), 에틸렌 (C2H4) 및 아세틸렌 (C2H4) 중 적어도 하나일 수도 있다.
다른 실시 예들에서, ZrO2의 희생 마스크가 사용된다. 이러한 실시 예들에서, Zr 함유 전구체 및 반응 물질로서의 산화제가 ZrO2를 증착하도록 사용된다. Zr 함유 전구체는 지르코늄 (IV) tert-부톡사이드, 테트라키스(디에틸아미도)지르코늄 (IV), 테트라키스(디메틸아미도)지르코늄 (IV), 테트라키스(에틸메틸아미도)지르코늄 (IV), 지르코늄 테트라클로라이드 중 적어도 하나일 수 있다. 산화제는 수 (H2O) 증기, 산소 (O2), 퍼옥사이드 (H2O2), 또는 오존 (O3) 중 하나 이상일 수 있다.
마스크가 선택적으로 증착된 (단계 108) 후, 전용 결함 제어 단계가 실리콘 옥사이드 표면 상의 임의의 잔류 금속 옥사이드를 세정하도록 선택 가능하게 (optionally) 사용될 수도 있다. 이는 5 내지 150 mT의 압력에서 5 내지 100 sccm (standard cubic centimeters per minute) 의 BCl3, 50 내지 300 sccm의 염소 (Cl2), 및 0 내지 500 sccm의 헬륨 (He) 의 결함 제어 가스를 사용하는 붕소 트리클로라이드 (BCl3) 기반 플라즈마 프로세스일 수 있다. RF 전력은 100 내지 500 W로 제공된다. 0 내지 50 V의 바이어스가 제공된다.
마스크가 선택적으로 증착된 (단계 108) 후, 원자 층 에칭 (Atomic Layer Etch; ALE) 가 실리콘 영역 (212) 및 로우-k 유전체 영역 (208) 에 대해 SiO2 영역 (204) 을 선택적으로 에칭하도록 사용된다 (단계 120). 이 실시 예에서, 원자 층 에칭은 헥사플루오로-2-부틴 (C4F6) 또는 옥타플루오로시클로부탄 (C4F8) 과 같은 플루오로카본의 반응 물질 가스를 제공한다. 플루오로카본은 SiO2 영역 (204) 위에 증착 층을 선택적으로 형성한다. 반응 물질 가스는 퍼지되고, Ar의 활성화 가스가 제공된다. Ar은 증착 층을 활성화하여 증착된 불소로 하여금 SiO2 영역 (204) 을 에칭하게 한다. 원자 층 에칭 프로세스는 복수의 사이클들 동안 반복될 수도 있다. 프로세스가 반복되어야 하는지 여부는 임의의 다수의 인자들에 기초하여 결정될 수도 있다. 예를 들어, 희생 마스크 (220) 가 SiO2 영역 (204) 의 에칭이 완료되기 전에 제거된다면, 단계 124에서 프로세스는 억제제 층의 선택적인 증착 (단계 106) 으로 돌아갈 수도 있다. SiO2 영역 (204) 의 에칭이 완료될 때 (단계 124) 프로세스는 중단된다. 도 2e는 SiO2 영역의 원자 층 에칭이 완료된 후 구조체 (200) 의 일부의 개략적인 단면도이다. SiO2 영역 (204) 은 매우 고 선택도로 로우-k 유전체 영역 (208) 및 Si 영역 (212) 에 대해 선택적으로 에칭된다. 이 실시 예에서, 로우-k 유전체 영역 (208) 및 Si 영역 (212) 에 대한 SiO2 영역의 에칭의 선택도는 20:1보다 클 것으로 예상된다.
다양한 실시 예들은 선택적인 핵생성 지연을 향상시키는 표면 처리를 제공한다. 표면 처리는 억제제 층을 포함할 수도 있다. 다양한 실시 예들은 희생 마스크의 재료 선택적인 증착을 제공한다. 다양한 실시 예들은 희생 마스크의 개방 영역 상의 결함 제어를 제공한다. 다양한 실시 예들은 희생 마스크의 개방 영역의 아래에 놓인 재료의 선택적인 에칭을 제공한다.
다른 실시 예들에서, 실리콘 또는 실리콘 나이트라이드 (SiN) 영역과 유사한 보다 저 산소 함유 영역에 대해 하이드록실 (OH) 표면 종단부를 갖는 SiOCN 또는 금속 옥사이드를 선택적으로 에칭하기 위한 방법이 제공된다. 억제제 층은 억제제 층 라디칼들을 사용하여 실리콘 또는 SiN 영역에 대해 보다 고 산소 함유 영역 상에 선택적으로 증착된다. 억제제 층으로 인해, 희생 마스크가 보다 저 산소 함유 영역 상에 선택적으로 증착된다. 보다 고 산소 함유 영역은 보다 저 산소 함유 영역 상의 희생 마스크에 대해 선택적으로 에칭된다. 다른 실시 예들은 알루미늄 옥사이드에서 보다 고 산소 농도를 사용함으로써 실리콘 나이트라이드에 대해 알루미늄 옥사이드를 선택적으로 에칭하도록 사용될 수도 있다.
일부 실시 예들에서, 억제제 층 라디칼들을 선택적으로 증착하는 단계 (단계 106), 희생 마스크를 증착하는 단계 (단계 108), 및/또는 선택적으로 에칭하는 단계 (단계 120) 는 인-시츄 (in-situ) 로 수행된다. 도 3은 일 실시 예에서 컴포넌트가 설치될 수도 있는 플라즈마 프로세싱 기판들을 위한 플라즈마 에칭 시스템 (300) 의 개략도이다. 하나 이상의 실시 예들에서, 플라즈마 에칭 시스템 (300) 이 챔버 벽 (350) 에 의해 인클로징된 (enclose) 플라즈마 프로세싱 챔버 (304) 내에, 가스 유입구를 제공하는 샤워헤드 전극 (306) 및 정전 척 (electrostatic chuck; ESC) (316) 을 포함한다. 플라즈마 프로세싱 챔버 (304) 내에서, 기판 (307) 이 ESC (316) 의 상단부 상에 위치된다. ESC (316) 는 ESC 전력 소스 (348) 로부터 바이어스를 제공할 수도 있다.
유체 소스 (310) 는 샤워헤드 전극 (306) 을 통해 플라즈마 프로세싱 챔버 (304) 에 연결된다. 이 실시 예에서, 유체 소스 (310) 는 억제제 소스 (311), 전구체 소스 (312), 반응 물질 소스 (313), 및 활성화 소스 (314), 그리고 ALE 반응 물질 소스를 포함한다. 다른 실시 예들에서, 유체 소스 (310) 는 컨디셔닝 가스 소스 및 퍼지 가스 소스와 같은 다른 유체 소스들을 더 포함할 수도 있다.
ESC 온도 제어기 (351) 가 ESC (316) 에 연결되고, ESC (316) 의 온도 제어를 제공한다. RF 전력 소스 (330) 가 ESC (316) 및 상부 전극에 RF 전력을 제공한다. 이 실시 예에서, 상부 전극은 샤워헤드 전극 (306) 이다. 바람직한 실시 예에서, 13.56 ㎒ (megahertz), 2 ㎒, 60 ㎒, 및/또는 선택 가능하게 27 ㎒ 전력 소스들이 RF 전력 소스 (330) 및 ESC 전력 소스 (348) 를 구성한다. 제어기 (335) 가 RF 전력 소스 (330), ESC 전력 소스 (348), 배기 펌프 (320), 및 유체 소스 (310) 에 제어 가능하게 연결된다. 고 플로우 라이너 (360) 는 유체 소스 (310) 로부터의 가스를 한정하고 슬롯들 (362) 을 갖는, 플라즈마 프로세싱 챔버 (304) 내의 라이너이다. 슬롯들 (362) 은 제어된 가스 플로우를 유체 소스 (310) 로부터 배기 펌프 (320) 로 통과시키도록 유지한다. 이러한 플라즈마 프로세싱 챔버의 일 예는 California, Fremont 소재의 Lam Research Corporation에 의해 제작된 Flex® 에칭 시스템이다. 이러한 플라즈마 프로세싱 챔버 (304) 에서, 구조체는 플라즈마 프로세싱 챔버 (304) 내로 제공될 수도 있고 (단계 104), 모든 단계들 (104 내지 124) 은 인-시츄로 수행될 수도 있다.
도 4는 실시 예들에 사용된 도 3의 제어기 (335) 를 구현하기에 적합한 컴퓨터 시스템 (400) 을 도시하는 고 레벨 블록도이다. 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판, 및 소형 휴대용 디바이스로부터 대형 슈퍼컴퓨터까지 범위의 많은 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (400) 은 하나 이상의 프로세서들 (402) 을 포함하고, (그래픽, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (404), 메인 메모리 (406) (예를 들어, RAM (Random Access Memory)), 저장 디바이스 (408) (예를 들어, 하드 디스크 드라이브), 이동식 저장 디바이스 (410) (예를 들어, 광학 디스크 드라이브), 사용자 인터페이스 디바이스들 (412) (예를 들어, 키보드들, 터치 스크린들, 키패드들, 마우스들 또는 다른 포인팅 디바이스들, 등), 및 통신 인터페이스 (414) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (414) 는 소프트웨어 및 데이터로 하여금 링크를 통해 컴퓨터 시스템 (400) 과 외부 디바이스들 사이에서 이송되게 한다. 시스템은 또한 전술한 디바이스들/모듈들이 연결되는 통신 인프라스트럭처 (416) (예를 들어, 통신 버스, 크로스-오버 바, 또는 네트워크) 를 포함할 수도 있다.
통신 인터페이스 (414) 를 통해 전달된 정보는 신호들을 반송하고, 전선 또는 케이블, 광섬유, 전화선, 휴대전화 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있는 통신 링크를 통해, 통신 인터페이스 (414) 에 의해 수신될 수 있는 전자, 전자기, 광학, 또는 다른 신호들과 같은 신호들의 형태일 수도 있다. 이러한 통신 인터페이스를 사용하여, 하나 이상의 프로세서들 (402) 이 상기 기술된 방법 단계들을 수행하는 동안 네트워크로부터 정보를 수신할 수도 있고, 또는 네트워크에 정보를 출력할 수도 있다는 것이 고려된다. 또한, 방법 실시 예들은 프로세서들 상에서만 실행될 수도 있거나, 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크를 통해 실행될 수도 있다.
용어 "비일시적 컴퓨터 판독 가능 매체"는 일반적으로 메인 메모리, 보조 메모리, 이동식 저장장치, 및 저장 디바이스들, 예컨대 하드 디스크들, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM 및 다른 형태들의 영구 메모리와 같은 매체를 지칭하도록 사용되고, 반송파들 또는 신호들과 같은 일시적 주제를 커버하는 것으로 해석되지 않는다. 컴퓨터 코드의 예들은 예컨대 컴파일러에 의해 생성된 머신 코드, 및 인터프리터 (interpreter) 를 사용하여 컴퓨터에 의해 실행되는, 보다 고 레벨 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독 가능 매체는 또한 반송파에 구현된 컴퓨터 데이터 신호에 의해 송신되고, 프로세서에 의해 실행 가능한 인스트럭션들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
이러한 컴퓨터 판독 가능 매체는 표면을 컨디셔닝하기 (단계 105) 위한 컴퓨터 판독 가능 코드를 포함할 수도 있다. 컴퓨터 판독 가능 매체는 억제제 소스 (311) 로부터 억제제 층 가스를 제공하는 단계 및 억제제 층 가스를 억제제 층 라디칼들로 형성하는 단계를 포함하는, 억제제 층을 선택적으로 증착하기 (단계 106) 위한 컴퓨터 판독 가능 코드를 더 포함할 수도 있다. 억제제 층 라디칼들의 형성은 RF 전력 소스 (330) 로부터 펄싱된 에너지를 제공하기 위한 컴퓨터 판독 가능 코드로 달성될 수도 있다. 컴퓨터 판독 가능 매체는 전구체 소스 (312) 로부터 전구체 유체를 제공하고 (단계 110), 이어서 반응 물질 소스 (313) 로부터 반응 물질 가스를 제공하는 (단계 112), 복수의 사이클들을 제공하기 위한 컴퓨터 판독 가능 코드를 포함하는 희생 마스크를 선택적으로 증착하기 (단계 108) 위한 컴퓨터 판독 가능 코드를 더 포함할 수도 있다. 컴퓨터 판독 가능 매체는 선택적인 에칭 (단계 120) 을 제공하기 위한 컴퓨터 판독 가능 코드를 더 포함한다. 선택적인 에칭 (단계 120) 을 제공하기 위한 컴퓨터 판독 가능 코드는 ALE 반응 물질 소스 (315) 로부터 ALE 반응 물질 가스를 제공하고 활성화 소스 (314) 로부터 활성화 가스를 제공하는 복수의 사이클들을 제공하기 위한 컴퓨터 판독 가능 코드를 포함한다.
다른 실시 예들에서, 다른 플라즈마 프로세싱 시스템들이 사용될 수도 있다. 예를 들어, 유도 결합 플라즈마 시스템이 다른 실시 예들에서 사용될 수도 있다. 인-시츄 실시 예들을 수행할 수도 있는 플라즈마 프로세싱 시스템들의 예들은 모두 CA, Fremont 소재의 Lam Research에 의한 Kiyo® 시스템, Syndion® 시스템, 및 Selis® 챔버이다.
본 개시가 몇몇의 예시적인 실시 예들의 측면에서 기술되었지만, 본 개시의 범위 내에 속하는 변경들, 수정들, 치환들, 및 다양한 대체 등가물들이 있다. 또한 본 개시의 방법들 및 장치들을 구현하는 많은 대안적인 방식들이 있다는 것에 유의해야 한다. 따라서 이하의 첨부된 청구항들은 본 개시의 진정한 정신 및 범위 내에 속하는 이러한 변경들, 수정들, 치환들, 및 다양한 대체 등가물들을 모두 포함하는 것으로 해석되는 것이 의도된다.

Claims (20)

  1. 구조체의 제 2 영역에 대해 상기 구조체의 제 1 영역을 선택적으로 에칭하기 위한 방법에 있어서, 상기 방법은 적어도 일 사이클을 포함하고,
    사이클 각각은,
    구조체의 제 1 영역 상에 억제제 층을 선택적으로 증착하는 단계로서,
    억제제 층 가스를 제공하는 단계; 및
    상기 억제제 층 가스를 억제제 층 라디칼들로 형성하는 단계로서, 상기 억제제 층 라디칼들은 상기 구조체의 상기 제 2 영역에 대해 상기 구조체의 상기 제 1 영역 상에 선택적으로 증착하는, 상기 억제제 층 가스를 억제제 층 라디칼들로 형성하는 단계를 포함하는, 상기 억제제 층의 선택적 증착 단계;
    상기 구조체 위에 원자 층 증착을 제공하는 단계로서, 상기 원자 층 증착은 상기 억제제 층에 대해 상기 구조체의 상기 제 2 영역 상에 마스크를 선택적으로 증착하는, 상기 원자 층 증착 제공 단계; 및
    상기 마스크에 대해 상기 구조체의 상기 제 1 영역을 선택적으로 에칭하는 단계를 포함하는, 선택적 에칭 방법.
  2. 제 1 항에 있어서,
    상기 구조체의 상기 제 2 영역에 대해 상기 구조체의 상기 제 1 영역 상의 상기 억제제 층 라디칼들의 증착 선택도를 상승시키도록 상기 구조체의 상기 제 1 영역을 컨디셔닝하는 단계를 더 포함하는, 선택적 에칭 방법.
  3. 제 1 항에 있어서,
    상기 억제제 층 라디칼들은 자기 조립된 단층 (self assembled monolayer) 을 형성하는, 선택적 에칭 방법.
  4. 제 1 항에 있어서,
    상기 억제제 층 가스는 유기클로로실란 (organochlorosilane), 유기아미도실란 (organoamidosilane), 또는 유기알콕시실란 (organoalkoxysilane) 중 적어도 하나의 가스 또는 증기를 포함하는, 선택적 에칭 방법.
  5. 제 4 항에 있어서,
    상기 구조체를 100 ℃ 이상의 온도로 가열하는 단계를 더 포함하는, 선택적 에칭 방법.
  6. 제 4 항에 있어서,
    상기 억제제 층 가스를 5 mTorr 내지 5000 mTorr 범위의 압력으로 제공하는 단계를 더 포함하는, 선택적 에칭 방법.
  7. 제 4 항에 있어서,
    상기 억제제 층 가스를 상기 억제제 층 라디칼들로 형성하는 단계는 10 ㎳ 내지 1000 ㎳의 기간 동안인, 선택적 에칭 방법.
  8. 제 1 항에 있어서,
    상기 억제제 층 가스를 상기 억제제 층 라디칼들로 형성하는 단계는 상기 구조체로부터 이격된 위치에서 상기 억제제 층 가스를 플라즈마 에너지에 노출하는 단계를 포함하는, 선택적 에칭 방법.
  9. 제 1 항에 있어서,
    상기 억제제 층을 선택적으로 증착하는 단계, 상기 원자 층 증착을 제공하는 단계, 및 상기 선택적으로 에칭하는 단계는 인-시츄 (in-situ) 로 수행되는, 선택적 에칭 방법.
  10. 제 1 항에 있어서,
    상기 억제제 층 가스는 HMDS, 헥사메틸디실란 (hexamethyldisilane), 테트라메틸실란 (tetramethylsilane), ODTS, FOTS, 알켄들, 및 TMS-DMA 중 적어도 하나의 가스 또는 증기를 포함하는, 선택적 에칭 방법.
  11. 제 1 항에 있어서,
    상기 억제제 층 가스는 1 내지 20 개의 탄소 원자들의 길이로 탄화수소 사슬을 갖는 유기실란 가스 또는 증기를 포함하는, 선택적 에칭 방법.
  12. 제 1 항에 있어서,
    상기 억제제 층 가스는 부분적으로 플루오르화된 탄화수소 사슬을 갖는 유기실란 가스 또는 증기를 포함하는, 선택적 에칭 방법.
  13. 제 1 항에 있어서,
    상기 제 1 영역은 상기 제 2 영역보다 고 원자 농도의 산소를 갖는, 선택적 에칭 방법.
  14. 제 13 항에 있어서,
    상기 제 1 영역은 실리콘 옥사이드 기반 재료로 이루어진, 선택적 에칭 방법.
  15. 제 14 항에 있어서,
    상기 제 2 영역은 실리콘 기반 영역 또는 로우-k (low-k) 유전체 영역 중 적어도 하나를 포함하는, 선택적 에칭 방법.
  16. 제 1 항에 있어서,
    상기 억제제 층 가스를 상기 억제제 층 라디칼들로 형성하는 단계는 상기 억제제 층 가스를 UV 에너지에 노출하는 단계를 포함하는, 선택적 에칭 방법.
  17. 제 1 항에 있어서,
    상기 억제제 층 가스를 상기 억제제 층 라디칼들로 형성하는 단계는 상기 억제제 층 가스를 상기 억제제 층 라디칼들로 형성하기에 충분한 에너지를 제공하는 필라멘트 열선 (hot wire) 에 상기 억제제 층 가스를 노출하는 단계를 포함하는, 선택적 에칭 방법.
  18. 제 1 항에 있어서,
    상기 억제제 층 가스를 상기 억제제 층 라디칼들로 형성하는 단계는 약 10 ㎳ 내지 1000 ㎳ 범위의 기간 동안 RF 펄스 전력을 포함하는, 선택적 에칭 방법.
  19. 제 1 항에 있어서,
    상기 구조체 위에 원자 층 증착을 제공하는 단계는 금속 함유 희생 마스크를 형성하는, 선택적 에칭 방법.
  20. 제 1 항에 있어서,
    상기 제 1 영역의 에칭이 완료되기 전에 상기 마스크가 제거되었는지 여부를 결정하는 단계를 더 포함하고, 1 회 이상 상기 사이클을 반복하는 것은 상기 결정에 기초하는, 선택적 에칭 방법.
KR1020227003090A 2019-06-27 2020-06-25 선택적인 증착 및 에칭을 가능하게 하는 자기 조립된 단층 (self assembled monolayer) 의 증착 KR20220025877A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962867419P 2019-06-27 2019-06-27
US62/867,419 2019-06-27
PCT/US2020/039636 WO2020264170A1 (en) 2019-06-27 2020-06-25 Deposition of self assembled monolayer for enabling selective deposition and etch

Publications (1)

Publication Number Publication Date
KR20220025877A true KR20220025877A (ko) 2022-03-03

Family

ID=74061144

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227003090A KR20220025877A (ko) 2019-06-27 2020-06-25 선택적인 증착 및 에칭을 가능하게 하는 자기 조립된 단층 (self assembled monolayer) 의 증착

Country Status (3)

Country Link
US (1) US11450532B2 (ko)
KR (1) KR20220025877A (ko)
WO (1) WO2020264170A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7443312B2 (ja) * 2021-09-29 2024-03-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム、及び基板処理装置
US20230197508A1 (en) * 2021-12-17 2023-06-22 Applied Materials, Inc. Self-assembled monolayer for selective deposition

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9613822B2 (en) * 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
CN109075075B (zh) 2016-04-05 2023-06-06 Tes股份有限公司 硅氧化膜的选择性蚀刻方法
US10741392B2 (en) * 2017-11-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure

Also Published As

Publication number Publication date
WO2020264170A1 (en) 2020-12-30
US11450532B2 (en) 2022-09-20
US20220208555A1 (en) 2022-06-30

Similar Documents

Publication Publication Date Title
KR102168494B1 (ko) 금속성 막들의 선택적 퇴적
KR102208442B1 (ko) 반응 챔버 패시베이션 및 금속성 막들의 선택적 퇴적
CN110313054B (zh) 自限制原子热蚀刻系统和方法
US9349586B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, substrate processing system and non-transitory computer-readable recording medium
KR102550244B1 (ko) 유전체 재료의 식각을 위한 사전 세척
TWI835810B (zh) 用於在有機材料上沉積金屬氧化物膜的沉積工具及方法
KR102503671B1 (ko) 플라즈마 개질을 이용한 유전체 재료의 선택적 순환 건식 식각 공정
CN107533962B (zh) 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法
KR102234260B1 (ko) 금속계 막의 선택적 증착
KR20100088157A (ko) 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법
JP7487189B2 (ja) 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
US10658194B2 (en) Silicon-based deposition for semiconductor processing
KR102403856B1 (ko) 에칭 층을 에칭하기 위한 방법
US20230118701A1 (en) Selective etch using deposition of a metalloid or metal containing hardmask
US11450532B2 (en) Deposition of self assembled monolayer for enabling selective deposition and etch
Lin et al. Selective atomic layer etching of HfO2 over silicon by precursor and substrate-dependent selective deposition
KR102476308B1 (ko) 공극들을 형성하기 위한 시스템들 및 방법들
KR20210117344A (ko) 희생 마스크 (sacrificial mask) 를 사용하는 선택적인 에칭
CN115485819A (zh) 用于选择性金属化合物移除的系统及方法
KR20220025891A (ko) 선택적 패시베이션을 위해 타깃 증착 (targeted deposition) 을 사용하여 피처들을 에칭하기 위한 방법
KR20200119218A (ko) 다색 선택도를 이용한 인접 라인들의 이방성 에칭 방법
TWI829231B (zh) 過渡金屬氮化材料的選擇性移除
TW202407129A (zh) 共沉積及蝕刻製程
WO2023101915A1 (en) Selective etch using fluorocarbon-based deposition of a metalloid or metal