KR102168494B1 - 금속성 막들의 선택적 퇴적 - Google Patents

금속성 막들의 선택적 퇴적 Download PDF

Info

Publication number
KR102168494B1
KR102168494B1 KR1020170070775A KR20170070775A KR102168494B1 KR 102168494 B1 KR102168494 B1 KR 102168494B1 KR 1020170070775 A KR1020170070775 A KR 1020170070775A KR 20170070775 A KR20170070775 A KR 20170070775A KR 102168494 B1 KR102168494 B1 KR 102168494B1
Authority
KR
South Korea
Prior art keywords
silicon
reaction chamber
substrate
precursor
deposition
Prior art date
Application number
KR1020170070775A
Other languages
English (en)
Other versions
KR20170138950A (ko
Inventor
상 천
토시하루 와타라이
타카히로 오누마
다이 이시카와
쿠니토시 남바
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20170138950A publication Critical patent/KR20170138950A/ko
Application granted granted Critical
Publication of KR102168494B1 publication Critical patent/KR102168494B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76823Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. transforming an insulating layer into a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

금속성 층들은 기판의 제2 표면에 대하여 상기 기판의 일 표면 상에 선택적으로 퇴적될 수 있다. 일부 실시예들에서, 상기 금속성 층들은 실리콘을 포함하는 제2 표면에 대하여 제1 금속성 표면 상에 선택적으로 퇴적된다. 일부 실시예들에서, 상기 선택적 퇴적 공정을 수행하는 단계 이전에 상기 선택적 퇴적이 일어나는 상기 반응 챔버는 임의적으로 패시베이션될 수 있다. 일부 실시예들에서, 약 50% 이상의, 또는 약 90%의 선택비가 달성된다.

Description

금속성 막들의 선택적 퇴적{Selective deposition of metallic films}
[1] 본 출원은 2016년 6월 8일 출원된 "REACTION CHAMBER PASSIVATION AND SELECTIVE DEPOSITION OF METALLIC FILMS"라는 발명의 명칭의 미국 특허출원번호 제15/177,195호, 및 2011년 12월 9일 출원된 미국 임시출원번호 제61/569,142호의 우선권을 주장하는 2011년 12월 9일 출원된 "SELECTIVE FORMATION OF METALLIC FILMS ON METALLIC SURFACES"라는 발명의 명칭의 미국 특허출원번호 제13/708,863호와 관련되며, 이들의 개시 전문이 여기에 참조문헌으로 병합된다.
[2] 본 출원은 일반적으로 반도체 제조 분야에 관련된다.
[3] 최근 집적 회로들은 다양한 물질들의 층들이 반도체 기판 상에 소정의 배열로 순차적으로 구성되는 정교한 공정에 의해 제조된다.
[4] 구리 상호접속체들(copper interconnects)에서의 현저히 증가하는 일렉트로마이그레이션(electromigration, EM)의 요구사항을 만족시키는 것은 무어의 법칙(Moore's law)이 진화함에 따라 더욱 어려워지고 있고, 이는 더욱 작은 소자들을 유발한다. 선 치수들이 축소됨에 따라, EM 불량을 위한 임계 보이드 크기가 또한 감소되고, 이는 불량까지의 평균 시간의 급격한 감소를 유발한다. 연속되는 스케일링을 가능하게 하기 위하여 EM 저항성의 현저한 향상이 요구된다.
[5] 유전 확산 배리어 및 금속성 물질 사이의 계면은 금속성 물질 확산을 위한 주요한 경로이고 EM 불량에 저항하는 데 가장 약한 연결점인 것으로 보여진 바 있다. 선택적 금속 캡의 채용은 유전 표면에 대비하여 금속성 표면들 상에 우수한 선택비를 달성하는 것의 난점 때문에 어려움이 있어 왔다. 이러한 맥락에서 일렉트로마이그레이션을 감소시키기 위하여 사용될 수 있는 금속성 막들의 선택적 퇴적을 위한 방법들이 여기에 개시된다.
[6] 텅스텐의 선택적 퇴적은 유리하게는 반도체 장치 제조 동안에 복잡한 패터닝 단계들의 필요성을 감소시킨다. 그러나 선택적 퇴적을 위한 요구되는 표면 터미네이션들을 제공하기 위하여 열적 또는 래디칼 처리들과 같은 조심스러운 표면 처리들이 일반적으로 바람직하다. 이러한 표면 처리들은 선택적 퇴적을 위한 요구되는 표면을 적합하게 준비하지 않을 수 있고, 선택비의 손실을 유발한다.
본 발명은 전술한 문제점들을 해결하기 위한 금속성 막들의 선택적 퇴적 방법을 제공한다.
[7] 일부 태양들에서, 제1 금속성 표면과 실리콘을 포함하는 제2 표면을 포함하는 기판 상에 막을 선택적으로 퇴적하는 공정들이 여기 개시된다. 일부 실시예들에서, 상기 공정들은 선택적 퇴적 공정이 수행될 반응 챔버를 패시베이션하는 단계; 상기 기판에, 상기 기판을 플라즈마에 노출하는 단계를 포함하는 제1 표면 처리 공정을 가하는 단계; 상기 제1 표면 처리 공정 이후에, 상기 반응 챔버 내에서 하나 또는 그 이상의 선택적 퇴적 사이클들을 수행하는 단계를 포함하고, 상기 각각의 사이클은, 상기 제1 금속성 표면 상에 실리콘(Si) 또는 보론(B)을 포함하는 제1 물질의 층을 실리콘을 포함하는 상기 제2 표면에 대하여 선택적으로 형성하도록 상기 기판을 실리콘 또는 보론을 포함하는 제1 전구체와 접촉시키는 단계, 및 상기 제1 물질을 금속을 포함하는 제2 전구체에 노출함에 의해 상기 제1 금속성 표면 상의 상기 제1 물질을 제2 금속성 물질로 변환시키는 단계를 포함한다. 일부 실시예들에서, 상기 제2 금속성 물질은 실리콘을 포함하는 상기 제2 표면에 대하여, 상기 기판의 상기 제1 금속성 표면 상에 약 50%보다 큰 선택비로 퇴적된다.
[8] 일부 실시예들에서, 상기 제1 금속성 표면은 구리를 포함한다. 일부 실시예들에서, 상기 제1 금속성 표면은 코발트를 포함한다. 일부 실시예들에서, 실리콘을 포함하는 상기 제2 표면은 SiO2를 포함한다. 일부 실시예들에서, 상기 제2 금속성 물질은 텅스텐을 포함한다. 일부 실시예들에서, 상기 반응 챔버를 패시베이션하는 단계는, 하나 또는 그 이상의 상기 선택적 퇴적 사이클들 동안에 상기 제1 또는 제2 전구체에 노출될 수 있는 상기 반응 챔버 내의 표면들 상에 패시베이션층을 퇴적하는 단계를 포함한다. 일부 실시예들에서, 상기 패시베이션층은 기상 퇴적 공정에 의해 형성된다. 일부 실시예들에서, 상기 패시베이션층은 플라즈마 강화 화학 기상 퇴적(plasma enhanced chemical vapor deposition, PECVD) 공정에 의해 형성된다. 일부 실시예들에서, 상기 패시베이션층은 플라즈마 강화 원자층 퇴적(plasma enhanced atomic layer deposition, PEALD) 공정에 의해 형성된다. 일부 실시예들에서, 상기 패시베이션층은 제1 기상 실리콘 전구체와 제2 기상 질소 전구체를 상기 반응 챔버 내로 인도하는(conducting) 단계에 의해 형성되고, 플라즈마가 상기 반응 챔버 내에 존재한다. 일부 실시예들에서, 상기 패시베이션층은 상기 반응 챔버를 디실레인(disilane)을 포함하는 제1 전구체 및 원자 질소, 질소 래디칼들, 또는 질소 플라즈마, 및 원자 수소, 수소 래디칼들, 또는 수소 플라즈마를 포함하는 제2 전구체에 교대로, 및 순차적으로 노출시키는 단계에 의해 형성된다.
[9] 일부 실시예들에서, 상기 패시베이션층은 SiN을 포함한다. 일부 실시예들에서, 상기 플라즈마는 에탄올로부터 생성된다. 일부 실시예들에서, 상기 플라즈마는 NH3 및 H2로부터 생성된다. 일부 실시예들에서, 상기 제1 전구체는 실레인(silane)을 포함한다. 일부 실시예들에서, 상기 제1 전구체는 디실레인을 포함한다. 일부 실시예들에서, 상기 제2 전구체는 금속 할라이드(metal halide)를 포함한다. 일부 실시예들에서, 상기 제2 전구체는 WF6를 포함한다. 일부 실시예들에서, 상기 공정은 상기 기판에 제1 표면 처리 공정을 가하는 단계 이전에, 상기 기판에 제2 표면 처리 공정을 가하는 단계를 더 포함한다. 일부 실시예들에서, 상기 제2 표면 처리 공정은 상기 기판을 처리 반응물에 노출하는 단계를 포함하고, 상기 처리 반응물이 상기 제2 표면을 패시베이션한다. 일부 실시예들에서, 상기 제2 금속성 물질은 실리콘을 포함하는 상기 제2 표면에 대하여 상기 기판의 상기 제1 금속성 표면 상에 약 90%보다 큰 선택비로 퇴적된다.
[10] 도 1은 제2 실리콘 함유 표면에 대하여 기판의 제1 금속성 표면 상에 금속 막을 선택적으로 퇴적하기 위한 공정을 일반적으로 도시하는 플로우차트이다.
[11] 도 2는 특정한 실시예에 따라 제2 실리콘 함유 표면에 대하여 기판의 제1 금속성 표면 상에 금속 막을 선택적으로 퇴적하기 위한 공정을 일반적으로 도시하는 플로우차트이다.
[12] 도 3은 특정한 다른 실시예에 따라 제2 실리콘 함유 표면에 대하여 기판의 제1 금속성 표면 상에 금속 막을 선택적으로 퇴적하기 위한 공정을 일반적으로 도시하는 플로우차트이다.
[13] 도 4는 그 내부에서 선택적 퇴적 공정을 수행하는 단계 이전에 반응 챔버를 패시베이션하기 위한 공정을 일반적으로 도시하는 플로우차트이다.
[14] 일부 실시예들에서, 실리콘 이산화물과 같은 실리콘 함유 물질들 상의 퇴적을 방지하는 한편 금속 또는 금속성 물질들 상에 금속성 막들의 선택적 퇴적을 위한 방법들이 개시된다. 예를 들어, 금속성 막은 기판 공정의 라인 마지막을 위한 구리 상에 퇴적될 수 있다. 일부 실시예들에서, 금속성 막들은 실리콘 함유 물질 내에 구리 라인들을 포함하는 집적 회로 대상체 상에 퇴적된다.
[15] 이러한 일부 어플리케이션들에서, 여기 개시된 선택적 퇴적 방법들은 구리 상에 물질을 퇴적하고, 구리의 일렉트로마이그레이션을 감소시키기 위하여 사용될 수 있다. 일부 실시예들에서, 선택적 퇴적은 기판 상에서 구리 금속 층들 상에 존재하고 실리콘 함유 물질들 상에 존재하지 않는다. 이러한 어플리케이션들 내에서 실리콘 함유 물질들 상의 퇴적은 이것이 유효 유전 값을 감소시킬 수 있기 때문에 요구되지 않는다.
[16] 일부 실시예들에서, 여기 설명된 공정 흐름들은 집적 회로 제조 동안에 마이크로미터 크기의(또는 더 작은) 피쳐들 상에 금속을 선택적으로 퇴적하는 데 사용된다. 일부 실시예들에 있어서, 피쳐 사이즈는 100 마이크로미터보다 작을 수 있고, 1 마이크로미터보다 작거나 200 nm보다 더 작을 수 있다. 상호접속 어플리케이션들을 위한 Cu 상의 W의 선택적 퇴적의 경우에, 피쳐 사이즈/라인 폭들은 1 마이크로미터보다 작을 수 있고, 200 nm보다 작거나, 100 nm보다 작거나, 또는 50 nm보다 작을 수 있다. 물론 당업자들은 개시된 방법들을 사용한 더 큰 피쳐들 상의 선택적 퇴적 또한 다른 문맥에서의 선택적 퇴적이 가능하다는 것을 인식할 것이다.
[17] 일부 실시예들에서, 선택적 퇴적은 추가적인 공정 단계들을 방지할 수 있고, 기판의 공정과 관련된 시간을 절약하고 비용들을 감소시킬 수 있다. 예를 들어, 작은 치수들에 대하여 미래에는 리소그래피가 매우 비싸질 것이다. 기판 공정 동안에 Cu 금속화의 각각의 면적을 위한 시간이 절약되기 때문에, 칩들 내의 8층 이상의 Cu 금속화에 의해 선택적 퇴적을 사용하여 달성 가능한 시간과 비용 절약이 배가된다. 또한 여기 설명된 방법들은 확산 배리어들 및 다른 공정 단계들의 필요성을 제거할 수 있다.
[18] 도 1은 제2 실리콘 함유 표면에 대하여 기판의 제1 금속성 표면 상에 금속 막을 선택적으로 퇴적하기 위한 공정(10)을 일반적으로 도시하는 플로우차트이다. 일부 실시예들에서, 상기 공정은 선택적 퇴적을 가능하게 하고, 선택비를 향상시키고, 및/또는 선택적 퇴적 공정 동안 선택비를 잃기 전에 연속적인 사이클들의 횟수를 증가시키기 위하여, 선택적 퇴적 단계(14) 이전에 선택적 반응 챔버 패시베이션 단계(11)를 포함할 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 단계(11)는 요구되는 레벨의 선택비가 얻어지는 연속적인 사이클들의 횟수를 증가시킬 수 있다. 선택적 반응 챔버 패시베이션 단계(11)는 챔버 표면 상에, 및 선택적 퇴적 단계(14) 동안 전구체 또는 반응물에 노출될 수 있는 다른 위치들 상에 패시베이션 물질 또는 패시베이션층을 제공하는 단계를 포함할 수 있다. 반응 챔버 패시베이션 단계(11)는 후속적인 선택적 퇴적 단계(14) 동안의 챔버 표면들 상에 금속성 물질의 퇴적을 제한하거나 방지할 수 있고, 이에 따라 선택적 퇴적 단계(14)에 의해 생성되는 반응성 부산물들의 양을 감소시키거나 제거한다. 일부 실시예들에서, 반응 챔버 패시베이션 단계(11)는 선택적 퇴적 단계(14) 동안의 기판의 오염을 감소시킬 수 있고, 이는 다시 선택적 퇴적을 가능하게 하거나 선택비를 증가시킬 수 있다.
[19] 일부 실시예들에서, 패시베이션층은 예를 들어 SiN을 포함할 수 있다. 일부 실시예들에서, 패시베이션층은 금속 산화물을 포함할 수 있고, 예를 들어 챔버 표면들 상에 존재하는 금속성 물질을 산화함에 의해 형성될 수 있다. 일부 실시예들에서, 패시베이션층은 순수한 금속 또는 순수한 실리콘이 아닐 수 있다.
[20] 여기 설명된 방법들을 사용한 선택적 퇴적은 그 상에 퇴적을 막기 위하여 실리콘 함유층의 처리를 필요로 하지 않는다. 그 결과로, 일부 실시예들에서, 실리콘을 포함하는 제2 표면은 자기-조립 단층(self-assembled monolayer, SAM)과 같은 패시베이션 또는 블로킹층을 포함하지 않고, 이는 제2 유전 표면의 실제 상면이 여기 설명된 퇴적 공정의 화학물질들에 노출되는 것으로부터 방지할 것이다. 따라서, 일부 실시예들에서 상기 막은 블로킹 또는 패시베이션 처리와 같은 제2 실리콘 함유 표면 상에 상기 막의 퇴적을 방지하도록 설계된 처리를 받지 않았던, 기판 상의 제1 금속 표면 상에 막이 선택적으로 퇴적된다. 즉, 일부 실시예들에서 선택적 퇴적은 실리콘을 포함하는 제2 표면 상에 퇴적이 블로킹 또는 패시베이션층에 의해 블록되지 않았더라도, 선택적 퇴적이 얻어질 수 있다. 대신에, 퇴적 조건들은 퇴적 이전에 실리콘을 포함하는 제2 표면의 전처리를 위한 필요성 없이 선택적 퇴적 공정이 일어나도록 선택된다.
[21] 일부 실시예들에서, 제2 실리콘 함유층은 제1 표면을 처리하도록 설계된 처리에 노출될 수 있다. 예를 들어, 일부 실시예들에서 제1 금속 표면을 패시베이션하도록 요구되고, 실리콘을 포함하는 제2 표면은 제1 금속 표면과 동일한 패시베이션 처리에 노출될 수 있다. 예를 들어, Cu의 경우에 제1 Cu 표면 및 실리콘을 포함하는 제2 표면 모두가 BTA 또는 다른 패시베이션 화학물질에 노출될 수 있다. 그러나 금속 표면으로부터 패시베이션층을 제거하도록 제1 표면 처리 단계 이전에, 실리콘을 포함하는 제2 표면을 위하여 특정한 추가의 처리 또는 노출들(샘플의 전달들 동안에 받을 수 있는 것들을 제외하고)이 수행되지 않는다. 특히, 실리콘을 포함하는 제2 표면 상의 막의 퇴적을 블록하도록 설계된 처리가 수행될 필요가 없다.
[22] 일부 실시예들에서, 막의 선택적 퇴적의 시점에 제2 유전 표면은 저유전체(low-k) 물질 내에서 자연적으로 일어나고 있는 표면 그룹들만을 포함하며, 저유전체 물질 자체 내에서 자연적으로 존재하지 않을 기능성 그룹들 또는 리간드들의 현저한 양을 포함하지 않는다. 일부 실시예들에서, 제2 유전 표면에 표면 그룹들을 첨가할 것인 제1 표면 처리 이후에, 제2 유전 표면의 활성 처리가 수행되지 않는다. 일부 실시예들에서, 제2 유전 표면은 예를 들어 공기 내에 기판의 전달 동안에 형성될 수 있는 것들을 포함하여, 저유전체 물질들 내에서 자연적으로 일어나고 있는 표면 그룹들만을 포함한다.
[23] 그러나, 일부 실시예들에서, 제2 실리콘 함유 표면은 단계(12)에서 임의적으로(optionally) 처리될 수 있다. 일부 실시예들에서, 예를 들어 실리콘 함유 표면을 패시베이션함에 의해 실리콘 함유 표면 상에 퇴적된 물질의 양을 감소시킴에 의해 퇴적 공정의 선택비를 향상시키도록 실리콘 함유 표면은 단계(12)에서 처리될 수 있다. 일부 실시예들에서, 처리 단계(12)는 실리콘 함유층을 복구하도록 의도되고, 실리콘 함유층 상에 퇴적을 블록하도록 의도되지 않는다. 일부 실시예들에서, 단계(12)에서 제2 실리콘 함유 표면 처리는 처리 화학물질로 제2 표면을 처리하는 단계를 포함할 수 있고, 예를 들어 실리콘을 포함하는 제2 표면은 트리메틸(디메틸아미노)실레인(trimethyl(dimethylamino)silane)을 포함하는 처리 화학물질로 접촉될 수 있다. 일부 실시예들에서, 기판은 예를 들어 기판 표면으로부터 또는 실리콘 함유 물질 내부로부터 임의의 수분을 제거하기 위하여 단계(12)의 시작점에 또는 이전에 아웃개스(outgas)될 수 있다.
[24] 일부 실시예들에서, 기판 표면은 선택적 퇴적 단계(14)를 개시하기 전에 단계(13)에서 세정된다. 일부 실시예들에서, 제2 표면 처리 단계(13)는 플라즈마에, 예를 들어 NH3로부터 생성되는 플라즈마에 기판을 노출시키는 단계를 포함할 수 있다. 일부 실시예들에서, 제2 표면 처리 단계(13)는 기판을 기상 처리 화학물질, 예를 들어 포름산(formic acid)에 노출시키는 단계를 포함할 수 있다. 일부 실시예들에서, 제1 표면 처리 단계(13)는 제1 금속성 표면을 환원시킬 수 있다. 일부 실시예들에서, 제1 표면 처리 단계(13)는 제1 금속성 표면 상에 존재할 수 있는 임의의 자연 산화물을 제거할 수 있다. 일부 실시예들에서 제1 표면 처리 단계(13) 이후에도 자연 산화물이 제1 표면 상에 여전히 존재할 수 있다. 일부 실시예들에서, 제1 표면 처리 단계(13)는 제1 금속성 표면 상에 존재할 수 있는 임의의 탄화수소층을 제거할 수 있다. 일부 실시예들에서, 제1 표면 처리 단계(13)는 제1 금속성 표면 상에 액티브 사이트들을 제공할 수 있다. 일부 실시예들에서, 기판은 예를 들어 기판 표면으로부터, 또는 실리콘 함유 물질 내부로부터 임의의 수분을 제거하기 위하여 단계(13)의 시작점에 또는 이전에 아웃개스될 수 있다.
[25] 일부 실시예들에서, 선택적 퇴적 공정의 단계(14)는 제1 금속 표면 및 실리콘을 포함하는 제2 표면을 포함하는 기판 상에 복수의 퇴적 사이클들을 사용하여 막을 선택적으로 퇴적하는 단계를 포함한다. 상기 사이클은, 실리콘을 포함하는 제2 표면에 대하여 제1 금속 표면 상부에 Si 또는 B을 포함하는 제1 물질의 층을 선택적으로 형성하도록 기판을 실리콘 또는 보론을 포함하는 제1 전구체와 접촉시키는 단계; 및 기판을 금속을 포함하는 제2 전구체에 노출시킴에 의해 제1 물질을 제2 금속성 물질로 변환시키는 단계를 포함한다. 선택적 퇴적 단계(14)는 실리콘을 포함하는 제2 표면에 대하여 제1 금속 표면 상에 더 큰 양의 물질을 형성하는 단계를 포함한다. 선택비는 제1 및 제2 표면들 상에 형성되는 물질의 합쳐진 양에 대하여, 제1 표면 상에 형성되는 물질의 비율로서 표현될 수 있다. 예를 들어, 공정이 제1 구리 표면 상에 10 nm의 W을 퇴적하고, 제2 실리콘 산화물 표면 상에 1 nm를 퇴적한다면, 상기 공정은 90%의 선택비를 갖는 것으로 여겨질 것이다. 바람직하게는, 여기 개시된 방법들의 선택비는 약 80%이상, 더욱 바람직하게는 90% 이상, 더더욱 바람직하게는 95% 이상이고, 가장 바람직하게는 약 100%이다. 일부 경우들에서, 선택비는 적어도 약 80%이고, 이는 일부 특정한 어플리케이션들을 위하여 충분히 선택적일 수 있다. 일부 경우들에서, 선택비는 적어도 약 50%이고, 이는 일부 특정한 어플리케이션들을 위하여 충분히 선택적일 수 있다. 일부 실시예들에서, 다수 퇴적 사이클들이 단계(14)에서 물질을 퇴적하도록 사용된다. 일부 실시예들에서, 선택적으로 퇴적된 막이 금속성 층이다. 금속성 층은 금속 원소일 수 있다. 일부 실시예들에서, 금속성 층은 Si, B, N 및/또는 도펀트들과 같은 첨가 원소들을 포함할 수 있다. 따라서, 일부 실시예들에서, 금속성 층은 금속 질화물 또는 금속 실리사이드이다. 여기 사용된 것과 같이, "금속성"은 막, 반응물 또는 다른 물질이 하나 또는 그 이상의 금속들을 포함하는 것을 의미한다.
[26] 기판은 다양한 형태의 물질들을 포함할 수 있다. 집적 회로들을 제조할 때, 기판은 일반적으로 화학적 및 물리적 특성들을 달리하는 다수의 박막들을 포함한다. 예를 들어, 그리고 제한 없이, 기판은 실리콘 함유층 및 금속층을 포함할 수 있다. 일부 실시예들에서, 기판은 금속 카바이드를 포함할 수 있다. 일부 실시예들에서, 기판은 도전성 산화물을 포함할 수 있다.
[27] 바람직하게는 기판은 금속을 포함하는 제1 표면을 가지며, 이는 여기서는 제1 금속 표면 또는 제1 금속성 표면으로도 지칭된다. 일부 실시예들에서, 제1 표면은 Cu 또는 Co와 같은 본질적으로 원소 금속이다. 일부 실시예들에서, 제1 표면은 금속 질화물이다. 일부 실시예들에서, 제1 표면은 전이 금속을 포함한다. 전이 금속은 Ti, V, Cr, Mn, Nb, Mo, Ru, Rh, Pd, Ag, Au, Hf, Ta, W, Re, Os, Ir, 및 Pt의 군으로부터 선택될 수 있다. 일부 실시예들에서, 제1 표면은 바람직하게는 구리를 포함한다. 일부 실시예들에서, 제1 표면은 코발트를 포함한다. 일부 실시예들에서, 제1 표면은 텅스텐을 포함한다. 일부 실시예들에서, 제1 표면은 금속의 자연 산화물을 포함할 수 있고, 예를 들어 제1 표면은 텅스텐 산화물을 포함할 수 있다. 일부 실시예들에서, 제1 표면은 심(seam), 갭, 또는 공간을 포함할 수 있고, 선택적 퇴적 공정은 제1 표면의 심, 갭, 또는 공간을 닫거나 실질적으로 채운다. 일부 실시예들에서, 제1 표면은 귀금속을 포함한다. 귀금속은 Au, Pt, Ir, Pd, Os, Ag, Re, Rh, 또는 Ru의 군으로부터 선택될 수 있다.
[28] 제2 표면은 바람직하게는 실리콘 함유 표면이고, 여기서 제2 실리콘 함유 표면 또는 실리콘을 포함하는 제2 표면으로 지칭된다. 일부 실시예들에서, 실리콘 함유 표면은 예를 들어, SiO2를 포함한다. 일부 실시예들에서, 제2 표면은 실리콘 산화물, 실리콘 질화물, 실리콘 카바이드, 실리콘 산질화물, 실리콘 이산화물, 또는 이들의 혼합물들을 포함할 수 있다. 일부 실시예들에서, 제2 표면을 포함하는 물질은 다공성 물질이다. 일부 실시예들에서, 다공성 물질은 서로 연결되는 포어들(pores)을 함유하는 반면, 다른 실시예들에서 상기 포어들은 서로 연결되지 않는다. 일부 실시예들에서, 제2 표면은 저유전체 물질을 포함하고, 약 4.0보다 낮은 유전값을 갖는 절연체로 정의된다. 일부 실시예들에서, 저유전체 물질의 유전값은 약 3.5 이하, 약 3.0 이하, 약 2.5 이하 및 약 2.3 이하이다.
[29] 여기 개시된 공정들 내에 채용되는 전구체들은, 반응 챔버 내로 인도되고 기판 표면과 접촉되기 전에 기체 상태에 있다면, 표준 조건들(실온 및 대기압) 하에서 고체, 액체 또는 기체 물질일 수 있다. 플라즈마 조건들 또한 사용될 수 있다. 따라서, 플라즈마는 일부 실시예들에서 기상 반응물들 또는 전구체들로부터 형성될 수 있다. 증기화된 전구체를 기판 상으로 "펄스하는" 것은 제한된 기간 동안 챔버 내로 전구체 증기가 인도되는 것을 의미한다. 일반적으로, 펄스 시간은 약 0.05 내지 10초이다. 그러나, 기판 종류 및 그 표면적에 따라, 펄스 시간은 10초보다 더욱 높을 수 있다. 펄스 시간들은 일부 경우들에서 수분 오더에 있을 수 있다. 일부 경우들에서, 반응들의 완전한 포화를 확보하기 위하여 전구체는 하나의 긴 펄스에서보다는 다수의 더 짧은 펄스들 내에서 공급될 수도 있다.
[30] 전구체들의 질량 유속은 또한 당업자에 의해 결정될 수 있다. 일부 실시예들에서, 300 mm 웨이퍼들 상의 퇴적을 위하여 전구체들의 유속은 바람직하게는 약 1 내지 2000 sccm이나 제한되지 않는다. 일부 실시예들에서, 유속은 약 50 sccm 내지 약 1500 sccm, 약 100 sccm 내지 약 1000 sccm, 또는 약 200 sccm 내지 약 500 sccm일 수 있다.
[31] 반응 챔버 내의 압력은 일반적으로 약 0.01 내지 약 50 mbar이다. 일부 실시예들에서, 압력은 약 0.1 mbar 내지 약 20 mbar, 또는 약 1 mbar 내지 약 10 mbar일 수 있다. 그러나 일부 경우들에서 압력은 당업자에 의해 즉각적으로 결정될 수 있는 바와 같이, 이러한 범위보다 더 높거나 더 낮을 것이다.
챔버 패시베이션
[32] 도 1을 다시 참조하면, 일부 실시예들에서 단계(14)에서 금속성 막을 선택적으로 퇴적하는 단계 이전에, 선택적 퇴적 공정이 수행되는 반응 챔버 또는 챔버들이 단계(11)에서 패시베이션되는 것이 바람직할 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 단계(11)는 선택적 퇴적을 가능하게 하고, 선택비를 향상하고, 및/또는 선택적 퇴적 공정, 예를 들어 여기 설명된 금속성 막의 선택적 퇴적 공정 동안 선택비를 잃기 전에 사이클들의 횟수를 증가시킬 수 있다.
[33] 일부 실시예들에서, 제2 표면, 예를 들어 실리콘 함유 표면에 대하여 기판의 제1 표면, 예를 들어 금속성 표면 상에 막을 선택적으로 퇴적하기 위한 선택적 퇴적 공정은 제2 표면을 급격하게 손상시킬 수 있는 반응성 부산물들을 생성할 수 있다. 반응성 부산물들은 제2 표면 상의 액티브 사이트들을 제공할 수 있고, 선택비의 손실을 유발할 수 있다. 일부 실시예들에서, 원치 않는 퇴적이 반응 챔버 표면들 상에서 일어날 수 있고, 이에 따라 기판 상에 퇴적이 일차적으로 일어나는 선택적 퇴적 공정을 통틀어 반응 챔버 내에 반응성 부산물들의 증가된 양을 유발한다. 챔버 표면들, 예를 들어 반응 챔버의 내부 표면들 상의 원치 않는 퇴적의 양을 감소시키기 위하여, 그리고 결과적으로 선택적 퇴적 공정에 의해 생성되는 반응성 부산물들의 양을 감소시키기 위하여, 퇴적에 반대하여 이러한 챔버 표면들을 패시베이션하는 것이 요구된다.
[34] 예를 들어, 일부 실시예들에서 W 선택적 퇴적 공정은 화학식 SiFx(x=1 내지 4)을 갖는 반응성 부산물들을 생성할 수 있다. 반응 챔버가 패시베이션되지 않았던 일부 실시예들에서, 원치 않는 W 퇴적은 챔버 표면들 상에서 일어날 수 있고, 이에 따라 SiFx 부산물들의 원치 않는 양을 생성한다. 반응 챔버가 패시베이션되었던 일부 실시예들에서, W 퇴적은 기판의 제1 표면 상에 일차적으로 일어날 수 있고, 또는 원치 않는 챔버 표면들 상에 일어나지 않을 수 있거나, 더 적은 정도까지 일어날 수 있고, 이는 반응 챔버가 패시베이션되지 않았던 W 선택적 퇴적 공정에 대하여, 선택적 퇴적 공정 동안에 생성되는 SiFx 부산물들의 양의 감소를 유발한다.
[35] 일부 실시예들에서, 반응 챔버 패시베이션 단계(11)는 반응 챔버 내에 웨이퍼 또는 기판이 존재하지 않을 때 수행된다. 그러므로, 일부 실시예들에서 기판, 예를 들어 제1 금속성 표면 및 제2 실리콘 함유 표면을 포함하는 기판에 반응 챔버 패시베이션 단계(11)가 가해지지 않는다. 일부 실시예들에서, 기판에 반응 챔버 패시베이션 단계(11) 이전에, 동안에, 또는 이후에 다른 공정이 가해질 수 있다.
[36] 일부 실시예들에서, 반응 챔버 패시베이션 단계(11)는 단계(14)에서 선택적 퇴적 공정이 수행된 이후에 반복될 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 단계(11)는 매 1, 2, 3, 또는 그 이상의 선택적 퇴적 단계들(14)이 수행된 이후에 반복될 수 있다. 예를 들어, 일부 실시예들에서 반응 챔버 패시베이션 단계(11)는 매 1, 5, 10, 20, 50 또는 그 이상의 기판들, 예를 들어 웨이퍼들에 선택적 퇴적 단계(14)가 가해진 이후에 반복될 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 단계(11)는 선택적 퇴적 단계(14)의 특정한 횟수의 사이클들이 수행된 이후에 반복될 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 단계(11)는 매 50, 100, 150, 또는 그 이상의 선택적 퇴적 사이클들 이후에 반복될 수 있다. 일부 실시예들에서, 기판 또는 기판들은 반응 챔버 내에 잔류할 수 있거나, 반응 챔버 패시베이션 단계(11) 동안에 반응 챔버 내에 존재하지 않을 수 있다.
[37] 일부 실시예들에서, 반응 챔버 패시베이션 단계(11)는 챔버 표면들 및 선택적 퇴적 단계(14) 동안에 전구체 또는 반응물에 노출될 수 있는 다른 표면들 상에 패시베이션층 또는 패시베이션 물질을 제공하는 단계를 포함할 수 있다. 일부 실시예들에서, 패시베이션 물질은 반응 챔버의 내부 표면, 챔버 샤워헤드, 및/또는 선택적 퇴적 단계(14) 동안 전구체 또는 반응물에 노출될 수 있는 챔버의 임의의 다른 부분들 상에 퇴적되거나 또는 형성된다. 일부 실시예들에서, 패시베이션 물질은 선택적 퇴적이 일어나도록 요구되는 기판 상이 아닌 반응 챔버 내의 임의의 표면 상에 퇴적될 수 있다. 일부 실시예들에서, 패시베이션 물질은 단계(14)에서 선택적으로 퇴적되는 물질과는 다르다. 일부 실시예들에서, 패시베이션층을 퇴적하는 데 사용되는 배치 공정은 선택적 퇴적 공정이 아닐 수 있다.
[38] 일부 실시예들에서, 반응 챔버 패시베이션(11)은 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비가 유지되는 연속적인 사이클들의 횟수를 증가시킬 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 공정(11)은, 임의의 반응 챔버 패시베이션 공정(11)이 가해지지 않았던 반응 챔버와 비교할 때, 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비가 유지되는 연속적 사이클들의 횟수를 약 50%보다 크게 증가시킬 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 공정(11)은 임의의 반응 챔버 패시베이션 공정(11)이 가해지지 않았던 반응 챔버와 비교할 때, 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비가 유지되는 연속적 사이클들의 횟수를 약 75%보다 크게, 약 100%보다 크게, 약 200%보다 크게, 약 400%보다 크게, 또는 약 900%보다 크게 증가시킬 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 공정(11)은 임의의 반응 챔버 패시베이션 공정(11)이 가해지지 않았던 반응 챔버와 비교할 때, 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비가 유지되는 연속적 사이클들의 횟수를 약 20배보다 크게 증가시킬 수 있다.
[39] 일부 실시예들에서, 반응 챔버 패시베이션 공정(11)은 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비가 유지되는 연속적 사이클들의 횟수를 증가시킬 수 있고, 반응 챔버 패시베이션 공정(11)은 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비가 유지되는 추가적인 연속적 사이클들을 허용하기 위하여 요구되는 횟수의 사이클들 이후에 반복될 수 있다. 즉, 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비가 유지되는 추가적인 연속적 사이클들을 허용하기 위하여, 반응 챔버 패시베이션 공정(11)은 연속적 사이클들의 요구되는 횟수 이후에, 및 선택적 퇴적 공정의 선택비가 요구되는 레벨 아래로 감소되기 전에 수행될 수 있다. 반응 챔버 패시베이션(11)은 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비를 유지하기 위하여 선택적 퇴적 공정의 연속적인 사이클들의 요구되는 횟수 이후에 임의의 횟수만큼 반복될 수 있다.
[40] 일부 실시예들에서, 이전에 퇴적된 패시베이션층 또는 층들은, 반응 챔버 패시베이션 공정(11)을 통한 후속의 패시베이션층의 퇴적 이전에 반응 챔버의 내부 표면들로부터 식각되거나 또는 적어도 부분적으로 제거될 수 있다. 일부 실시예들에서, 반응 챔버에 2회 이상, 5회 이상, 또는 10회 이상의 반응 챔버 패시베이션 공정들(11)이 가해진 이후에, 이전에 퇴적된 패시베이션 층 또는 층들은 반응 챔버의 내부 표면들로부터 식각되거나 또는 적어도 부분적으로 제거될 수 있다. 일부 실시예들에서, 2회 이상, 5회 이상, 또는 10회 이상의 반응 챔버 패시베이션 공정들 사이에 식각 또는 층 제거가 수행되지 않는다. 일부 실시예들에서, 이전에 퇴적된 패시베이션층 또는 층들이 반응 챔버의 내부 표면들로부터 식각되거나 적어도 부분적으로 제거된 이후에, 반응 챔버에 이후 반응 챔버 패시베이션 공정(11)이 가해질 수 있다.
[41] 일부 실시예들에서, 반응 챔버 패시베이션(11)은 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비가 유지되는 기간을 증가시킬 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 공정(11)은, 임의의 반응 챔버 패시베이션 공정(11)이 가해지지 않았던 반응 챔버와 비교할 때 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비가 유지되는 기간을 약 50%보다 크게, 약 75%보다 크게, 약 100%보다 크게, 약 200%보다 크게, 약 400%보다 크게, 또는 약 900%보다 크게 증가시킬 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 공정(11)은 임의의 반응 챔버 패시베이션 공정(11)이 가해지지 않았던 반응 챔버와 비교할 때 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비가 유지되는 기간을 약 20배 크게 증가시킬 수 있다.
[42] 일부 실시예들에서, 반응 챔버 패시베이션(11)은 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비가 유지되는 기판들, 예를 들어 웨이퍼들의 개수를 증가시킬 수 있다. 즉, 반응 챔버 패시베이션(11)은 요구되는 레벨의 선택비를 유지하는 한편 선택적 퇴적이 동시에 수행될 수 있는 웨이퍼들의 개수를 증가시킬 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 공정(11)은, 임의의 반응 챔버 패시베이션 공정(11)이 가해지지 않았던 반응 챔버와 비교할 ?, 선택적 퇴적 공정(14)의 요구되는 레벨의 선택비가 유지되는 기판들의 개수를 약 2배 크게, 약 5배 크게, 약 10배 크게, 약 20배 크게, 또는 약 50배 크게 증가시킬 수 있다.
[43] 일부 실시예들에서, 반응 챔버 패시베이션 공정(11)은 유지(maintenance)가 요구되기 전에 반응 챔버 내에서 수행될 수 있는 퇴적 사이클들의 횟수를 연장시킬 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 공정(11)은, 임의의 반응 챔버 패시베이션 공정(11)이 가해지지 않았던 반응 챔버와 비교할 때, 유지가 요구되기 전에 반응 챔버 내에서 수행될 수 있는 퇴적 사이클들의 횟수를 약 50% 이상, 약 75% 이상, 약 100% 이상, 약 200% 이상, 약 400% 이상, 약 900% 이상, 또는 약 20배 이상 연장시킬 수 있다.
[44] 일부 실시예들에서, 선택적 퇴적 공정 동안에, 반응 챔버의 내부 표면 상에 물질이 퇴적될 수 있다. 이러한 퇴적된 물질은 조각으로 떨어지고(flake off) 선택적 퇴적과 간섭하거나, 또는 선택적 퇴적 공정 동안에 원치 않는 반응 부산물들의 원치 않게 높은 양이 생성될 수 있도록 반응성 사이트들을 제공할 수 있다. 즉, 반응 챔버의 내부 표면으로부터 퇴적된 물질을 주기적으로 제거하는 것이 필수적일 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 공정(11)은 요구되는 레벨의 선택비가 얻어지거나 또는 유지되도록 식각, 예를 들어 인-시츄 식각이 수행될 필요가 있기 전에 반응 챔버 내에서 수행될 수 있는 퇴적 사이클들의 횟수를 연장시킬 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 공정(11)은 요구되는 레벨의 선택비가 얻어지거나 또는 유지되도록 식각, 예를 들어 인-시츄 식각이 수행될 필요가 있기 전에 반응 챔버 내에서 수행될 수 있는 퇴적 사이클들의 횟수를, 임의의 반응 챔버 패시베이션 공정(11)이 가해지지 않았던 반응 챔버와 비교할 때, 약 50%이상, 약 75% 이상, 약 100% 이상, 약 200% 이상, 약 400% 이상, 약 900% 이상, 또는 약 20배 이상 연장시킬 수 있다.
[45] 일부 실시예들에서, 반응 챔버 패시베이션 단계(11) 동안에 퇴적되거나 형성된 패시베이션 층은 SiN을 포함할 수 있다. 일부 실시예들에서, 패시베이션층은 실리콘 산화물, 실리콘 질화물, 실리콘 카바이드, 실리콘 산질화물, 또는 이들의 혼합물들을 포함할 수 있다. 일부 실시예들에서, 패시베이션층은 금속 산화물을 포함할 수 있다. 일부 실시예들에서, 패시베이션층은 순수한 금속 또는 순수한 실리콘 이외의 임의의 물질을 포함할 수 있다. 일부 실시예들에서, 패시베이션층은 자기-조립 단층(SAM) 또는 SAM을 형성하도록 사용되는 것들과 유사한 분자들을 이용한 유사한 층이 아니다.
[46] 일부 실시예들에서, 패시베이션층은 기상 퇴적 공정에 의해 단계(11)에서 퇴적되거나 수행된다. 일부 실시예들에서, 패시베이션층을 형성하기 위한 퇴적 공정은 화학적으로 구동되는 기상 퇴적 공정을 포함할 수 있다. 즉, 패시베이션층을 형성하기 위한 퇴적 공정은 하나 이상의 전구체들의 화학 반응들에 의존하는 기상 퇴적 공정이며, 물리적 기상 퇴적 공정이 아니다. 예를 들어, 패시베이션층을 형성하거나 퇴적하기 위한 퇴적 공정은 화학 기상 퇴적(CVD)공정, 또는 원자층 퇴적(ALD) 공정일 수 있다. 일부 실시예들에서, 패시베이션층은 플라즈마 강화 ALD (PEALD) 공정 또는 플라즈마 강화 CVD (PECVD) 공정에 의해 형성될 수 있다.
[47] 일부 실시예들에서, 패시베이션층을 형성하기 위한 퇴적 공정은 1 내지 10000 퇴적 사이클들, 5 내지 5000 퇴적 사이클들, 10 내지 2500 퇴적 사이클들, 또는 10 내지 50 퇴적 사이클들을 포함할 수 있다. 일부 실시예들에서, 패시베이션층은 약 1 nm 내지 약 1000 nm, 약 5 nm 내지 약 500 nm, 약 10 nm 내지 약 250 nm, 약 40 nm 내지 약 150 nm의 두꼐를 가질 수 있다. 일부 실시예들에서, 그러나 패시베이션층이 1 nm보다 작은 두꼐를 갖는 것이 유용할 수 있다. 일부 실시예들에서, 패시베이션층은 약 200 nm보다 작거나, 약 100 nm보다 작거나, 약 50 nm보다 작거나, 약 25 nm보다 작은 두께를 가질 수 있다.
[48] 일부 실시예들에서, 패시베이션층을 형성하기 위한 퇴적 사이클은 하나 이상의 퇴적 사이클들을 포함하는 ALD 타입의 공정을 포함할 수 있고, 퇴적 사이클은 반응 챔버 표면들을 제1 기상 전구체 및 제2 기상 전구체에 교대로 및 순차적으로 노출하는 단계 또는 접촉시키는 단계를 포함할 수 있다. 일부 실시예들에서, 제1 기상 전구체 및 만약 있다면 반응 부산물들이, 상기 반응 챔버 표면들을 제2 기상 전구체에 노출하거나 접촉시키는 단계 이전에 반응 챔버로부터 제거될 수 있다. 일부 실시예들에서, 제2 기상 전구체 및 임의의 반응 부산물들이, 상기 반응 챔버 표면들을 제1 기상 전구체에 후속적으로 노출하거나 또는 접촉시키는 단계 이전에 반응 챔버로부터 유사하게 제거될 수 있다.
[49] 일부 실시예들에서, 패시베이션층을 형성하기 위한 퇴적 공정은 CVD 타입의 공정을 포함할 수 있고, 여기서 제1 기상 전구체 및 제2 기상 전구체가 반응 챔버 내로 동시에 또는 중첩되는 펄스들로 인도되고, 패시베이션층을 형성하도록 전구체들이 챔버 표면들 상에서 반응하거나, 및/또는 분해된다.
[50] 일부 실시예들에서, 패시베이션층을 형성하기 위한 퇴적 공정은 PECVD 타입의 공정을 포함할 수 있고 여기서 제1 기상 전구체와 제2 기상 전구체가 반응 챔버 내로 동시에 또는 중첩되는 펄스들로 인도되며, 반응 챔버 내에서 플라즈마가 생성된다. 패시베이션층을 형성하도록 전구체들은 플라즈마 내에서 및/또는 챔버 표면들 상에서 반응하거나, 및/또는 분해한다. 일부 실시예들에서, 플라즈마는 원거리에서 생성될 수 있고, 반응 챔버 내로 도입될 수 있다.
[51] 일부 실시예들에서, SiN을 포함하는 패시베이션층을 형성하기 위한 퇴적 공정은 PECVD 공정일 수 있다. 일부 실시예들에서, PECVD 퇴적 공정은 기상 실리콘 전구체 및 기상 질소 전구체를 사용할 수 있다. 일부 실시예들에서, 실리콘 전구체 및 질소 전구체는 반응 챔버 내로 함께 또는 중첩되는 펄스들 내에서 제공될 수 있다. 일부 실시예들에서, 플라즈마가 반응 챔버 내에서 생성되고 실리콘 및 질소 전구체들이 챔버 표면들 상에 SiN 패시베이션층을 형성하도록 반응하고 및/또는 분해한다. 일부 실시예들에서, 플라즈마가 원거리에서 생성될 수 있고 반응 챔버 내로 도입될 수 있다.
[52] 일부 실시예들에서, SiN과 같은 실리콘을 포함하는 패시베이션층을 형성하기 위한 퇴적 공정은 실리콘 전구체와, 질소 전구체와 같은 하나 또는 그 이상의 추가적인 전구체들을 사용할 수 있다. 일부 실시예들에서, 패시베이션층을 형성하기 위한 퇴적 공정은 질소 전구체를 사용할 수 있다. 일부 실시예들에서, 패시베이션층 퇴적 공정 내에서 사용되는 실리콘 전구체는 실레인, 예를 들어 실레인, 디실레인 또는 트리실레인을 포함할 수 있다. 일부 실시예들에서, 질소 전구체는 원자 질소, 질소 래디칼들, 질소 플라즈마, 또는 이들의 조합들을 포함할 수 있다. 일부 실시예들에서, 질소 전구체는 원자 수소, 수소 래디칼들, 수소 플라즈마 또는 이들의 조합들을 더 포함할 수 있다. 일부 실시예들에서, 질소 전구체는 N2로부터 생성된 플라즈마를 포함할 수 있다. 일부 실시예들에서, 질소 전구체는 N2 및 H2로부터 생성된 플라즈마를 포함할 수 있다. 일부 실시예들에서, 질소 전구체는 N2 및 비활성 가스, 예를 들어 아르곤으로부터 생성된 플라즈마를 포함할 수 있다. 일부 실시예들에서, 질소 전구체는 N2, H2, 및 비활성 가스, 예를 들어 아르곤으로부터 생성된 플라즈마를 포함할 수 있다. 일부 실시예들에서, 실리콘 전구체 및 질소 전구체는 ALD 타입의 반응 내에서 반응 챔버 내로 별도로 제공될 수 있거나, CVD 반응 내에서 함께 또는 중첩되는 펄스들 내에서 반응 챔버 내로 제공될 수 있다.
[53] 일부 실시예들에서, 패시베이션층, 예를 들어 SiN과 같은 실리콘 및 질소를 포함하는 패시베이션층을 형성하기 위한 퇴적 공정은 하나 또는 그 이상의 퇴적 사이클들을 포함할 수 있고, 일 퇴적 사이클은 반응 챔버 표면을 제1 기상 전구체, 제2 기상 전구체, 및 제3 기상 전구체에 교대로 및 순차적으로 노출하거나 접촉시키는 단계를 포함한다. 일부 실시예들에서, 제1 기상 전구체는 실레인을 포함할 수 있고; 제2 기상 전구체는 금속 할라이드를 포함할 수 있고; 제3 기상 전구체는 아미노실레인(aminosilane)을 포함할 수 있다. 일부 실시예들에서, 제1 기상 전구체는 디실레인을 포함할 수 있고; 제2 기상 전구체는 WF6을 포함할 수 있고; 제3 기상 전구체는 트리메틸(디메틸아미노)실레인(trimethyl(dimethylamino)silane)을 포함할 수 있다.
[54] 용어들 제1, 제2 및 제3 전구체들은 여기서 오직 참조를 위하여 사용되었으며, 당업자들은 퇴적 사이클이 제1, 제2 또는 제3 기상 전구체 중 임의의 것에 반응 챔버를 노출시키는 것으로 시작할 수 있다는 것을 이해할 것이다. 일부 실시예들에서, 제1 기상 전구체는 제2 또는 제3 기상 전구체들 이전에 기판과 접촉할 수 있다. 일부 실시예들에서, 제2 기상 전구체는 제1 기상 전구체 이후에, 및 제3 기상 전구체 이전에 기판과 접촉할 수 있다. 일부 실시예들에서, 제3 기상 전구체는 제1 및 제2 기상 전구체들 모두 이후에 기판과 접촉할 수 있다. 일부 실시예들에서, 제1, 제2 및 제3 기상 전구체들의 순서는 달라질 수 있다. 일부 실시예들에서, 제1, 제2, 제3 등의 전구체로 지칭되는 것과 무관하게, 2, 3, 또는 그 이상의 전구체들이 함께 제공될 수 있거나, 또는 적어도 부분적으로 중첩되는 펄스들 내에서 제공될 수 있다. 게다가, 반응 챔버 표면들은 당업자들에 의해 결정되는 바와 같이 임의의 순서로 기상 전구체들과 교대로 및 순차적으로 접촉될 수 있다. 예를 들어, 챔버 표면들은 주어진 퇴적 사이클 내에서 제2 기상 전구체와 표면을 접촉하기 전에, 제3 기상 전구체와 접촉될 수 있다.
[55] 일부 실시예들에서, 제1, 제2, 및 제3 기상 전구체들을 사용하는 패시베이션층 퇴적 공정은 하나 또는 그 이상의 퇴적 사이클들, 3회 또는 그 이상의 퇴적 사이클들, 5회 또는 그 이상의 퇴적 사이클들, 또는 10회 또는 그 이상의 퇴적 사이클들, 25회 또는 그 이상의 퇴적 사이클들, 및 일부 예시들에서는 50 이하의 퇴적 사이클들을 포함할 수 있다.
[56] 일부 실시예들에서, 제1, 제2, 및 제3 기상 전구체를 사용하는 패시베이션층 퇴적 공정에 의해 퇴적되는 패시베이션층은 매 선택적 퇴적 공정(14) 이후에, 또는 선택적 퇴적 공정(14)이 가해졌던 매 기판, 예를 들어 웨이퍼 이후에 퇴적된다. 즉, 선택적 퇴적 공정 이후에, 기판은 반응 챔버로부터 제거될 수 있고 추가적인 패시베이션층이 패시베이션층 퇴적 공정에 의해 퇴적될 수 있다. 일부 실시예들에서, 추가적인 패시베이션층은 선택적 퇴적 공정이 가해졌던 매 기판 이후에 패시베이션층 퇴적 공정에 의해 퇴적된다.
[57] 일부 실시예들에서, 제1, 제2, 및 제3 기상 전구체를 사용하는 패시베이션층 퇴적 공정에 의해 퇴적된 패시베이션층은 선택적 퇴적 공정(14)이 가해졌던 매 2개의 기판들, 매 4개의 기판들 이상, 매 9개의 기판들 이상, 또는 매 19개의 기판들 이상 이후에 퇴적된다.
[58] 일부 실시예들에서, 패시베이션층을 형성하기 위한 퇴적 공정은 여기 설명된 선택적 퇴적 공정과 유사하거나 동일한 반응 챔버 압력 및 온도에서 수행될 수 있다. 일부 실시예들에서, 패시베이션층 퇴적 공정 내에서 사용되는 기상 전구체들의 유속들은 여기 설명된 선택적 퇴적 공정 내에서 사용되는 전구체 유속들과 유사하거나 동일할 수 있다.
[59] 일부 실시예들에서, 패시베이션층은 약 400℃보다 낮은 온도에서 퇴적될 수 있다. 일부 실시예들에서, 패시베이션층은 약 250℃보다 낮은 온도에서 퇴적될 수 있다. 일부 실시예들에서, 패시베이션층은 약 150℃보다 낮은 온도에서 퇴적될 수 있다. 일부 실시예들에서, 패시베이션층은 약 100℃보다 낮은 온도에서 퇴적될 수 있다.
[60] 일부 실시예들에서, 패시베이션층은 약 20℃ 내지 약 250℃, 약 30℃ 내지 약 200℃, 또는 약 40℃ 내지 약 150℃의 온도에서 퇴적될 수 있다. 일부 실시예들에서, 패시베이션층은 후속적인 선택적 퇴적 공정이 수행될 수 있는 온도와 대략 동일한 온도에서 퇴적될 수 있다.
[61] 일부 실시예들에서, 패시베이션층이 퇴적되는 챔버 표면들은 패시베이션층을 퇴적하는 단계 이전에 임의적으로 세정될 수 있다. 일부 실시예들에서, 챔버 표면들은 플라즈마에 챔버 표면들을 노출하는 단계에 의해 세정될 수 있다. 예를 들어, 일부 실시예들에서, 반응 챔버는 NF3-계 래디칼들과 같은, 플루오르를 포함하는 래디칼들에 반응 챔버를 노출하는 단계를 포함하는 공정에 의해 세정될 수 있다.
[62] 일부 실시예들에서, 금속 산화물 패시베이션층은 기상 퇴적 공정, 예를 들어 ALD, CVD, PEALD, 또는 PECVD 공정에 의해 형성될 수 있다. 일부 실시예들에서, 패시베이션층을 형성하기 위한 퇴적 공정은 1 내지 10000 퇴적 사이클들, 5 내지 5000 퇴적 사이클들, 10 내지 2500 퇴적 사이클들 또는 10 내지 50 퇴적 사이클들을 포함할 수 있다.
[63] 일부 실시예들에서, 패시베이션층은 금속 산화물을 포함할 수 있다. 일부 실시예들에서, 패시베이션층은 전이 금속 산화물을 포함할 수 있다. 일부 실시예들에서, 패시베이션층은 예를 들어 탄탈륨 산화물(Ta2O5), 티타늄 산화물(TiO2), 니오븀 산화물(Nb2O5), 지르코늄 산화물(ZrO2), 하프늄 산화물(HfO2), 텅스텐 산화물(WOx), 몰리브덴 산화물(MoOx), 또는 바나듐 산화물(VOx)을 포함할 수 있다. 일부 실시예들에서, 전이금속 산화물을 포함하는 패시베이션층은 하나 또는 그 이상의 퇴적 사이클들을 포함하는 퇴적 공정에 의해 형성될 수 있고, 퇴적 사이클은 반응 챔버 표면들을 제1 기상 전구체 및 제2 기상 전구체에 교대로, 및 순차적으로 접촉시키는 단계를 포함한다. 일부 실시예들에서, 퇴적 공정은 ALD, CVD, PEALD, 또는 PECVD 공정일 수 있다. 일부 실시예들에서, 제1 기상 전구체는 전이금속을 포함할 수 있다. 일부 실시예들에서, 제1 기상 전구체는 금속 할라이드 또는 유기금속 화합물을 포함할 수 있다. 일부 실시예들에서, 제2 기상 전구체는 산소를 포함할 수 있다. 일부 실시예들에서, 제2 기상 전구체는 산소 반응물 또는 산소 소스일 수 있다. 일부 실시예들에서, 제2 기상 전구체는 O3, H2O, H2O2 , 산소 원자들, 산소 플라즈마, 산소 래디칼들, 또는 이들의 조합들을 포함할 수 있다.
[64] 일부 실시예들에서, Al2O3를 포함하는 패시베이션층은 하나 또는 그 이상의 퇴적 사이클들을 포함하는 퇴적 공정에 의해 형성되고, 퇴적 사이클은 반응 챔버 표면들을 알루미늄을 포함하는 제1 기상 전구체와, 산소를 포함하는 제2 기상 전구체에 교대로 및 순차적으로 노출하거나 접촉시키는 단계를 포함한다. 일부 실시예들에서, 알루미늄을 포함하는 제1 기상 전구체는 알루미늄을 포함하는 유기금속 화합물, 예를 들어 트리메틸알루미늄(trimethylaluminum, TMA)을 포함할 수 있다. 일부 실시예들에서, 산소를 포함하는 제2 기상 전구체는 O3, H2O, H2O2, 산소 원자들, 산소 플라즈마, 산소 래디칼들, 또는 이들의 조합들을 포함할 수 있다. 추가적으로, 일부 실시예들에서, 제1 및 제2 기상 전구체들은 당업자에 의해 즉각적으로 결정될 수 있는 바와 같이, 임의의 순서로 제공될 수 있다. 일부 실시예들에서, 제1 및 제2 기상 전구체들은 CVD 공정 내에서와 같이 함께, 또는 적어도 부분적으로 중첩되는 펄스들 내에서 제공될 수 있다.
[65] 일부 실시예들에서, 금속성 물질은 기상 퇴적 공정, 예를 들어 화학 기상 퇴적(CVD), 또는 원자층 퇴적(ALD) 공정에 의해 챔버 표면들 상에 퇴적되거나 형성될 수 있다. 일부 실시예들에서, 금속성 물질은 안티몬, 예를 들어 원소 안티몬을 포함할 수 있다. 일부 실시예들에서, 패시베이션층은 플라즈마 강화 ALD(PEALD) 공정에 의해 형성될 수 있다. 일부 실시예들에서, 패시베이션층을 형성하기 위한 퇴적 공정은 1 내지 10000 퇴적 사이클들, 5 내지 5000 퇴적 사이클들, 10 내지 2500 퇴적 사이클들, 또는 10 내지 50 퇴적 사이클들을 포함할 수 있다.
[66] 일부 실시예들에서, 금속성 물질은 이후 금속 산화물 패시베이션층을 형성하도록 산화될 수 있다. 일부 실시예들에서, 금속성 물질은 금속성 물질을 산소 반응물에 노출시킴에 의해 산화될 수 있다. 일부 실시예들에서, 산소 반응물은 산소, 산소 원자들, 산소 래디칼들, 산소 플라즈마 또는 이들의 조합들을 포함할 수 있다. 예를 들어, 일부 실시예들에서, 산소 반응물은 O3, H2O, H2O2 , 산소 원자들, 산소 플라즈마, 산소 래디칼들, 또는 이들의 조합들을 포함할 수 있다. 일부 실시예들에서, 금속성 물질에 금속성 물질을 산화제, 또는 산소 반응물에 노출시키는 적어도 하나의 단계를 포함하는 산화 공정이 가해질 수 있다. 일부 실시예들에서, 산화 공정은 금속성 물질을 둘 또는 그 이상의 단계들 내에서 둘 또는 그 이상의 산화제들 또는 산소 반응물에 노출시키는 단계를 포함할 수 있다. 일부 실시예들에서, 둘 또는 그 이상의 산화제들 또는 산소 반응물들은 다른 산화제들 또는 산소 반응물들일 수 있다. 일부 실시예들에서, 둘 또는 그 이상의 노출 단계들은 퍼지 또는 산화제 제거 단계에 의해 분리될 수 있다. 일부 실시예들에서, 금속성 물질을 하나 이상의 산화제 또는 산소 반응물에 노출시키는 단계는 바람직하게는 하나의 산화제 또는 산소 반응물에 대한 노출보다 금속성 물질의 더 많은 양의 산화를 유발할 수 있다.
[67] 일부 실시예들에서, 패시베이션층은 이전의 퇴적 공정 동안에 챔버 표면들 상에 퇴적되었던 금속 물질을 산화시킴에 의해 챔버 표면들 상에 형성될 수 있다. 반응 챔버 내에서 선택적 퇴적 공정(14)이 이전에 수행되었던 일부 실시예들에서, 반응 챔버 패시베이션 단계(11)는 금속 산화물 패시베이션층을 형성하기 위하여 선택적 퇴적 단계(14) 동안에 챔버 표면들 상에 퇴적된 임의의 금속성 물질을 산화시키는 단계를 포함할 수 있다. 일부 실시예들에서, 금속성 물질은 산소 전구체에 금속성 물질을 노출함에 의해 산화될 수 있다. 일부 실시예들에서, 산소 전구체는 산소, 산소 원자들, 산소 래디칼들, 산소 플라즈마, 또는 이들의 조합들을 포함할 수 있다.
[68] 예를 들어, 이전의 W 선택적 퇴적 공정 동안에 챔버 표면들 상에 퇴적된 W은 챔버 패시베이션층을 형성하도록 산화될 수 있다. 일부 실시예들에서, 금속성 물질은 반응 챔버 내에서 기판 또는 웨이퍼 상에 물질을 퇴적하는 데 사용되지 않는 퇴적 공정에 의해 챔버 표면들 상에 퇴적된다.
실리콘 함유 표면 처리
[69] 도 1에 도시된 바와 같이, 일부 실시예들에서 퇴적이 방지되어야 하는 실리콘 함유 물질이 단계(12)에서 처리될 수 있다. 예를 들어, 일부 실시예들에서 실리콘 함유 물질은 표면 세정 이후에, 및 퇴적 이전에 처리될 수 있다. 일부 실시예들에서, 실리콘 함유 표면은 실리콘 함유 표면 상에 퇴적되는 물질의 양을 감소시킴에 의해, 예를 들어 실리콘 함유 표면을 패시베이션함에 의해 퇴적 공정의 선택비를 향상시키도록 처리될 수 있다. 일부 실시예들에서, 처리는 실리콘 함유층을 복구하도록 의도되며, 실리콘 함유층 상의 퇴적을 블록하도록 의도되지는 않는다.
[70] 일부 실시예들에서, 실리콘 함유 표면은 저유전체 표면이고, 이는 대기로부터 흡수된 수분을 제거하도록 아웃개스되었던 것이다.
[71] 일부 실시예들에서, 실리콘 함유 물질의 처리는 유전체 복구 단계이다. 다른 종류의 실리콘 함유 물질 복구 단계들이 선택적 퇴적 이전에, 및 표면이 세정된 이후에(만약 수행된다면) 수행될 수 있다.
[72] 일부 실시예들에서, 실리콘 함유 표면이 실리콘 함유 표면을 디실레인과 같은 하나 또는 그 이상의 실레인들로 접촉시키는 단계에 의해 처리된다. 일부 실시예들에서, 실리콘 함유 표면은 트리메틸클로로실레인((CH3)3SiCl, TMCS) 또는 다른 타입의 R3- xSiXx의 화학식을 갖는 알킬할로실레인들(alkylhalosilanes)로 처리되며, 여기서 x는 1 내지 3이고, R 각각은 메틸, 에틸, 프로필, 또는 부틸과 같은, 바람직하게는 메틸인 C1 - C5 탄화수소로부터 독립적으로 선택될 수 있고, X는 할라이드, 바람직하게는 클로라이드이다. 미국 특허 번호 제6,391,785호는 다양한 표면 개질들 및 처리들을 개시하며, 그 전문이 여기에 병합된다. 일부 실시예들에서, 미국 특허 번호 제6,391,785호에 개시된 임의의 표면 개질들 또는 처리들은 여기 개시된 방법들 내에서 사용될 수 있다.
[73] 일부 실시예들에서, 실리콘 함유 표면은 예를 들어 트리메틸(디메틸아미노)실레인과 접촉된다. 일부 실시예들에서, 실리콘 함유 표면은 화학식 (RI)3Si(NRIIRIII)을 갖는 알킬아미노실레인과 접촉되며, 여기서 RI 은 선형 또는 가지형 C1 - C5 알킬기 또는 선형 또는 가지형 C1-C4 알킬기이고, RII 은 선형 또는 가지형 C1 - C5 알킬기, 선형 또는 가지형 C1-C4 알킬기, 또는 수소이고, RIII 은 선형 또는 가지형 C1 - C5 알킬기 또는 선형 또는 가지형 C1 - C4 알킬기이다.
[74] 일부 실시예들에서, 실리콘 함유 표면은 화학식 (RI)3SiA 을 갖는 실레인과 접촉되며, 여기서 RI 은 선형 또는 가지형 C1 - C5 알킬기 또는 선형 또는 가지형 C1 - C4 알킬기이고, A는 실리콘 함유 표면과 반응성인 임의의 리간드이다. 즉, 실레인은 리간드 A를 통하여 표면에 결합하거나, 리간드 A는 표면에 결합을 형성하나, 이후 리간드 A는 표면 및/또는 실레인으로부터 멀리 이동할 수 있다.
[75] 일부 실시예들에서, 복구 화학물질은 실레인 패밀리로부터 선택되고, 화학식 SinH2n +2 (n은 1보다 크거나 같다)을 갖거나, 사이클 실레인 패밀리로부터 선택되고 화학식 SinH2n (n은 3보다 크거나 같다)을 갖는다. 일부 실시예들에서, 복구 화학물질은 실레인, 디실레인 또는 트리실레인을 포함하는 실리콘 소스이다. 일부 실시예들에서, 실리콘 소스는 화학식 SiHxLy를 갖는 실레인 화합물들로부터 선택될 수 있고, 여기서 L은 알킬, 알케닐, 알키닐, 알콕사이드, 및 아민을 포함하는 그룹들로부터 선택되는 리간드이다. 이러한 경우들에서 L은 할라이드 그룹, F, Cl, Br, 및 I로부터 선택되는 리간드이다.
[76] 일부 실시예들에서, 실리콘 함유 표면 복구 단계는 약 실온 내지 약 150℃, 또는 약 40℃ 내지 약 130℃의 온도에서 Si2H6 또는 TMCS와 같은 하나 또는 그 이상의 복구 화학물질들에 기판을 노출시킴에 의해 선택적 퇴적 이전에 수행된다. 일부 실시예들에서, 실리콘 함유 표면 복구 단계는 약 400℃까지의 온도, 약 25℃ 내지 약 300℃, 또는 약 30℃ 내지 약 250℃의 온도에서 수행될 수 있다. 일부 실시예들에서, Si2H6와 같은 복구 화학물질은 약 5 내지 100 sccm 또는 약 30 내지 60 sccm의 유속에서 반응 챔버로 제공된다. 일부 실시예들에서, 복구 화학물질은 약 1 내지 20초, 또는 약 1 내지 10초 동안 반응 챔버로 제공된다. 일부 실시예들에서, TMCS와 같은 복구 화학물질은 펄스들 내에서 제공된다. 약 1 내지 20 또는 약 1 내지 10 펄스들이 제공될 수 있고, 예를 들어, 각각 약 1 내지 10초의 펄스 및 퍼지 시간을 가질 수 있다. 일부 실시예들에서, 실리콘 함유 표면 복구 단계는 퇴적이 수행될 수 있는 반응 챔버로부터 분리된 제2의 반응 챔버 내에서 일어날 수 있다.
[77] 이러한 단계가 표면 복구 단계로 불리는 한편, 사용되는 화학물질들은 복구 화학물질들로 불리고, 이러한 설계들은 단순화를 위하여 여기서 사용되고 특정한 복구 기능이 함축되지 않는다. 따라서, 일부 실시예들에서, 처리들 및/또는 화학물질들이 실리콘 함유 표면을 완전히 또는 부분적으로 복구하지 않을 수 있다.
[78] 만약 실리콘 함유 표면이 손상된다면, 표면 복구 단계를 수행함에 의해 선택적 퇴적 단계들 이후에 이는 또한 복구될 수 있다.
[79] 일부 실리콘 함유 물질들은 다공성 구조들을 가질 수 있다. 확산, 식각 및 다른 원치 않는 공정들을 방지하기 위하여, 퇴적 공정을 개시하기 이전에 포어들은 밀봉되거나 보호 그룹들로 터미네이션될 수 있다. 따라서, 일부 실시예들에서 다공성 실리콘 함유 물질은 선택적 퇴적을 개시하기 이전에 포어들을 밀봉하거나 보호 그룹들로 터미네이션하도록 처리될 수 있다. 일부 실시예들에서, 다공성 실리콘 함유 물질은 금속 반응물을 제공하는 단계 이전에 처리된다.
[80] 일부 실시예들에서, 포어들은 실리콘 함유 표면 상에 Si(RI)3 그룹들을 형성함에 의해 밀봉될 수 있고, 여기서, RI은 선형 또는 가지형 C1 - C5 알킬기 또는 선형 또는 가지형 C1 - C4 알킬기일 수 있다. 일부 실시예들에서, 포어들은 실릴화(silylation)을 통해, 즉 실리콘 함유 표면, 예를 들어 저유전체 또는 SiO2 표면 상에 -Si(CH3)3 그룹들을 형성함에 의해 밀봉된다. 금속 플루오라이드 또는 다른 반응물들을 도입하기 전에 실릴화에 의해 식각이 부분적으로 방지될 수 있다. 실릴화는 실리콘 함유 물질 내로의 반응물 투과를 방지하도록 포어들을 블록하는 데 또한 사용될 수 있다. 일부 실시예들에서, 실릴화는 실리콘 함유 물질의 Si-OH 터미네이션된 표면과 실리콘 화합물, 예를 들어 Cl-Si(CH3)3의 반응을 통해 달성된다, Si-OH + Cl-Si(CH3)3 -> Si-O-Si(CH3)3 + HCl. 따라서, 일부 실시예들에서, 실리콘 화합물을 제공하는 단계 이전에 적합한 표면 터미네이션이 형성된다. 또한 더욱 긴 카본 함유 리간드들을 갖는 실리콘 화합물들의 사용이 가능하다.
[81] 포어들의 밀봉을 위한 방법들은 예를 들어 미국 특허 번호 제6,759,325호에 개시된다. 미국 특허 번호 제6,759,325호 내의 밀봉 방법들의 개시는 여기에 그 전문이 참조문헌으로서 병합된다.
[82] 일부 실시예들에서, 포어들을 블록하고, 실리콘 함유 표면을 금속 플루오라이드들에 더욱 저항성이 있도록 만들기 위하여 유기층은 퇴적 이전에 실리콘 함유 물질 상에 ALD에 의하여 형성될 수 있다.
[83] 선택비가 불완전하거나 더 높은 선택비가 요구되는 일부 실시예들에서, 금속성 표면으로부터 물질을 완전히 제거하지 않고 절연체 표면으로부터 물질을 제거하도록, 선택적 퇴적 이후에 예를 들어 등방성 선택적 금속 식각을 사용하여 표면이 처리될 수 있다. 예를 들어, HCl 증기 또는 습식 식각이 사용될 수 있다.
제1 금속성 표면 처리
[84] 도 1에 도시된 바와 같이, 일부 실시예들에 따르면, 기판 표면은 단계(13)에서 임의적으로 세정될 수 있다. 예를 들어, 제1 물질이 구리인 실시예들을 위하여, 순수 원소 구리가 기판 표면 상에 위치하도록 구리 표면은 세정되거나 환원될 수 있다. 일부 실시예들에서, 제1 표면 처리 공정은 제1 금속성 표면 상에 존재하는 임의의 유기 물질을 제거할 수 있다. 예를 들어, 제1 표면 처리 공정은 제1 금속성 표면 상에 존재하는 패시베이션층을 제거할 수 있다. 예를 들어, 제1 표면 처리 공정은 구리 표면으로부터 벤조트리아졸(benzotraizole, BTA) 패시베이션층을 제거할 수 있다. 일부 실시예들에서, 제1 표면 처리 공정은 기판의 제1 금속성 표면을 환원시킬 수 있다. 일부 실시예들에서, 제1 표면 처리 공정은 제1 금속성 표면 상에 존재할 수 있는 임의의 자연 산화물을 제거할 수 있다. 일부 실시예들에서, 제1 표면 처리 공정은 제1 금속성 표면 상에 존재할 수 있는 임의의 탄화수소층을 제거할 수 있다. 일부 실시예들에서, 제1 표면 처리 공정은 제1 금속성 표면 상의 액티브 사이트들을 제공할 수 있다. 제1 표면 처리 공정은, 예를 들어 시트르산(citric acid)과 같은 화학물질을 사용하여 또는 플라즈마를 사용하여, 다양한 방법들 중 임의의 것으로 수행될 수 있다. 예를 들어, 기판 표면은 H-플라즈마 또는 NH3-플라즈마와 같은 수소 함유 플라즈마 또는 래디칼들을 사용하여 세정될 수 있다. 일부 실시예들에서, HCl 처리가 제1 표면 처리 방법으로서 사용된다. 일부 실시예들에서, 제1 표면 처리 공정은 기판을 처리 반응물, 예를 들어 포름산에 노출하는 단계를 포함한다. 다른 제1 표면 처리 방법들 또한 가능하다. 임의의 특정한 경우에 사용되는 특정한 제1 표면 처리 방법은 예를 들어 기판 표면 상의 물질들의 종류들을 포함하여, 물질들, 퇴적 조건들과 같은 다양한 요인들에 기초하여 선택될 수 있다.
[85] 일부 경우들에서, 선택적 퇴적이 요구되는 구리와 같은 제1 물질이 패시베이션된다. 패시베이션은 패시베이션층을 형성하도록 기판의 의도된 처리의 결과일 수 있고, 기판의 이동 동안의 산소에 대한 노출과 같은 공정 조건들로부터의 결과일 수 있다.
[86] 기판 표면(들)은 예를 들어 하나의 반응 공간으로부터 다른 반응 공간으로의 이동 이전에 패시베이션될 수 있다. 일부 실시예들에서, 제1 물질의 표면은 다양한 알려진 패시베이션 화학물질들 중 임의의 것을 사용하여 공기 내에서의 산화에 대항하여 패시베이션될 수 있다. Cu 상의 선택적 퇴적이 요구되는 일부 실시예들에서, Cu 표면은 예를 들어 BTA에 의해 패시베이션될 수 있다. 이러한 패시베이션은 여기 설명된 제1 표면 처리 방법들에 의해 제거될 수 있다.
[87] 일부 실시예들에서, 제1 표면 처리 공정은 기판을 처리 반응물에 노출하는 단계를 포함한다. 일부 실시예들에서, 처리 반응물은 기상 유기 반응물이다. 일부 실시예들에서, 처리 반응물은 적어도 하나의 알코올기를 함유하고, 바람직하게는 일차 알코올들, 이차 알코올들, 삼차 알코올들, 폴리히드록시 알코올들(polyhydroxy alcohols), 사이클릭 알코올들, 방향족 알코올들, 또는 알코올들의 다른 유도체들로 구성되는 군으로부터 선택될 수 있다.
[88] 다른 카본 원자에 결합된 카본 원자에 부착된 -OH 기를 갖는 바람직한 일차 알코올들, 특히 화학식 (I)에 따른 일차 알코올들은,
[89] RI-OH (I)
[90] 여기서, RI은 선형 또는 가지형 C1 - C20 알킬 또는 알케닐기들, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸, 또는 헥실이다. 바람직한 일차 알코올들의 예시들은 메탈올, 에탄올, 프로판올, 부탄올, 2-메틸 프로판올, 및 2-메틸 부탄올을 포함한다.
[91] 바람직한 이차 알코올들은 2개의 다른 카본 원자들에 결합된 카본 원자에 부착된 -OH기를 갖는다. 특히, 바람직한 이차 알코올들은 화학식 (II)을 갖는다.
[92]
Figure 112017054099795-pat00001
(II)
[94] 여기서 각각의 RI은 선형 또는 가지형 C1 - C20 알킬 또는 알케닐기들로부터 독립적으로 선택되고, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸, 또는 헥실이다. 바람직한 이차 알코올들의 예시들은 2-프로판올 또는 2-부탄올을 포함한다.
[95] 바람직한 삼차 알코올들은 3개의 다른 카본 원자들에 결합된 카본 원자에 부착된 -OH기를 갖는다. 특히, 바람직한 삼차 알코올들은 화학식 (III)을 갖는다.
[96]
Figure 112017054099795-pat00002
(III)
[99] 여기서 각각의 RI은 선형 또는 가지형 C1 - C20 알킬 또는 알케닐기들로부터 독립적으로 선택되고, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸, 또는 헥실이다. 바람직한 삼차 알코올들의 예시들은 터트-부탄올(tert-butanol)을 포함한다
[100] 디올들 및 트리올들과 같은 바람직한 폴리히드록시 알코올들은 위에서 설명된 것과 같은 일차, 이차, 및/또는 삼차 알코올 그룹들을 갖는다. 바람직한 폴리히드록시 알코올의 예시들은 에틸렌 글리콜 및 글리세롤이다.
[101] 바람직한 사이클릭 알코올들은 1 내지 10개, 더욱 바람직하게는 5-6개의 카본 원자들의 고리의 일부분인 적어도 하나의 카본 원자에 부착된 -OH기를 갖는다.
[102] 바람직한 방향족 알코올들은 벤젠 고리에 또는 사이드 체인 내의 카본 원자에 부착된 적어도 하나의 -OH기를 갖는다.
[103] 적어도 하나의 알데하이드 그룹(-CHO)을 함유하는 바람직한 처리 반응물들은 화학식(V)을 갖는 화합물들, 화학식(VI)을 갖는 알케인디알(alkanedial) 및 알데하이드들의 다른 유도체들로 구성되는 군으로부터 선택된다.
[104] 따라서, 일 실시예에 있어서, 바람직한 처리 반응물들은 화학식 (V)을 갖는 알데하이드들이다.
[105] R3-CHO (V)
[106] 여기서, R3은 수소 및 선형 또는 가지형 C1 - C20 알킬 및 알케닐기들로 구성되는 군으로부터 선택되며, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸, 또는 헥실이다. 더욱 바람직하게는, R3은 메틸 또는 에틸로 구성되는 군으로부터 선택된다. 화학식 (V)에 따른 바람직한 화합물들의 예시들은 포름알데하이드(formaldehyde), 아세트알데하이드(acetaldehyde) 및 부틸알데하이드(butyraldehyde)이다.
[107] 다른 실시예들에서, 바람직한 처리 반응물들은 화학식 (VI)을 갖는 알데하이드들이다.
[108] OHC-R4-CHO (VI)
[109] 여기서, R4는 선형 또는 가지형 C1 - C20의 포화 또는 불포화 탄화수소이다. 이와는 달리, 알데하이드기들은 서로에 직접 결합될 수 있다(R4가 없을 수 있다).
[110] 적어도 하나의 -COOH 기를 함유하는 바람직한 처리 반응물들은 바람직하게는 화학식 (VII)의 화합물들, 폴리카르복실산들, 및 카르복실산들의 다른 유도체들로 구성되는 군으로부터 선택된다.
[111] 따라서, 일 실시예들에서 바람직한 처리 반응물들은 화학식(VII)을 갖는 카르복실산들이다.
[112] R5-COOH (VII)
[113] 여기서, R5는 수소 및 선형 또는 가지형 C1 - C20 알킬 및 알케닐기이며, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸, 또는 헥실이고, 더욱 바람직하게는 메틸 또는 에틸이다. 일부 실시예들에서, R5는 선형 또는 가지형 C1 - C3 알킬 또는 알케닐기이다. 화학식(VII)에 따른 바람직한 화합물들의 예시들은 포름산, 프로판산 및 아세트산이고, 가장 바람직하게는 포름산(HCOOH)이다.
[114] 일부 실시예들에서, 제1 표면 처리 공정은 "Removal of surface passivation"이라는 명칭의, 미국 특허 출원 번호 제14/628,799호에 설명된 것과 같은 공정이며, 그 전문이 여기에 참조문헌으로 병합된다.
[115] 일부 실시예들에서, 기판의 제1 금속성 표면에, 기판을 플라즈마에 노출하는 단계를 포함하는 제1 표면 처리 공정이 가해진다. 이러한 제1 표면 처리 공정은 예를 들어 Cu 표면과 같은 제1 금속성 표면 상에 존재하는 패시베이션층을 제거할 수 있다.
[116] 일부 실시예들에서, 제1 표면 처리 공정은 기판을 Ar만으로 구성되는 플라즈마에 노출하는 단계를 포함한다. 일부 실시예들에서, Ar 및 H-함유 플라즈마가 제1 표면 처리 공정 내에 사용된다. 일부 실시예들에서, Ar 및 H, 및 N-함유 플라즈마가 제1 표면 처리 공정 내에 사용된다. Ar 대신에, He, Ne, Kr 또는 Xe와 같은 다른 비활성 기체들이 실질적으로 동일한 조건들 내에서 사용될 수 있다는 점에 주의할 수 있다. 일부 실시예들에서, 플라즈마의 하나 이상의 종류가 사용될 수 있다. 예를 들어, 하나 또는 그 이상의 Ar-함유 플라즈마, Ar 및 H-함유 플라즈마 및 Ar, H 및 N-함유 플라즈마가 제공될 수 있다. 일부 실시예들에서, 세가지 타입의 플라즈마 모두 연속적으로 제공된다.
[117] 일부 실시예들에서, H2로부터 생성되는 플라즈마가 제1 표면 처리 공정에서 사용될 수 있다. 일부 실시예들에서, 에탄올로부터 생성되는 플라즈마가 제1 표면 처리 공정에서 사용될 수 있다. 일부 실시예들에서, H2 및 에탄올 모두를 함유하는 소스로부터 생성되는 플라즈마가 제1 표면 처리 공정에서 사용될 수 있다. 예를 들어 제1 금속성 표면이 Cu 표면인 일부 실시예들에서, H2, 에탄올, 또는 H2 및 에탄올로부터 생성되는 플라즈마가 제1 표면 처리 공정에서 바람직하게 사용된다.
[118] 일부 실시예들에서, NH3로부터 생성되는 플라즈마가 제1 표면 처리 공정에서 사용될 수 있다. 일부 실시예들에서, NH3 및 H2로부터 생성되는 플라즈마가 제1 표면 처리 공정에서 사용될 수 있다. 일부 실시예들에서, 예를 들어 제1 금속성 표면이 Co 표면인 경우, NH3 및 H2로부터 생성되는 플라즈마가 제1 표면 처리 공정에서 바람직하게 사용된다. 일부 실시예들에서, 플라즈마는 NH3 및 H2를 포함하는 가스로부터 생성될 수 있고, 여기서 NH3 대 H2의 비율은 약 1:100 내지 약 1:1, 바람직하게는 1:5 내지 1:20이다. 일부 실시예들에서, NH3 대 H2의 비율은 약 1:19, 약 1:9, 또는 약 1:5이다.
[119] 일부 실시예들에서, 제1 표면 처리 공정은 기판을 제1 처리 반응물에 노출하는 단계와 뒤따르는 제2 처리 반응물에 대한 노출을 포함할 수 있다. 일부 실시예들에서, 제1 처리 반응물은 O3, 원자 산소, 산소 래디칼들, 또는 산소 플라즈마를 포함할 수 있다. 일부 실시예들에서, 제2 처리 반응물은 원자 수소, 수소 래디칼들, 또는 수소 플라즈마를 포함할 수 있다. 일부 실시예들에서, 제1 처리 반응물은 제2 처리 반응물을 도입하는 단계 이전에 반응 챔버로부터 제거될 수 있다. 일부 실시예들에서, 제1 처리 반응물에 대한 노출은 제1 반응 챔버 내에서 일어날 수 있고, 기판의 제2 처리 반응물에 대한 노출은 제2 반응 챔버 내에서 일어날 수 있다.
[120] 일부 실시예들에서, 제2 처리 반응물이 제1 금속성 표면을 환원시킬 수 있는 한편, 제1 처리 반응물은 제1 금속성 표면 상에 존재할 수 있는 임의의 유기 패시베이션층 또는 탄화수소들을 제거할 수 있다. 예를 들어, 제1 금속성 표면이 Co 표면인 일부 실시예들에서, O3에 대한 노출은 Co 표면으로부터 자연적으로 일어나는 탄화수소층을 제거할 수 있는 한편 H 래디칼들에 대한 후속적인 노출이 Co 표면을 환원시킬 수 있다.
[121] Ar-함유 플라즈마를 사용하는 일부 실시예들에서, Ar은 예를 들어 약 1 내지 약 3000 sccm, 더욱 바람직하게는 약 300 내지 약 1500 sccm, 가장 바람직하게는 약 1000 내지 1300 sccm으로 제공될 수 있다. H-함유 플라즈마를 사용하는 일부 실시예들에서, H2은 예를 들어 약 1 내지 약 500 sccm, 더욱 바람직하게는 약 10 내지 약 200 sccm, 가장 바람직하게는 약 30 내지 100 sccm으로 제공될 수 있다. N-함유 플라즈마를 사용하는 일부 실시예들에서, N2 또는 NH3은 예를 들어 약 1 내지 약 500 sccm, 더욱 바람직하게는 약 5 내지 약 200 sccm, 가장 바람직하게는 약 5 내지 30 sccm으로 제공될 수 있다. 유사한 조건들이 다른 타입의 플라즈마, 예를 들어 에탄올 또는 O-함유 플라즈마를 위하여 사용될 수 있다.
[122] 일부 실시예들에서, 플라즈마는 약 1500 와트(W)보다 작은 파워, 예를 들어 약 1 내지 약 1000 W, 약 1 내지 약 500 W, 또는 약 1 내지 약 200 W 또는 이하의 파워에서 생성될 수 있다. 일부 실시예들에서, 플라즈마 또는 처리 반응물이 약 200초 이하 동안, 예를 들어 약 180초 이하, 약 60초 이하, 또는 약 30초 이하 동안 제공된다. 일부 실시예들에서, 기판의 플라즈마 또는 반응물에 대한 노출이 연속적일 수 있거나, 몇몇 펄스들 내로 분리될 수 있다. 필수적인 펄스들의 개수는 당업자에 의해 결정되는 것과 같이 요구되는 총 노출 시간에 도달하는 데 사용되는 펄스들 각각의 길이에 의해 결정된다.
[123] 표면 처리 동안의 온도는, 예를 들어 약 실온 내지 약 400℃, 약 100℃ 내지 약 400℃, 또는 약 100℃ 내지 약 130℃일 수 있다. 일부 실시예들에서, 예를 들어 실리콘 함유 물질 내부의 수분을 기판 표면으로부터 제거하기 위하여, 기판에 아웃개스가 가해질 수 있다. 일부 실시예들에서, 기판에 제1 표면 처리 공정이 가해지기 전에 기판이 아웃개스될 수 있다.
[124] 일부 실시예들에서, 제1 표면 처리를 위한 조건들은 실리콘 함유 표면의 식각이 방지되고 최소화되도록 선택된다.
선택적 퇴적
제1 전구체
[125] 일부 실시예들에서, 제1 전구체는 기판의 제1 금속 표면 상에, 기판의 제2 실리콘 함유 표면에 대하여 층을 선택적으로 퇴적하도록 기판에 제1 전구체가 제공된다. 일부 실시예들에서, 제1 전구체는 바람직하게는 실리콘 또는 보론을 포함한다. 일부 실시예들에서, Si 또는 B의 0.05 내지 4 nm 두께의 층이 기판의 금속 표면 상에 형성된다. 일부 실시예들에서, Si 또는 B의 0.1 내지 2 nm 두께의 층이 기판의 금속 표면 상에 형성된다. 일부 실시예들에서, 1 nm보다 작은 Si 또는 B의 층이 사용될 수 있다. 이론에 얽매이지 않고, 기판 상의 금속 표면은 제2 표면의 반응성에 비교할 때, 제1 전구체의 흡착 또는 분해를 촉매하거나 보조할 수 있다고 믿어진다. 일부 실시예들에서, 반응물에 대한 노출에 의해 일 모노레이어까지 형성되도록 금속 표면 상의 실리콘 또는 보론의 형성은 자기-제한적이다. 일부 실시예들에서, 실리콘 또는 보론 소스 화학물질은 구리 또는 금속 표면 상에서 분해할 수 있다.
[126] 일부 실시예들에서, 실리콘 소스 화학물질은 실레인 패밀리 SinH2n +2 (n은 1보다 크거나 같다) 또는 사이클릭 실레인 패밀리 SinH2n (n은 3보다 크거나 같다)으로부터 선택된다. 일부 실시예들에서, 실리콘 소스는 실레인 또는 디실레인을 포함한다. 가장 바람직하게는 실레인은 디실레인(Si2H6) 또는 트리실레인(Si3H8)이다. 일부 실시예들에서, 실리콘 소스는 화학식 SiHxLy를 갖는 실레인 화합물들로부터 선택될 수 있고, 여기서 L은 알킬, 알케닐, 알키닐, 알콕사이드, 및 아민을 포함하는 군으로부터 선택되는 리간드이다. 일부 경우들에서, L은 F, Cl, Br, 및 I의 할라이드 군으로부터 선택되는 리간드이다.
[127] 일부 실시예들에서, 제1 전구체는 보론을 포함한다. 일부 실시예들에서, 제1 전구체는 디보레인(B2H6)을 포함한다. 디보레인은 실레인계 화합물들 중 일부와 유사한 특성들을 갖는다. 예를 들어, 디보레인은 디실레인보다 더 낮은 분해 온도를 가지나, 트리실레인(silcore)과 유사한 열적 안정성을 갖는다.
[128] 보론을 포함하는 다른 전구체들 또한 사용될 수 있다. 방대한 수의 보론 화합물들의 사용가능성은 요구되는 특성들을 갖는 것을 선택하는 것을 가능하게 한다. 추가적으로, 하나 이상의 보론 화합물을 사용하는 것이 가능하다. 바람직하게는 하나 이상의 다음의 보론 화합물들이 사용된다.
[129] 화학식 I 또는 화학식 II에 따른 보레인들
[130] BnHn +x (I)
[131] 여기서 n은 1 내지 10의 정수, 바람직하게는 2 내지 6의 정수이며, x는 짝수, 바람직하게는 4, 6 또는 8이다.
[132] BnHm (II)
[134] 여기서 n은 1 내지 10의 정수, 바람직하게는 2 내지 6의 정수이며, m은 1 내지 10, 바람직하게는 2 내지 6에서의 n과는 다른 정수이다.
[135] 화학식 I에 따른 전술한 보레인들 중에서, 예시들은 니도-보레인들(nido-boranes, BnHn +4), 아라크노-보레인들(arachno-boranes, BnHn +6) 및 히프-보레인들(hyph-boranes, BnHn + 8)을 포함한다. 화학식 II에 따른 보레인들 중에서, 예시들은 콘정토-보레인들(conjuncto-boranes, BnHm)을 포함한다. 또한, (CH3CH2)3N-BH3와 같은 보레인 복합체들이 사용될 수 있다.
[136] 보레인 할라이드들, 특히 플루오라이드, 브로마이드 및 클로라이드들. 적합한 화합물의 예시는 B2H5Br이다. 추가의 예시들은 B2F4, B2Cl4 및 B2Br4와 같은 높은 보론/할라이드 비율을 갖는 보론 할라이드들을 포함한다. 보레인 할라이드 복합체들을 사용하는 것 또한 가능하다.
[136] 화학식 III에 따른 할로겐화보레인들(halogenoboranes).
[137] BnXn (III)
[138] 여기서 X는 Cl 또는 Br이며, X가 Cl일 때, n은 4 또는 8 내지 12의 정수이고, X가 Br일 때, n는 7 내지 10의 정수이다.
[139] 화학식 IV에 따른 카보레인들(carboranes).
[140] C2BnHn +x (IV)
[141] 여기서 n은 1 내지 10의 정수이고, 바람직하게는 2 내지 6이며, x는 짝수, 바람직하게는 2, 4, 또는 6이다.
[142] 화학식 IV에 따른 카보레인들의 예시들은 클로소-카보레인들(closo-carboranes, C2BnHn +2), 니도-카보레인들(nido-carboranes, C2BnHn +4) 및 아라크노-카보레인들(arachno-carboranes, C2BnHn + 6)을 포함한다.
[143] 화학식 V에 따른 아민-보레인 어덕트들(amine-borane adducts).
[144] R3NBX3 (V)
[145] R은 선형 또는 가지형 C1 내지 C10, 바람직하게는 C1 내지 C4 알킬 또는 H이며, X는 선형 또는 가지형 C1 내지 C10, 바람직하게는 C1 내지 C4 알킬, H 또는 할로겐이다.
[146] 화학식 VI에 따른 B 상의 하나 또는 그 이상의 치환기들(substituents)이 아미노기(amino group)인 아미노 보레인들.
[147] R2N (VI)
[148] R은 선형 또는 가지형 C1 내지 C10, 바람직하게는 C1 내지 C4 알킬 또는 치환되거나 치환되지 않은 아릴기(aryl group)이다.
[149] 적합한 아미노보레인의 예시는 (CH3)2NB(CH3)2이다.
[150] 사이클릭 보라진(cyclic borazine, (-BH-NH-)3) 및/또는 그 휘발성 유도체들.
[151] 알킬 보론들 또는 알킬 보레인들, 여기서 상기 알킬이 일반적으로 선형 또는 가지형 C1 내지 C10 알킬이고, 바람직하게는 C2 내지 C4 알킬이다.
[152] 일부 실시예들에서, 제1 전구체는 저머늄을 포함한다. 일부 실시예들에서, 저머늄 소스 화학물질은 저메인 패밀리 GenH2n +2 (n은 1보다 크거나 같다) 또는 사이클릭 저메인 패밀리 GenH2n (n은 3보다 크거나 같다)로부터 선택된다. 일부 바람직한 실시예들에서, 저머늄 소스는 저메인 GeH4을 포함한다. 일부 실시예들에서, 저머늄 소스는 화학식 GeHxLy을 갖는 저메인 화합물들로부터 선택될 수 있고, 여기서 L은 알킬, 알케닐, 알키닐, 알콕사이드, 및 아민을 포함하는 군으로부터 선택되는 리간드이다. 일부 경우들에서, L은 F, Cl, Br, 및 I의 할라이드 군으로부터 선택되는 리간드이다.
금속 소스 화학물질들
[153] 바람직하게는, 제2 반응물을 금속을 포함한다. 일부 실시예들에서, 금속은 전이금속이다. 전이금속은 Ti, V, Cr, Mn, Nb, Mo, Ru, Rh, Pd, Ag, Hf, Ta, W, Re, Os, Ir, 및 Pt의 군으로부터 선택될 수 있다. 일부 실시예들에서, 제2 반응물은 W, Ta, Nb, Ti, Mo, 또는 V를 포함한다. 일부 실시예들에서, 제2 반응물은 바람직하게는 텅스텐을 포함한다.
[154] 일부 실시예들에서, 제2 반응물은 귀금속을 포함한다. 귀금속은 Au, Pt, Ir, Pd, Os, Ag, Rh, 또는 Ru의 군으로부터 선택될 수 있다.
[155] 일부 실시예들에서, 제2 반응물은 금속 할라이드(F, Cl, Br, I)를 포함한다. 일부 바람직한 실시예들에서, 제2 반응물은 전이금속 할라이드를 포함한다. 일부 실시예들에서, 제2 반응물은 바람직하게는 플루오르를 포함한다. 일부 실시예들에서, 제2 반응물은 WF6, TaF5, NbF5, TiF4, MoFx, VFx을 포함한다. 일부 실시예들에서, 제2 반응물은 WF6을 포함한다.
[156] 제2 반응물은 기판 상에 다양한 다른 물질들을 형성하도록 사용될 수 있다. 일부 실시예들에서, 제2 반응물은 기판 상에 금속성 물질을 형성하도록 기판 상에서 제1 반응물과 반응한다. 제2 반응물을 위하여 위에 개시된 금속들 중 임의의 것이 기판 상에 퇴적된 막 내에 존재할 수 있다.
[157] 일부 실시예들에서, 원소 금속 막, 예를 들어 W 막이 형성될 수 있다. 일부 실시예들에서, 금속 질화물 막이 형성될 수 있다. 일부 실시예들에서, 금속 실리사이드 막이 형성될 수 있다.
[158] 일부 실시예들에서, 금속성 또는 원소 금속 막이 기판 표면 상에서 Si 또는 B의 반응을 통해 우선 형성되고, 추가 공정을 통해 제2 반응물과 이후에 대응되는 금속 실리사이드 또는 금속 질화물로 변환된다. 예를 들어, 제1 금속성 또는 원소 금속 막은, 이들을 금속 실리사이드 또는 금속 질화물로 변환시키도록 제3 반응물에 노출될 수 있다.
[159] 일부 실시예들에서, 금속성 물질의 추가 공정은 금속성 물질을 도핑하도록, 또는 금속성 물질을 금속 질화물 또는 금속 실리사이드로 변환시키도록 수행될 수 있다. 일부 실시예들에서, 예를 들어 물질은 플라즈마 또는 NH3-처리를 사용하여 대응되는 금속 질화물로 변환될 수 있다. 일부 실시예들에서, 전기 전도성 금속성 물질이 다른 처리들을 사용함에 의해, 및 시작 금속성 물질에 따라, 더욱 전기 저항성인 물질로 또는 유전 물질로 변환될 수 있다.
[160] 일부 실시예들에서, 반응물들 중 하나의 다수의 펄스들이 다음 반응물을 제공하는 단계 이전에 제공될 수 있다. 일부 실시예들에서, 임의의 여분의 반응물들은 다음 반응물의 제공 이전에 제거될 수 있다. 일부 실시예들에서, 공정 챔버는 다음 반응물의 제공 이전에 퍼지될 수 있다.
[161] 일부 실시예들에서, 기상 전구체들은 불활성 캐리어 가스의 도움으로 반응 공간으로 제공될 수 있다. 여분의 반응물들을 제거하는 것은 반응 공간의 성분들의 일부를 배기하는 단계 또는 반응 공간을 헬륨, 질소 또는 임의의 다른 불활성 가스로 퍼지하는 단계를 포함할 수 있다. 일부 실시예들에서, 퍼지하는 단계는 반응 공간으로의 불활성 캐리어 가스의 흐름을 계속하는 한편, 반응성 가스의 흐름을 끄는 단계를 포함할 수 있다.
퇴적 온도
[162] 일부 실시예들에서, 온도는 선택적 퇴적을 용이하게 하도록 선택된다. 퇴적은 일반적으로 제1 표면 상에서 표면적 또는 부피당 퇴적된 물질의 양(예를 들어, at/cm2, 또는 at/cm3)이, 제2 표면 상에서 표면적 또는 부피당 퇴적된 물질의 양보다 클 때 선택적인 것으로 정의된다. 표면들 상에 퇴적된 물질의 양은 각각의 층의 두께들을 측정함에 의해 결정될 수 있다. 일부 경우들에서, 비연속적 막에 기인하여 두께 측정이 가능하지 않을 수 있다. 일부 경우들에서, 선택비는 표면적 또는 부피당 퇴적된 원자들을 측정함에 의해 결정될 수 있다. 위에서 언급된 바와 같이, 선택비는 제1 표면 상에 형성된 물질 대 제1 및 제2 표면들 상에 형성된 물질의 합쳐진 양의 비율로서 표현될 수 있다. 바람직하게는, 선택비는 약 70% 이상, 약 80% 이상, 더욱 바람직하게는 90% 이상, 더더욱 바람직하게는 95% 이상, 가장 바람직하게는 약 100%이다. 일부 경우들에서, 80% 이상의 선택비가 특정한 어플리케이션들을 위하여 적합할 수 있다. 일부 경우들에서, 50% 이상의 선택비가 특정한 어플리케이션들을 위하여 적합할 수 있다.
[163] 일부 실시예들에서, 퇴적 온도는 선택비가 약 90% 이상이도록 선택된다. 일부 실시예들에서, 퇴적 온도는 약 100% 이상의 선택비가 달성되도록 선택된다.
[164] 일부 실시예들에서, 퇴적 온도는 실리콘 또는 보론을 포함하는 제1 전구체가 제1 금속 표면 상에 실리콘 또는 보론을 함유하는 층을 형성하도록 선택된다. 일부 실시예들에서, 제1 전구체는 실리콘을 포함하는 제2 표면 상에 층을 형성하지 않거나, 제2 표면 상에 완전한 층보다 작게 형성한다.
[165] 특정한 온도가 부분적으로는, 기판 상에서 제1 표면 또는 금속 및 제2 표면 또는 유전체에 따라 선택되는 실리콘 또는 보론 전구체에 의존한다. 바람직하게는, 실리콘 또는 보론 소스는 실리콘 또는 보론을 포함하는 층을 형성하도록 실리콘을 포함하는 제2 표면 대신에, 제1 금속 표면 상에 형성된다. 바람직하게는, 실리콘 또는 보론을 포함하는 층은 약 일 모노레이어 또는 더 작다. 일부 경우들에서, 실리콘 또는 보론의 일 모노레이어 이상이 형성될 수 있다. 일부 실시예들에서, 약 0.05 nm 내지 약 4 nm의 두께를 갖는 실리콘 또는 보론의 층이 기판의 금속 표면 상에 형성된다. 일부 실시예들에서, 바람직하게는 약 0.1 nm 내지 약 2 nm의 두께를 갖는 실리콘 또는 보론의 층이 기판의 금속 표면 상에 형성된다. 일부 실시예들에서, 금속 표면 상의 실리콘 또는 보론의 형성은 자기-제한적이다. 일부 실시예들에서, 실리콘 또는 보론을 포함하는 층은 분해에 의해 형성된다.
[166] 일부 경우들에서, 실리콘 또는 보론 층은 더 높은 온도들에서 금속 및 실리콘 함유 표면들 모두 상에 형성될 수 있다. 이러한 상황들에서, 실리콘 또는 보론은 실리콘을 포함하는 표면보다는 더 낮은 온도에서 금속 표면 상에 형성될 수 있기 때문에, 더 낮은 온도의 사용이 바람직하다. 따라서, 온도는 실리콘 전구체가 제2 표면 또는 실리콘 함유 표면에 대하여 우선적으로 제1 표면 또는 금속 표면과 상호작용하도록 선택될 수 있다.
[167] 일부 실시예들에서, 퇴적 온도들은 원하는 레벨의 선택비를 달성하도록 선택된다. 예를 들어, 저유전체 물질에 대한 실리콘 또는 보론 함유 전구체의 흡수가 요구되는 레벨의 선택비를 달성하도록 필수적인 양에 제한되도록 온도가 선택될 수 있다.
[168] 퇴적 온도는 사용되는 실리콘 또는 보론 소스, 및 특정한 기판 표면들(예를 들어, 실리콘 함유 표면 또는 구리 표면)에 기초하여 선택될 수 있다.
[169] 일부 실시예들에서, 퇴적 온도는 바람직하게는 200℃보다 작고, 더욱 바람직하게는 약 175℃보다 작고, 더욱 바람직하게는 약 150℃보다 작고, 가장 바람직하게는 약 110℃보다 작다. 일부 경우들에서, 약 100℃보다 작은 온도들이 사용될 수 있다. 일부 실시예들에서, 디실레인 및 WF6을 사용하여 퇴적된 약 5 nm보다 작은 두께(예를 들어, 퇴적된 W 두께)를 갖는 막들 내에서 50% 이상의 선택비를 갖는 선택적 퇴적을 위한 퇴적 온도 범위는 약 30℃ 내지 약 200℃이다. 일부 실시예들에서, 요구되는 레벨의 균일성 및 선택비는 약 30℃ 내지 약 110℃의 범위의 퇴적 온도를 사용하여 달성될 수 있다. 일부 실시예들에서, 요구되는 레벨의 균일성 및 선택비는 약 40℃ 내지 약 110℃의 범위의 퇴적 온도를 사용하여 달성될 수 있다. 일부 실시예들에서, 요구되는 레벨의 균일성 및 선택비는 약 100℃보다 낮은 범위의 퇴적 온도를 사용하여 달성될 수 있다. 이러한 온도 범위들에서, 당업자는 특정한 반응기와 특정한 전구체들을 사용하여 퇴적된 막들을 위한 요구되거나 수용가능한 균일성 및 선택비를 달성하도록 공정을 최적화할 수 있다.
[170] 일부 실시예들에서, 실리콘 또는 보론-함유 전구체 및 제2 금속 전구체는 동일한 온도에서 동일한 반응 공간 내에 제공된다. 일부 실시예들에서 실리콘 전구체는 제1 퇴적 온도에서 제공되고, 제2 금속 전구체는 제2 퇴적 온도에서 제공된다. 실용적으로, 이는 제1 반응물을 제1 반응 공간 내에 제공하는 것과, 제2 금속 반응물을 제2 반응 공간에 제공하는 것을 의미할 수 있다.
[171] 일부 실시예들에서, 디실레인을 사용하여 구리 또는 코발트 표면 상에 WF6을 사용하여 텅스텐을 퇴적하여, 실리콘을 포함하는 표면에 대하여 약 80% 이상, 바람직하게는 약 90% 이상의 선택비가 약 30℃ 내지 약 110℃의 퇴적 온도에 의해 달성될 수 있다. 트리실레일을 위한 퇴적 온도는 디실레인을 위한 퇴적 온도보다 더 낮을 수 있다. 위에서 언급한 실시예들에서, 퇴적된 막은 예를 들어, 한정 없이 텅스텐 막일 수 있다.
[172] 일부 실시예들에서, 선택적으로 퇴적된 막의 두께는 약 10 nm보다 작고, 약 5 nm보다 작고, 약 4 nm보다 작거나, 일부 실시예들에서 약 1 nm 내지 약 4 nm이다. 그러나, 일부 경우들에서, 요구되는 레벨의 선택비, 예를 들어 50% 이상, 바람직하게는 80% 이상이 약 10 nm 상에 존재하는 선택적으로 퇴적된 막의 두께들에서 얻어진다.
[173] 일부 실시예들에서, 약 10 nm 이하의 두께를 갖는 W 막은 실리콘 함유 물질에 대하여 50%보다 큰 선택비를 가지며 기판 표면 상에 Cu 또는 Co 상에 선택적으로 퇴적된다.
[174] 일부 실시예들에서, 약 5 nm 이하의 두께를 갖는 W 막은 실리콘 함유 물질에 대하여 80%보다 큰 선택비를 가지며 기판 표면 상에 Cu 또는 Co 상에 선택적으로 퇴적된다.
[175] 일부 실시예들에서, 약 3 nm 이하의 두께를 갖는 W 막은 실리콘 함유 물질에 대하여 90%보다 큰 선택비를 가지며 기판 표면 상에 Cu 또는 Co 상에 선택적으로 퇴적된다.
[176] 더 낮은 선택비가 선호된다면, 온도는 90% 이상의 선택비를 얻을 수 있는 공정들을 위한 온도보다 약간 더 높아질 수 있다.
[177] 일부 실시예들에서, 퇴적 조건들 및/또는 반응물들은 실리콘 함유 표면들의 식각이 방지되거나 최소화되도록 선택된다. 예를 들어, 더 높은 온도들에서 금속 플루오라이드들이 제2 표면 상에 존재할 수 있는 임의의 Si-OH 기들을 플루오르화시키기 시작할 수 있고, 일부 경우들에서 이들은 실리콘 함유 표면을 식각할 수 있다. 따라서, 일부 실시예들에서, 퇴적 온도는 실리콘 함유 표면의 식각이 방지되거나 제거될 수 있도록 선택된다.
[178] 제2 반응물 제공 동안의 기판 온도는 실리콘 또는 보론 함유 반응물의 제공 동안의 온도와 동일할 수 있다. 다른 실시예들에서, 다른 온도들이 사용될 수 있다.
[179] WF6이 제2 반응물로서 사용되고, 디실레인이 제1 반응물로서 사용되는 일부 실시예들에서, 약 30℃ 내지 약 110℃의 온도가 사용될 수 있다.
[180] 일부 실시예들에서, 금속 반응물의 변환을 증가시키도록 기판의 온도는 제2 반응물을 제공할 때 증가될 수 있다. 예를 들어, TaF5 및 NbF5가 제2 반응물로 사용될 때, 더 높은 온도가 사용될 수 있다. 예를 들어, TaF5를 사용할 때, 온도들은 약 300℃ 이상일 수 있다. NbF5를 사용할 때, 온도는 약 250℃ 이상일 수 있다. 이는 제2 물질을 위하여 더 높은 반응 온도를 사용하여 기판을 가열함에 의해, 또는 당업자에 알려진 다른 수단들에 의해 달성될 수 있다.
예시적인 공정 플로우들
[181] 도 2는 특정한 실시예들에 따른 제2 실리콘 함유 표면에 대하여 기판의 제1 금속성 표면 상에 금속 막을 선택적으로 퇴적하기 위한 공정(20)을 일반적으로 나타내는 플로우차트이다. 선택적 퇴적 공정이 수행될 반응 챔버 또는 챔버들에, 단계 21에서 후속의 선택적 퇴적 공정의 위치와 직접 연결되는 임의의 팸버 표면들 상에 SiN 패시베이션층을 퇴적하도록 우선 선택적 반응기 패시베이션 공정이 가해진다. Co 표면과 같은 제1 금속성 표면 및 SiO2 표면과 같은 실리콘을 포함하는 제2 표면을 포함하는 기판이 제공되고 임의적으로 아웃개스된다. 일부 실시예들에서, 기판은 예를 들어 SiO2 표면을 패시베이션하기 위하여 단계 22에서 임의적 실리콘-함유 표면 처리가 가해질 수 있다. 기판에 이후 단계 23에서 선택적 제1 표면 처리 공정이 가해질 수 있다. 위에서 설명한 바와 같이, 일부 실시예들에서 제1 표면 처리 공정은 기판을 플라즈마, 예를 들어 NH3, N2 또는 이 둘의 조합으로부터 생성되는 플라즈마에 노출하는 단계를 포함할 수 있다.
[182] 일부 실시예들에서, 플라즈마 처리 공정(23)은 제1 Co 표면을 환원시킬 수 있다. 일부 실시예들에서, 플라즈마 처리 공정은 제1 Co 표면 상에 존재하는 자연 산화물 층을 제거할 수 있다. 일부 실시예들에서, 플라즈마 처리 공정은 제1 Co 표면 상에 존재할 수 있는 패시베이션 또는 탄화수소층, 예를 들어 BTA층을 제거할 수 있다.
[183] 일부 실시예들에서, 단계들(22, 23)은 단계(21)에서 패시베이션되는 반응 챔버와는 다른 반응 챔버 또는 챔버들 내에서 수행될 수 있다. 즉, 단계들(22, 23)은 후속의 선택적 퇴적 공정이 수행될 반응 챔버와는 다른 반응 챔버 또는 챔버들 내에서 수행될 수 있다. 더욱이, 일부 실시예들에서, 반응 챔버 패시베이션 단계(21)는 단계들(22, 23) 중 하나 이상과 동시에 진행될 수 있다.
[184] 일부 실시예들에서, 선택적 단계(23) 이후에 기판 표면은 불활성 분위기에서 임의적으로 더욱 어닐링된다. 어닐링은 단계들(22, 23), 또는 뒤따르는 선택적 퇴적 단계들(25-27) 동안의 온도보다 더 높은 온도에서 수행된다. 어닐링 공정을 위한 온도는 바람직하게는 약 150℃ 내지 약 400℃, 약 150℃ 내지 약 300℃, 또는 약 200℃ 내지 약 275℃이고, 일부 경우들에서는 약 250℃이다. 일부 실시예들에서, 제1 Co 표면 상에 존재하는 임의의 코발트 산화물 상에 NHx-표면 터미네이션들을 생성하기 위하여 기판 표면은 임의적으로 NH3 분위기에서 더욱 어닐링된다.
[185] 다음으로, 단계 24에서, 기판은 단계 21에서 임의적으로 패시베이션되었던 챔버 내부로 이동되고, 단계 25에서 Co 표면 상에 실리콘 또는 보론 함유 종들이 퇴적되도록 실리콘 또는 보론 소스가 기판으로 제공된다. 일부 실시예들에서, 실리콘 소스는 디실레인이다. 일부 실시예들에서, 실리콘 전구체가 Co 표면 상에 실리콘을 형성하지만 SiO2 표면 상에는 실리콘을 형성하지 않는 퇴적 온도를 사용하여, 디실레인은 SiO2 표면에 대하여 Co 표면 상에서 선택적으로 분해될 수 있다. 예를 들어, 퇴적은 약 30℃ 내지 약 110℃일 수 있다. 일부 실시예들에서, 실리콘 또는 보론 소스는 자기-제한적 방식으로 Co 표면과 반응한다. Co 표면이 SiO2 표면 상의 형성에 대하여, 실리콘 형성을 용이하게 할 수 있다고 믿어진다.
[186] 일부 실시예들에서, 약 0.05 nm 내지 약 4 nm의 두께를 갖는 실리콘 또는 보론을 포함하는 층이 각각의 퇴적 사이클 내에서 기판의 Co 표면 상에 형성된다. 일부 실시예들에서, 약 0.1 nm 내지 약 2 nm의 두께를 갖는 실리콘 또는 보론을 포함하는 층이 각각의 사이클 내에서 기판의 Co 표면 상에 형성된다. 바람직한 실시예들에서, 금속 표면 상의 실리콘 또는 보론을 포함하는 층의 형성은 자기-제한적이다. 따라서, 최대로 실리콘 또는 보론을 포함하는 일 모노레이어가 각각의 사이클 내에서 형성된다.
[187] 실리콘 또는 보론 함유층이 Co 층 상에 형성된 이후에, 단계 26에서 메탈 할라이드, 예를 들어 WF6와 같은 제2 반응물이 실리콘 또는 보론을 포함하는 층을 제2 반응물로부터의 대응되는 텅스텐과 같은 금속을 포함하는 층으로 변환시키도록 사용된다. 일부 실시예들에서, Si 또는 B층과 반응할 수 있는 WF6, TaF5, NbF5, 또는 다른 화합물들은 금속성 층 또는 금속 실리사이드를 형성하도록 기판 표면에 도입된다. 일부 실시예들에서, 단계 27에서 요구되는 두께의 금속성 층이 형성될 때까지 실리콘 또는 보론 전구체(예를 들어 디실레인) 및 제2 반응물(금속 할라이드와 같은) 펄스들은 반복될 수 있다. 일부 실시예들에서, 금속성층은 원소 금속, 예를 들어 W이다. 일부 실시예들에서, 금속성층은 Si, B, N과 같은 추가 원소들 및 다른 도펀트들을 포함할 수 있다. 일부 실시예들에서, 금속성층은 다른 물질을 형성하도록 추가적으로 처리될 수 있다. 예를 들어, 원소 금속층이 금속 질화물 또는 금속 실리사이드를 형성하도록 제3 반응물을 사용하여 처리될 수 있다.
[188] 퇴적 사이클은 실리콘 및 보론 전구체를 제공하는 단계 및 제2 금속 반응물을 제공하는 단계, 즉 단계들(25, 26)로서 정의될 수 있다. 일부 실시예들에서, 퇴적 사이클 내에 다른 반응물들이 제공되지 않는다. 일부 실시예들에서, 요구되는 두께를 갖는 W 층을 형성하도록 퇴적 사이클이 반복된다. 일부 실시예들에서, 각각의 사이클 내에서 약 0.05 nm 내지 약 4 nm의 두께를 갖는 W 층이 형성된다. 일부 실시예들에서, 각각의 사이클 내에서 약 0.1 nm 내지 약 2 nm의 두께를 갖는 W 층이 형성된다. 일부 실시예들에서, W 층은 약 1-2 nm의 두께를 갖는다. 다른 실시예들에서, 퇴적된 W 층의 두께는 약 2 nm보다 크고, 일부 경우들에서 약 30 nm보다 크고, 일부 경우들에서 약 50 nm보다 크다. 바람직한 실시예들에서, 층은 10 nm보다 작은 두께를 갖는다.
[189] 일부 실시예들에서, 퇴적 사이클은 10회 이상 반복된다. 일부 실시예들에서, 퇴적 사이클은 적어도 50회 반복된다. 일부 실시예들에서, 퇴적 사이클은 약 100회 이상 반복된다. 사이클들의 횟수는 W 층의 요구되는 두께에 기초하여 선택될 수 있다.
[190] 일부 실시예들에서, 실리콘 또는 보론을 포함하는 전구체 및 제2 금속 반응물 이외에 다른 반응물들이 제공되지 않는다.
[191] 일부 실시예들에서, 코발트와 같은 제1 표면을 포함하는 물질은 선택적 퇴적 사이클 동안 다른 화합물을 형성하도록 변환되거나 반응하지 않는다.
[192] 일부 실시예들에서, 하나 또는 그 이상의 퇴적 사이클들이 완결된 이후에 단계 28에서 하프 퇴적 사이클이 수행될 수 있다. 예를 들어, 실리콘 또는 보론 전구체 펄스 또는 이와는 달리 제2 금속 반응물이 제공될 수 있다. 일부 실시예들에서, 하나 또는 그 이상의 퇴적 사이클들 이후에, 실리콘 또는 보론 전구체 펄스가 제공된다. 실리콘 또는 보론 전구체 펄스(또는 다른 금속 반응물)이 제공될 때, 공기 또는 산소 함유 대기에 노출될 때 형성된 물질은 실리콘 산화물 또는 보론 산화물(또는 금속 산화물)의 희생층을 형성할 수 있다. 희생층은 실리콘 산화물 또는 보론 산화물층 아래의 금속성 물질이 반응기 외부에서 공기 또는 산소-함유 대기에 노출될 때 산화되는 것을 방지할 수 있다. 형성된 실리콘 산화물 또는 보론 산화물층은 예를 들어 여기 설명된 금속 소스 화학물질들의 단일 펄스를 사용하여, 바람직하게는 WF6, TaF5, NbF5, TiF4, MoFx, VFx을 사용하여, 더욱 바람직하게는 WF6을 사용하여 추가 공정 단계들에서 제거될 수 있다.
[193] 일부 실시예들에서, 전체 공정 플로우는 단일 반응 챔버 내에서, 예를 들어 단일 공정 모듈 내에서 수행된다. 그러나 다른 실시예들에서, 다양한 단계들이 둘 또는 그 이상의 반응 챔버들 내에서 수행된다. 예를 들어, 일부 실시예들에서, 제1 표면 처리 및 실리콘 함유 표면 처리 공정들(만약 사용된다면)이 제1 반응 챔버 내에서 수행되는 한편, 선택적 퇴적은 제2의 다른 반응 챔버 내에서 수행될 수 있다. 일부 실시예들에서, 제2의 다른 반응 챔버는 또한 그 내부에 패시베이션층을 형성하도록 처리될 수 있다. 선택적인 열 어닐 단계가 필요하거나 요구된다면, 기판은 이후 열 어닐(만약 사용된다면) 또는 선택적 퇴적이 수행되는 제2 반응 챔버로 이동될 수 있다. 일부 실시예들에서, 어닐 단계는 제2 반응 챔버 내에서 수행되고, 기판이 제1 반응 챔버로 다시 이동되거나, 선택적 퇴적이 수행되는 제3 반응 챔버로 다시 이동된다. 일부 실시예들에서, 제1 표면 처리 및 실리콘 함유 표면 처리(만약 사용된다면)가 제1 반응 챔버 내에서 수행되고, 선택적 퇴적이 제2의 다른 반응 챔버에서, 제1 표면 처리와 퇴적 단계 사이의 열 어닐 단계 없이 수행된다. 기판은 만약 요구된다면 이동 전에 일 기간 동안 냉각될 수 있다. 일부 실시예들에서, 냉각은 진공부터 약 2 atm, 또는 약 0.1 torr 내지 약 760 torr, 또는 약 1 torr 내지 약 760 torr 범위의 압력에서 약 0 내지 30분, 또는 약 0 내지 10분 동안 수행된다. 기판은 예를 들어 진공 하에서 또는 N2 (및 가능하게는 일부 O2)의 존재 하에서 약 1 내지 1000 torr에서 이동될 수 있다.
[194] 도 3은 특정한 다른 실시예들에 따른 제2 실리콘 함유 표면에 대하여 기판의 제1 금속성 표면 상에 금속 막을 선택적으로 퇴적하기 위한 공정(30)을 일반적으로 나타내는 플로우차트이다. 선택적 퇴적 공정이 수행될 반응 챔버 또는 챔버들에, 단계 31에서 우선 선택적 반응기 패시베이션 공정이 가해진다. Cu 표면과 같은 제1 금속성 표면 및 SiO2 표면과 같은 실리콘을 포함하는 제2 표면을 포함하는 기판이 제공되고 임의적으로 아웃개스된다. 일부 실시예들에서, 기판은 예를 들어 SiO2 표면을 패시베이션하기 위하여 단계 32에서 선택적 실리콘-함유 표면 처리가 가해질 수 있다. 기판에 이후 단계 33에서 선택적 제1 표면 처리 공정이 가해질 수 있다. 위에서 설명한 바와 같이, 일부 실시예들에서 제1 표면 처리 공정은 기판을 하나 또는 그 이상의 제1 표면 처리 반응물들에 노출하는 단계를 포함할 수 있다.
[195] 일부 실시예들에서, 처리 공정(33)은 금속성 표면을 환원시킬 수 있다. 일부 실시예들에서, 처리 공정은 제1 금속성 표면 상에 존재하는 자연 산화물 층을 제거할 수 있다. 일부 실시예들에서, 처리 공정은 제1 금속성 표면 상에 존재할 수 있는 패시베이션 또는 탄화수소층을 제거할 수 있고, 예를 들어 처리 공정은 Cu 표면 상에 존재하는 BTA 층을 제거할 수 있다. 일부 실시예들에서, Cu 표면 상의 패시베이션층, 예를 들어 BTA 층은 다른 공정 단계들, 예를 들어 화학-기계적 평탄화 동안에 Cu 표면을 산화로부터 보호하도록 퇴적되었을 수 있다. 그러나 이러한 패시베이션층은 선택적 퇴적 공정 이전에 제거되어야 한다.
[196] 일부 실시예들에서, 처리 공정은 기판을 처리 반응물에 노출하는 단계를 포함한다. 일부 실시예들에서, 처리 반응물은 기상 유기 반응물이다. 일부 실시예들에서, 처리 반응물은 적어도 하나의 알코올 그룹을 함유할 수 있고, 바람직하게는 일차 알코올들, 이차 알코올들, 삼차 알코올들, 폴리히드록시 알코올들, 사이클릭 알코올들, 지방족 알코올들, 및 알코올들의 다른 유도체들로 구성되는 군으로부터 선택될 수 있다. 일부 실시예들에서, 처리 반응물은 포름산 또는 HCl을 포함할 수 있다.
[197] 처리 공정(33) 동안의 온도는 예를 들어, 실온 내지 약 400℃, 약 100℃ 내지 약 400℃, 약 100℃ 내지 약 130℃, 또는 약 30℃ 내지 약 110℃일 수 있다.
[198] 일부 실시예들에서, 단계들(32, 33)은 단계(31)에서 패시베이션되는 반응 챔버와는 다른 반응 챔버 또는 챔버들 내에서 수행될 수 있다. 즉, 단계들(32, 33)은 후속의 선택적 퇴적 공정이 수행될 반응 챔버와는 다른 반응 챔버 또는 챔버들 내에서 수행될 수 있다. 더욱이, 일부 실시예들에서, 반응 챔버 패시베이션 단계(31)는 단계들(32, 33) 중 하나 이상과 동시에 진행될 수 있다.
[199] 일부 실시예들에서, 선택적 단계(33) 이후에 기판 표면은 불활성 분위기에서 임의적으로 더욱 어닐링된다. 어닐링은 단계들(32, 33), 또는 뒤따르는 선택적 퇴적 단계들(35-37) 동안의 온도보다 더 높은 온도에서 수행된다. 어닐링 공정을 위한 온도는 바람직하게는 약 150℃ 내지 약 400℃, 약 150℃ 내지 약 300℃, 또는 약 200℃ 내지 약 275℃이고, 일부 경우들에서는 약 250℃이다. 일부 실시예들에서, Cu 표면 상에 존재하는 임의의 금속 산화물 상에 NHx-표면 터미네이션들을 생성하기 위하여 기판 표면은 임의적으로 NH3 분위기에서 더욱 어닐링된다.
[200] 다음으로, 단계 34에서, 기판은 단계 31에서 임의적으로 패시베이션되었던 챔버 내부로 이동되고, 단계 35에서 Cu 표면 상에 실리콘 또는 보론 함유 종들이 퇴적되도록 실리콘 또는 보론 소스가 기판으로 제공된다. 일부 실시예들에서, 실리콘 소스는 디실레인이다. 일부 실시예들에서, 실리콘 전구체가 Cu 표면 상에 실리콘을 형성하지만 SiO2 표면 상에는 실리콘을 형성하지 않는 퇴적 온도를 사용하여, 디실레인은 SiO2 표면에 대하여 Cu 표면 상에서 선택적으로 분해될 수 있다. 일부 실시예들에서, 실리콘 또는 보론 소스는 자기-제한적 방식으로 Cu 표면과 반응한다. Cu 표면이 SiO2 표면 상의 형성에 대하여, 실리콘 형성을 용이하게 할 수 있다고 믿어진다.
[201] 일부 실시예들에서, 약 0.05 nm 내지 약 4 nm의 두께를 갖는 실리콘 또는 보론을 포함하는 층이 각각의 퇴적 사이클 내에서 기판의 Cu 표면 상에 형성된다. 일부 실시예들에서, 약 0.1 nm 내지 약 2 nm의 두께를 갖는 실리콘 또는 보론을 포함하는 층이 각각의 사이클 내에서 기판의 Cu 표면 상에 형성된다. 바람직한 실시예들에서, Cu 표면 상의 실리콘 또는 보론을 포함하는 층의 형성은 자기-제한적이다. 따라서, 최대로 실리콘 또는 보론을 포함하는 일 모노레이어가 각각의 사이클 내에서 형성된다.
[202] 실리콘 또는 보론 함유층이 Cu 층 상에 형성된 이후에, 단계 36에서 메탈 할라이드, 예를 들어 WF6와 같은 제2 반응물이 실리콘 또는 보론을 포함하는 층을 제2 반응물로부터의 대응되는 텅스텐과 같은 금속을 포함하는 층으로 변환시키도록 사용된다. 일부 실시예들에서, Si 또는 B층과 반응할 수 있는 WF6, TaF5, NbF5, 또는 다른 화합물들은 금속성 층 또는 금속 실리사이드를 형성하도록 기판 표면에 도입된다. 일부 실시예들에서, 단계 37에서 요구되는 두께의 금속성 층이 형성될 때까지 실리콘 또는 보론 전구체(예를 들어 디실레인) 및 제2 반응물(금속 할라이드와 같은) 펄스들은 반복될 수 있다. 일부 실시예들에서, 금속성층은 원소 금속, 예를 들어 W이다. 일부 실시예들에서, 금속성층은 Si, B, N과 같은 추가 원소들 및 다른 도펀트들을 포함할 수 있다. 일부 실시예들에서, 금속성층은 다른 물질을 형성하도록 추가적으로 처리될 수 있다. 예를 들어, 원소 금속층이 금속 질화물 또는 금속 실리사이드를 형성하도록 제3 반응물을 사용하여 처리될 수 있다.
[203] 퇴적 사이클은 실리콘 및 보론 전구체를 제공하는 단계 및 제2 금속 반응물을 제공하는 단계, 즉 단계들(35, 36)로서 정의될 수 있다. 일부 실시예들에서, 퇴적 사이클 내에 다른 반응물들이 제공되지 않는다. 일부 실시예들에서, 요구되는 두께를 갖는 금속성 층을 형성하도록 퇴적 사이클이 반복된다. 일부 실시예들에서, 각각의 사이클 내에서 약 0.05 nm 내지 약 4 nm의 두께를 갖는 금속성 층이 형성된다. 일부 실시예들에서, 각각의 사이클 내에서 약 0.1 nm 내지 약 2 nm의 두께를 갖는 금속성 층이 형성된다. 일부 실시예들에서, 금속성 층은 약 1-2 nm의 두께를 갖는다. 다른 실시예들에서, 퇴적된 금속성 층의 두께는 약 2 nm보다 크고, 일부 경우들에서 약 30 nm보다 크고, 일부 경우들에서 약 50 nm보다 크다. 바람직한 실시예들에서, 층은 10 nm보다 작은 두께를 갖는다.
[204] 일부 실시예들에서, 퇴적 사이클은 10회 이상 반복된다. 일부 실시예들에서, 퇴적 사이클은 적어도 50회 반복된다. 일부 실시예들에서, 퇴적 사이클은 약 100회 이상 반복된다. 사이클들의 횟수는 금속성 층의 요구되는 두께에 기초하여 선택될 수 있다.
[205] 일부 실시예들에서, 실리콘 또는 보론을 포함하는 전구체 및 제2 금속 반응물 이외에 다른 반응물들이 제공되지 않는다.
[206] 일부 실시예들에서, 구리와 같은 제1 표면을 포함하는 물질은 선택적 퇴적 사이클 동안 다른 화합물을 형성하도록 변환되거나 반응하지 않는다.
[207] 일부 실시예들에서, 하나 또는 그 이상의 퇴적 사이클들이 완결된 이후에 단계 38에서 하프 퇴적 사이클이 수행될 수 있다. 예를 들어, 실리콘 또는 보론 전구체 펄스 또는 이와는 달리 제2 금속 반응물이 제공될 수 있다. 일부 실시예들에서, 하나 또는 그 이상의 퇴적 사이클들 이후에, 실리콘 또는 보론 전구체 펄스가 제공된다. 실리콘 또는 보론 전구체 펄스(또는 다른 금속 반응물)이 제공될 때, 공기 또는 산소 함유 대기에 노출될 때 형성된 물질은 실리콘 산화물 또는 보론 산화물(또는 금속 산화물)의 희생층을 형성할 수 있다. 희생층은 실리콘 산화물 또는 보론 산화물층 아래의 금속성 물질이 반응기 외부에서 공기 또는 산소-함유 대기에 노출될 때 산화되는 것을 방지할 수 있다. 형성된 실리콘 산화물 또는 보론 산화물층은 예를 들어 여기 설명된 금속 소스 화학물질들의 단일 펄스를 사용하여, 바람직하게는 WF6, TaF5, NbF5, TiF4, MoFx, VFx을 사용하여, 더욱 바람직하게는 WF6을 사용하여 추가 공정 단계들에서 제거될 수 있다.
[208] 일부 실시예들에서, 전체 공정 플로우는 단일 반응 챔버 내에서, 예를 들어 단일 공정 모듈 내에서 수행된다. 그러나 다른 실시예들에서, 다양한 단계들이 둘 또는 그 이상의 반응 챔버들 내에서 수행된다. 예를 들어, 일부 실시예들에서, 제1 표면 처리 및 실리콘 함유 표면 처리 공정들(만약 사용된다면)이 제1 반응 챔버 내에서 수행되는 한편, 선택적 퇴적은 제2의 다른 반응 챔버 내에서 수행될 수 있다. 일부 실시예들에서, 제2의 다른 반응 챔버는 또한 그 내부에 패시베이션층을 형성하도록 처리될 수 있다. 선택적인 열 어닐 단계가 필요하거나 요구된다면, 기판은 이후 열 어닐(만약 사용된다면) 또는 선택적 퇴적이 수행되는 제2 반응 챔버로 이동될 수 있다. 일부 실시예들에서, 어닐 단계는 제2 반응 챔버 내에서 수행되고, 기판이 제1 반응 챔버로 다시 이동되거나, 선택적 퇴적이 수행되는 제3 반응 챔버로 다시 이동된다. 일부 실시예들에서, 제1 표면 처리 및 실리콘 함유 표면 처리(만약 사용된다면)가 제1 반응 챔버 내에서 수행되고, 선택적 퇴적이 제2의 다른 반응 챔버에서, 제1 표면 처리와 퇴적 단계 사이의 열 어닐 단계 없이 수행된다. 기판은 만약 요구된다면 이동 전에 일 기간 동안 냉각될 수 있다. 일부 실시예들에서, 냉각은 진공부터 약 2 atm, 또는 약 0.1 torr 내지 약 760 torr, 또는 약 1 torr 내지 약 760 torr 범위의 압력에서 약 0 내지 30분, 또는 약 0 내지 10분 동안 수행된다. 기판은 예를 들어 진공 하에서 또는 N2 (및 가능하게는 일부 O2)의 존재 하에서 약 1 내지 1000 torr에서 이동될 수 있다.
[209] 도 4는 일부 실시예들에 따른 예시적인 반응 챔버 패시베이션 공정(40)을 일반적으로 나타내는 플로우차트이다. 일부 실시예들에서, 반응 챔버 패시베이션 공정은 선택적 퇴적을 가능하게 하고, 선택비를 향상시키고, 및/또는 선택적 퇴적 공정 동안 선택비를 잃기 전에 연속적인 사이클들의 횟수를 증가시킬 수 있다.
[210] 선택적 퇴적 공정, 예를 들어 W 선택적 퇴적 공정이 수행될 반응 챔버가 단계 41에서 제공된다. 반응 챔버는 내부에 웨이퍼들 또는 기판이 없이 제공된다. 일부 실시예들에서, 선택적 퇴적 공정은 반응 챔버 내에 웨이퍼 또는 웨이퍼들 상에서 수행되었을 수 있고, 단계 41에서 반응 챔버 내에 웨이퍼들이 존재하지 않도록 이들이 이후 제거된다. 일부 실시예들에서, 반응 챔버 내에서 선택적 퇴적 공정이 가해질 웨이퍼 또는 웨이퍼들은 반응 챔버 패시베이션 공정 이전에, 동안에, 또는 이후에 다른 공정이 가해질 수 있다. 예를 들어, 웨이퍼에 반응 챔버 패시베이션 공정 동안에 제2의 다른 반응 챔버 내에서 표면 제1 표면 처리 공정이 가해질 수 있다.
[211] 일부 실시예들에서, 단계 42에서 패시베이션층이 반응 챔버의 내부 표면들 상에, 및 선택적 퇴적 공정 동안에 전구체 또는 반응물에 노출될 수 있는 임의의 다른 위치들 상에 퇴적되거나 형성될 수 있다. 일부 실시예들에서, 패시베이션층은 반응 챔버의 내부 표면, 챔버 샤워헤드, 및/또는 선택적 퇴적 공정이 일어나는 공간과 연결될 수 있는 챔버의 임의의 다른 부분들 상에 퇴적되거나 또는 형성된다. 일부 실시예들에서, 패시베이션층은 기판이 아닌 반응 챔버 내의 임의의 표면 상에 퇴적될 수 있다.
[212] 일부 실시예들에서, 패시베이션층, 예를 들어 SiN의 층은 기상 퇴적 공정, 예를 들어 PEALD 공정에 의해 형성될 수 있다. 일부 실시예들에서, SiN 층은 하나 또는 그 이상의 패시베이션층 퇴적 사이클들을 포함하는 공정에 의해 형성될 수 있고, 상기 사이클은 반응 챔버를 제1 실리콘 전구체 및 제2 질소 전구체에 교대로 및 순차적으로 노출하는 단계를 포함할 수 있다. 패시베이션층 퇴적 사이클은 요구되는 두께의 SiN 패시베이션층이 형성되었을 때까지 임의적으로 반복될 수 있다.
[213] 일부 실시예들에서, 패시베이션층 퇴적 공정 내에서 사용되는 실리콘 전구체는 실레인, 예를 들어 디실레인을 포함할 수 있다. 일부 실시예들에서, 질소 전구체는 원자 질소, 질소 래디칼들, 질소 플라즈마, 또는 이들의 조합들을 포함할 수 있다. 일부 실시예들에서, 질소 전구체는 원자 수소, 수소 래디칼들, 수소 플라즈마, 또는 이들의 조합들을 더 포함할 수 있다. 일부 실시예들에서, 질소 전구체는 N2로부터 생성되는 플라즈마를 포함할 수 있다. 일부 실시예들에서, 질소 전구체는 N2 및 H2로부터 생성되는 플라즈마를 포함할 수 있다. 일부 실시예들에서, 질소 전구체는 N2 및 비활성 기체, 예를 들어 아르곤으로부터 생성되는 플라즈마를 포함할 수 있다. 일부 실시예들에서, 질소 전구체는 N2, H2, 및 비활성 기체, 예를 들어 아르곤으로부터 생성되는 플라즈마를 포함할 수 있다.
[214] 일부 실시예들에서, 단계 42에서 패시베이션층의 형성 이후에, 단계 43에서 웨이퍼 또는 웨이퍼들이 반응 챔버 내로 이동된다. 선택적 퇴적 공정, 예를 들어 W 선택적 퇴적 공정 및 임의의 다른 요구되는 공정들이 이후 단계 44에서 수행될 수 있다. 일부 실시예들에서, 선택적 퇴적 공정 이후에, 단계 45에서 반응 챔버 내에 존재하는 임의의 웨이퍼 또는 웨이퍼들이 반응 챔버 외부로 이동될 수 있다. 일부 실시예들에서, 단계 46에서 반응 챔버 패시베이션 공정이 임의적으로 반복될 수 있다. 일부 실시예들에서, 웨이퍼 또는 웨이퍼들이 반응 챔버 내로 이동될 수 있고, 선택적으로 반응 챔버 패시베이션 공정을 반복하기 전에 다른 선택적 퇴적 공정이 다시 수행될 수 있다. 즉, 일부 실시예들에서, 반응 챔버 패시베이션 공정은 매 1, 5, 10, 20, 50 또는 그 이상의 웨이퍼들에 선택적 퇴적 공정이 가해진 이후에 반복될 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 공정은 선택적 퇴적 공정의 특정한 횟수의 사이클들이 수행된 이후에 반복될 수 있다. 일부 실시예들에서, 반응 챔버 패시베이션 공정은 매 50, 100, 150, 또는 그 이상의 선택적 퇴적 사이클들 이후에 반복될 수 있다.
[215] 특정한 실시예들 및 예시들이 논의되었지만, 당업자들은 청구항들의 범위가 특정하게 개시된 실시예들을 넘어 다른 대안의 실시예들 및/또는 용법들, 및 자명한 개조들 및 이들의 등가물들까지 연장된다는 것을 이해할 것이다.

Claims (21)

  1. 제1 금속성 표면과 실리콘을 포함하는 제2 표면을 포함하는 기판 상에 막을 선택적으로 퇴적하는 방법으로서,
    선택적 퇴적 공정이 수행될 반응 챔버를 패시베이션하는 단계;
    상기 기판에, 상기 기판을 플라즈마에 노출하는 단계를 포함하는 제1 표면 처리 공정을 가하는 단계;
    상기 제1 표면 처리 공정 이후에, 상기 반응 챔버 내에서 하나 또는 그 이상의 선택적 퇴적 사이클들을 수행하는 단계를 포함하고, 상기 사이클 각각은,
    실리콘을 포함하는 상기 제2 표면에 대하여 상기 제1 금속성 표면 상에 실리콘(Si) 또는 보론(B)을 포함하는 제1 물질의 층을 선택적으로 형성하도록 상기 기판을 실리콘 또는 보론을 포함하는 제1 전구체와 접촉시키는 단계, 및
    상기 제1 물질을 금속을 포함하는 제2 전구체에 노출함에 의해 상기 제1 금속성 표면 상의 상기 제1 물질을 제2 금속성 물질로 변환시키는 단계를 포함하고,
    상기 제2 금속성 물질은 실리콘을 포함하는 상기 제2 표면에 대하여 상기 기판의 상기 제1 금속성 표면 상에 50%보다 큰 선택비로 퇴적되는 것을 특징으로 하는 방법.
  2. 청구항 1에 있어서,
    상기 제1 금속성 표면은 구리를 포함하는 것을 특징으로 하는 방법.
  3. 청구항 1에 있어서,
    상기 제1 금속성 표면은 코발트를 포함하는 것을 특징으로 하는 방법.
  4. 청구항 1에 있어서,
    실리콘을 포함하는 상기 제2 표면은 SiO2를 포함하는 것을 특징으로 하는 방법.
  5. 청구항 1에 있어서,
    상기 제2 금속성 물질은 텅스텐을 포함하는 것을 특징으로 하는 방법.
  6. 청구항 1에 있어서,
    상기 반응 챔버를 패시베이션하는 단계는, 하나 또는 그 이상의 상기 선택적 퇴적 사이클들 동안에 상기 제1 또는 제2 전구체에 노출될 수 있는 상기 반응 챔버 내의 표면들 상에 패시베이션층을 퇴적하는 단계를 포함하는 것을 특징으로 하는 방법.
  7. 청구항 6에 있어서,
    상기 패시베이션층은 기상 퇴적(vapor deposition) 공정에 의해 형성되는 것을 특징으로 하는 방법.
  8. 청구항 7에 있어서,
    상기 패시베이션층은 플라즈마 강화 화학 기상 퇴적(plasma enhanced chemical vapor deposition, PECVD) 공정에 의해 형성되는 것을 특징으로 하는 방법.
  9. 청구항 7에 있어서,
    상기 패시베이션층은 플라즈마 강화 원자층 퇴적(plasma enhanced atomic layer deposition, PEALD) 공정에 의해 형성되는 것을 특징으로 하는 방법.
  10. 청구항 8에 있어서,
    상기 패시베이션층은 제1 기상 실리콘 전구체와 제2 기상 질소 전구체를 상기 반응 챔버 내로 인도하는(conducting) 단계에 의해 형성되고, 플라즈마가 상기 반응 챔버 내에 존재하는 것을 특징으로 하는 방법.
  11. 청구항 9에 있어서,
    상기 패시베이션층은 상기 반응 챔버를 디실레인(disilane)을 포함하는 제1 전구체 및 원자 질소, 질소 래디칼들, 또는 질소 플라즈마, 및 원자 수소, 수소 래디칼들, 또는 수소 플라즈마를 포함하는 제2 전구체에 교대로, 및 순차적으로 노출시키는 단계에 의해 형성되는 것을 특징으로 하는 방법.
  12. 청구항 6에 있어서,
    상기 패시베이션층은 SiN을 포함하는 것을 특징으로 하는 방법.
  13. 청구항 1에 있어서,
    상기 플라즈마는 에탄올로부터 생성되는 것을 특징으로 하는 방법.
  14. 청구항 1에 있어서,
    상기 플라즈마는 NH3 및 H2로부터 생성되는 것을 특징으로 하는 방법.
  15. 청구항 1에 있어서,
    상기 제1 전구체는 실레인(silane)을 포함하는 것을 특징으로 하는 방법.
  16. 청구항 15에 있어서,
    상기 제1 전구체는 디실레인을 포함하는 것을 특징으로 하는 방법.
  17. 청구항 1에 있어서,
    상기 제2 전구체는 금속 할라이드(metal halide)를 포함하는 것을 특징으로 하는 방법.
  18. 청구항 17에 있어서,
    상기 제2 전구체는 WF6를 포함하는 것을 특징으로 하는 방법.
  19. 청구항 1에 있어서,
    상기 기판에 제1 표면 처리 공정을 가하는 단계 이전에, 상기 기판에 제2 표면 처리 공정을 가하는 단계를 더 포함하는 방법.
  20. 청구항 19에 있어서,
    상기 제2 표면 처리 공정은 상기 기판을 처리 반응물에 노출하는 단계를 포함하고,
    상기 처리 반응물이 상기 제2 표면을 패시베이션하는 것을 특징으로 하는 방법.
  21. 청구항 1에 있어서,
    상기 제2 금속성 물질은 실리콘을 포함하는 상기 제2 표면에 대하여 상기 기판의 상기 제1 금속성 표면 상에 90%보다 큰 선택비로 퇴적되는 것을 특징으로 하는 방법.
KR1020170070775A 2016-06-08 2017-06-07 금속성 막들의 선택적 퇴적 KR102168494B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/177,198 2016-06-08
US15/177,198 US9805974B1 (en) 2016-06-08 2016-06-08 Selective deposition of metallic films

Publications (2)

Publication Number Publication Date
KR20170138950A KR20170138950A (ko) 2017-12-18
KR102168494B1 true KR102168494B1 (ko) 2020-10-22

Family

ID=60142725

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170070775A KR102168494B1 (ko) 2016-06-08 2017-06-07 금속성 막들의 선택적 퇴적

Country Status (3)

Country Link
US (1) US9805974B1 (ko)
KR (1) KR102168494B1 (ko)
TW (1) TWI709655B (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
TW201819665A (zh) * 2016-09-10 2018-06-01 美商應用材料股份有限公司 用於選擇性沉積之選擇性改良的原位預清潔
US11430949B2 (en) * 2016-09-25 2022-08-30 Intel Corporation Metal filament memory cells
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
WO2020033698A1 (en) * 2018-08-10 2020-02-13 Applied Materials, Inc. Methods for selective deposition using self-assembled monolayers
JP7288501B2 (ja) * 2018-08-27 2023-06-07 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有表面への選択的堆積
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
SG11202103979UA (en) * 2018-10-19 2021-05-28 Lam Res Corp In situ protective coating of chamber components for semiconductor processing
CN113348532A (zh) * 2018-11-13 2021-09-03 应用材料公司 金属硅化物的选择性沉积和选择性氧化物移除
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
JP7118099B2 (ja) * 2020-01-15 2022-08-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
MX2012001115A (es) * 2009-07-31 2012-03-21 Akzo Nobel Chemicals Int Bv Proceso para la preparacion de un sustrato recubierto, el sustrato recubierto, y uso del mismo.
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US8357608B2 (en) * 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US10573511B2 (en) * 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
CN110323268B (zh) 2013-06-28 2023-01-03 英特尔公司 基于选择性外延生长的iii-v族材料的器件
US9530733B2 (en) 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
WO2015094305A1 (en) 2013-12-19 2015-06-25 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
SG11201606451QA (en) 2014-03-28 2016-09-29 Intel Corp Selective epitaxially grown iii-v materials based devices
US9406523B2 (en) * 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method

Also Published As

Publication number Publication date
TWI709655B (zh) 2020-11-11
KR20170138950A (ko) 2017-12-18
TW201809335A (zh) 2018-03-16
US9805974B1 (en) 2017-10-31

Similar Documents

Publication Publication Date Title
KR102168494B1 (ko) 금속성 막들의 선택적 퇴적
KR102208442B1 (ko) 반응 챔버 패시베이션 및 금속성 막들의 선택적 퇴적
US10014212B2 (en) Selective deposition of metallic films
US11056385B2 (en) Selective formation of metallic films on metallic surfaces
TWI819806B (zh) 基材上選擇性沉積金屬薄膜之方法
US10049924B2 (en) Selective formation of metallic films on metallic surfaces
TWI729285B (zh) 金屬薄膜的選擇性沈積

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant