JP7146690B2 - 堆積および除去を使用した選択的層形成 - Google Patents

堆積および除去を使用した選択的層形成 Download PDF

Info

Publication number
JP7146690B2
JP7146690B2 JP2019086534A JP2019086534A JP7146690B2 JP 7146690 B2 JP7146690 B2 JP 7146690B2 JP 2019086534 A JP2019086534 A JP 2019086534A JP 2019086534 A JP2019086534 A JP 2019086534A JP 7146690 B2 JP7146690 B2 JP 7146690B2
Authority
JP
Japan
Prior art keywords
deposition
passivation layer
reactant
substrate
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019086534A
Other languages
English (en)
Other versions
JP2019195059A5 (ja
JP2019195059A (ja
Inventor
エヴァ トワ
ヴィリヤミ ポレ
Original Assignee
エーエスエム アイピー ホールディング ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アイピー ホールディング ビー.ブイ. filed Critical エーエスエム アイピー ホールディング ビー.ブイ.
Publication of JP2019195059A publication Critical patent/JP2019195059A/ja
Publication of JP2019195059A5 publication Critical patent/JP2019195059A5/ja
Priority to JP2022149800A priority Critical patent/JP7240549B2/ja
Application granted granted Critical
Publication of JP7146690B2 publication Critical patent/JP7146690B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Description

本出願は、2018年5月2日に出願された米国仮出願番号62/666,039に対する優先権を主張するものであり、その開示はすべての目的に対して参照により本明細書に組み込まれる。
本開示は概して半導体デバイス製造の分野に関し、より具体的には、膜の堆積および除去を用いる層の選択的形成に関する。
半導体業界において、選択的プロセスの必要性が増加しつつある。例えば、膜の成長は、ある一つの表面上では望ましいが、第二の異なる表面上では望ましくない。これらの二つの異なる表面は、異なる材料、例えば金属および誘電体を含むことができる。優れた選択的プロセスは、堆積した材料の別個のパターニング(フォトリソグラフィーマスキングおよびパターニングなど)のためのより複雑なプロセスを避けることによって、プロセスの工程数を減らすことができ、これによって時間と費用を節約する。
一態様において、パターン付き基材の第一の表面上に誘電材料を選択的に形成するための原子層堆積(ALD)プロセスの方法が提供されている。方法は、第一の表面および第二の表面を備える基材を提供することを含み、第二の表面は、その上にパッシベーション層を含む。方法は、基材を第一の前駆体と、酸素を含む第二の反応物質とに交互にかつ連続的に接触させることを含む少なくとも一つの堆積サイクルを実施することをさらに含む。方法は、第二の反応物質が第一の前駆体と反応して第一の表面上に誘電材料を形成すること、およびパッシベーション層が各堆積サイクル中に第二の反応物質によってアッシングされることをさらに含む。
一部の実施形態において、ALDプロセスの方法は、第一の表面が誘電体表面であることをさらに含む。一部の実施形態において、誘電体表面は酸化ケイ素を含む。一部の実施形態において、第一の表面はlow-k材料を含む。一部の実施形態において、第二の表面は金属表面である。一部の実施形態において、金属表面はCo、CuまたはWのうちの少なくとも一つを含む。一部の実施形態において、誘電材料は酸化物である。一部の実施形態において、酸化物は酸化ケイ素である。一部の実施形態において、酸化物は金属酸化物である。
一部の実施形態において、第一の前駆体は金属前駆体、ケイ素前駆体、またはそれらの混合物を含む。一部の実施形態において、第一の前駆体はアルキルアミノシランである。
一部の実施形態において、パッシベーション層は有機材料を含む。一部の実施形態において、パッシベーション層は第一の堆積サイクルの開始前に、第一の表面と相対的に第二の表面上に選択的に堆積される。一部の実施形態において、堆積サイクルは複数回繰り返されて、誘電体表面上に所望の厚さの酸化物膜を形成する。一部の実施形態において、追加のパッシベーション層は、各堆積サイクルの開始と終了の間にパッシベーション層上に選択的に堆積される。
一部の実施形態において、ALDプロセスはプラズマ増強原子層堆積(PEALD)プロセスである。一部の実施形態において、少なくとも一つの堆積サイクルは、第一の前駆体と接触する前に基材を第二の反応物質と接触させることで始まる。一部の実施形態において、少なくとも一つの堆積サイクルは、基材を各サイクル内で少なくとも一つの追加的反応物質と接触させることをさらに含む。一部の実施形態において、第二の反応物質はプラズマをさらに備える。一部の実施形態において、基材を第二の反応物質と接触させることは、第二の反応物質をプラズマで活性化することをさらに含む。
一部の実施形態において、誘電材料は、パッシベーション層と相対的に第一の表面上に選択的に形成される。一部の実施形態において、誘電材料はパッシベーション層上に形成され、誘電材料はパッシベーション層のアッシングによってパッシベーション層から除去され、それによって第一の表面上に誘電材料を選択的に形成する。
別の態様において、パターン付き基材の表面上に材料を選択的に形成するための周期的堆積プロセスが提供されている。方法は、第一の表面および第二の表面を備える基材を提供することを含み、第二の表面は、その上にパッシベーション層を含む。方法は、基材を第一の前駆体と第二の反応物質とに交互にかつ連続的に接触させることを含む少なくとも一つの堆積サイクルを実施することをさらに含む。第二の反応物質は第一の前駆体と反応して第一の表面上に材料を形成し、パッシベーション層は、各堆積サイクル中に第二の反応物質によってエッチングされる。
一部の実施形態において、プロセスは原子層堆積(ALD)プロセスを含む。一部の実施形態において、プロセスはプラズマ増強ALD(PEALD)を含む。一部の実施形態において、第二の反応物質はプラズマ活性種を含む。一部の実施形態において、第二の反応物質は酸素を含み、パッシベーション層は有機層を含み、エッチングはアッシングを含む。一部の実施形態において、パッシベーション層はポリマーを含む。
一部の実施形態において、パッシベーション層のエッチングが第二の表面を露出する前に、堆積は停止される。一部の実施形態において、方法は、堆積を停止後、および堆積を継続する前に、第二の表面上に追加のパッシベーション層をさらに堆積させることを含む。
別の態様において、パターン付き基材の第一の誘電体表面上に酸化物材料を選択的に形成するために、プラズマ増強原子層堆積(PEALD)プロセスが提供される。方法は、第一の誘電体表面および第二の金属表面を備える基材を提供することを含み、第二の金属製表面は、その上に有機パッシベーション層を含む。方法は、基材を第一の前駆体と、酸素およびプラズマを含む第二の反応物質とに交互にかつ連続的に接触させることを含む少なくとも一つの堆積サイクルを実施することをさらに含む。第二の反応物質は第一の前駆体と反応して第一の誘電体表面上に酸化物材料を形成し、有機パッシベーション層は、各堆積サイクル中に第二の反応物質によってアッシングされる。
第二の表面上のパッシベーション層の厚さを減らす一方で、第一の表面上に材料を堆積させるための選択的堆積プロセスを図示する流れ図である。 第二の表面上に選択的に堆積されたパッシベーション層の厚さを減らす一方で、第一の表面上に材料を堆積させるための選択的堆積プロセスを図示する流れ図である。 実施される酸化サイクルの数に対するポリイミド層の厚さを示す一部の実施形態のグラフであり、パッシベーションのアッシング速度が算出される。 周期的堆積プロセスによる基材の第一の表面上への材料の選択的堆積を図示し、周期的堆積プロセスは第二の表面上のパッシベーション層を除去する。
金属酸化物または酸化ケイ素(例えば、SiO)膜などの誘電体膜は、例えば集積回路製造において、当業者に明らかであるように、幅広い種類の用途を有する。本開示の一部の実施形態によると、各種の誘電体膜、特に酸化物膜、前駆体、およびこうした膜を堆積する方法が提供されている。
一部の実施形態において、材料は選択的堆積プロセスによって、第二の表面と相対的に基材の第一の表面上に選択的に堆積される。一部の実施形態において、材料は酸化物材料である。一部の実施形態において、誘電体膜は周期的堆積プロセスを選択的に使用して、金属表面上のパッシベーション層と相対的に基材の誘電体表面上に形成される。
例えば、図1Aは、第二の表面上のパッシベーション層の厚さを減らす一方で、第一の表面上に材料を堆積させる選択的堆積プロセスを図示する流れ図100である。図示した第一のブロック102において、第一の表面および第二の表面を有する基材が提供されており、ここで第二の表面はその上にあるパッシベーション層を含む。ブロック104において、基材は第一の反応物質と接触し、ブロック106において、基材は第二の反応物質と接触する。一部の実施形態において、ブロック104および106は、交互にかつ連続的に実施される。図示した決定ブロック108において、基材は、十分な厚さの材料が形成されるまで、ブロック104および106で第一および第二の反応物質に繰り返し曝露されうる。一部の実施形態において、十分に厚い材料が形成され、従ってブロック104および106は繰り返されない。一部の実施形態において、十分に厚い材料は形成されず、従ってブロック104および106は繰り返され、ブロック104および106の繰り返しは周期的堆積プロセスと呼ばれる。ブロック104および106は、各繰り返しで同じ順序である必要はなく、同一である必要もない。一部の実施形態において、ブロック104および106は連続的に実施される。一部の実施形態において、ブロック104および106は一つ以上の断続的プロセスによって分離される。一部の実施形態において、ブロック104および106の繰り返しは連続的に実施される。一部の実施形態において、ブロック104および106の繰り返しは一つ以上の断続的プロセスによって分離される。一部の実施形態において、断続的プロセスは、過剰な反応物質および副産物除去(例えば、真空および/または不活性ガスパージ)、追加的パッシベーション層の選択的堆積、追加的なクリーンアップエッチング、別の反応物質の曝露の前の同一の反応物質の選択的堆積、および/または一部またはすべてのサイクルにおける追加反応物質への曝露のうちの少なくとも一つから選択されうる。過剰な反応物質および副産物の介入的な除去は、異なる反応物質の供給を分離することを助け、気相相互作用のリスクを最小化し、表面反応への堆積反応を制限する。当業者であれば、介入的な除去(例えば、パージ)工程の持続時間を最小化するために、残留ガスとの幾らかの相互作用が許容されることを認識するであろう。反応空間への反応物質の供給における重複を回避することは、典型的には気相反応を十分に減少させ、介入的なパージとともに流路を最適化することで、残留ガス相互作用をさらに最小化することができる。所望の材料の厚さが形成されると、選択的堆積プロセスがブロック110で完了し、ここで材料は第一の表面上で選択的に獲得され、厚さが減らされたパッシベーション層は第二の表面上で獲得される。反応物質は、堆積された膜内に一つ以上の要素を残す前駆体とすることができる。一部の実施形態において、一つ以上の反応物質は、堆積した材料の生成物を化学的に低減、酸化または除去する役割を果たすことができる。
図1Aと同様に、図1Bは、パッシベーション層の厚さを減らす一方で、第一の表面上の材料の選択的堆積を図示する流れ図200の例であるが、図1Bは、第二の表面上にパッシベーション層を選択的に形成することを含む。図1Aに関して考察した同一または類似の特徴または機能のいずれも、図1Bの同一または類似の特徴または機能に適用されうることが理解されるべきである。図示した第一のブロック202において、パッシベーション層は、第一の表面と相対的に基材の第二の表面上に選択的に形成される。ブロック204において、基材は第一の反応物質と接触し、ブロック206において、基材は第二の反応物質と接触する。図示した決定ブロック208において、十分に厚い材料が形成されてもよく、従ってブロック212に示される通り、材料は厚さが減らされたパッシベーション層を有する第一の表面上で選択的に取得される。十分に厚い材料がまだ形成されていない場合、およびパッシベーション層が反応物質への暴露によって完全に消費されるリスクがない場合、決定ブロック210は、基材がブロック204および206において第一および第二の反応物質に繰り返しかつ交互に曝露されうることを図示する。あるいは、パッシベーション層が反応物質への曝露によって完全に消費されるリスクがある場合、決定ブロック210は、図示された第一のブロック202が繰り返えされうることを図示し、ここでパッシベーション層は基材がブロック204および206において第一および第二の反応物質に曝露される前に、第一の表面と相対的に基材の第二の表面上に選択的に形成される。
一部の実施形態において、周期的堆積プロセスは原子層堆積(ALD)プロセスである。一部の実施形態において、周期的堆積プロセスは周期的化学蒸着(CVD)である。一部の実施形態において、パッシベーション層は、第二の表面(例えば、金属表面)上に以前に堆積されていた。一部の実施形態において、パッシベーション層は、周期的堆積プロセス中に部分的に除去される。ALDプロセス中に、例えばパッシベーション層はALD段階中に、エッチングによってなど、ゆっくりと除去されてもよい。例えば、有機(例えば、ポリマー)パッシベーション層、エッチング(例えば、アッシング)は、酸化剤が供給される堆積段階中に実施でき、その一方で同時に誘電体膜は誘電体表面上に堆積される。別の実施例において、パッシベーション層は、ALDプロセスにおける第二の反応物質への基材の曝露中に酸化物材料が誘電体表面上に堆積されるのと同時に除去される。パッシベーション層のゆっくりとしたエッチングは、パッシベーション層上および金属上の誘電体の堆積を防止しうる。
一部の実施形態において、ALDプロセスはプラズマ増強原子層堆積プロセス(PEALD)であってもよい。一部の実施形態において、酸素を含有する反応物質から、より反応性の高い種を生成するために、プラズマ動力が提供される。一部の実施形態において、酸素を含有する反応物質はOガスを含み、これはプラズマ生成力に依存する。一部の実施形態において、プラズマは、堆積チャンバーおよび堆積チャンバーに供給されるプラズマ生成物から遠隔で生成されてもよい。一部の遠隔プラズマ実施形態において、送達経路は、基材へのイオン送達を最小化する一方で、中性O種の送達を最適化する。一部の実施形態において、プラズマは堆積チャンバー内の原位置で生成されてもよい。
一部の実施形態において、基材の第一の表面は誘電体表面を備える。一部の実施形態において、基材の誘電体表面は、酸化ケイ素(例えば、SiO)を含む。一部の実施形態において、基材の誘電体表面はlow-k材料を含む。
一部の実施形態において、第二の表面は金属表面を備える。別途示されない限り、本明細書で表面が金属表面と称される場合、表面は金属表面または金属性表面でありうる。一部の実施形態において、金属表面または金属性表面は、金属、金属酸化物および/またはそれらの混合物を備えてもよい。一部の実施形態において、金属表面または金属性表面は、表面酸化を備えてもよい。一部の実施形態において、金属表面または金属性表面の金属材料または金属性材料は、表面酸化の有無にかかわらず導電性である。一部の実施形態において、金属表面または金属性表面は一つ以上の遷移金属を備える。一部の実施形態において、金属表面または金属性表面はAl、Cu、Co、Ni、W、Nb、Feのうちの一つ以上を備える。一部の実施形態において、金属表面または金属製表面はCo、CuまたはWのうちの少なくとも一つを含む。一部の実施形態において、金属または金属性表面は、Ruなどの一つ以上の貴金属を含む。一部の実施形態において、金属表面または金属性表面は、導電性金属酸化物、窒化物、炭化物、ホウ化物またはそれらの組み合わせを備える。例えば、金属表面または金属製表面は、RuO、NbC、NbB、NiO、CoO、NbOおよびWNCのうちの一つ以上を含みうる。一部の実施形態において、基材は金属窒化物(TiNおよび/またはTaNを含むがこれらに限定されない)を含みうる。一部の実施形態において、金属表面は金属炭化物(TiCおよび/またはTaCを含むがこれらに限定されない)を含みうる。一部の実施形態において、金属表面は金属カルコゲン化物(MoS、SbTe、および/またはGeTeを含むがこれらに限定されない)を含みうる。一部の実施形態において、金属表面はTiN表面である。一部の実施形態において、金属表面はW表面である。
選択性
選択性は、[(第一の表面上の堆積)-(第二の表面上の堆積)]/(第一の表面上の堆積)によって計算される百分率として示されることができる。堆積は様々な手段のいずれでも測定されうる。一部の実施形態において、堆積は堆積した材料の測定された厚さとして示されてもよい。一部の実施形態において、堆積は堆積した材料の測定量として示されてもよい。
一部の実施形態において、選択性は約10%より大きく、約50%より大きく、約75%より大きく、約85%より大きく、約90%より大きく、約93%より大きく、約95%より大きく、約98%より大きく、約99%より大きく、またはさらには約99.5%より大きい。本明細書に記載の実施形態において、選択性は堆積の持続時間または厚さによって変化し得る。
一部の実施形態において、酸化物などの誘電体の堆積は、第一の誘電体表面上でのみ発生し、第二の金属表面上のパッシベーション層上では発生しない。一部の実施形態において、パッシベーション層と相対的な基材の第一の表面上の堆積は、少なくとも選択性約80%であり、幾つかの特定の用途にとって十分に選択的でありうる。一部の実施形態において、パッシベーション層と相対的な基材の第一の表面上の堆積は、少なくとも選択性約50%であり、幾つかの特定の用途にとって十分に選択的でありうる。一部の実施形態において、パッシベーション層と相対的な基材の第一の表面上の堆積は、少なくとも選択性約10%であり、幾つかの特定の用途にとって十分に選択的でありうる。
一部の実施形態において、パッシベーション層は、誘電体表面上での誘電材料の選択的堆積の前に、金属表面上に選択的に形成されてもよい。例えば、一部の実施形態において、パッシベーション層は、パターン付き基材上に堆積されたブランケットであってもよく、従来のプロセスによってパターン化およびエッチングされて、その後の堆積が回避される場所(金属表面の上など)にパッシベーション層が選択的に残る。他の実施形態において、パッシベーション層は金属層上に選択的に堆積されてもよい。パッシベーション層の選択的堆積は、例えば以下に記載の通りに、および米国特許公開第2017-0352533 A1(出願番号15/170,769)または米国特許公開第2017-0352550 A1(出願番号15/486,124)に記載の通りに実施され、それぞれの開示全体が参照により本明細書に組み込まれる。
上述の通り、金属表面上のパッシベーション層の選択的形成は、100%の選択性を達成するために100%選択的である必要はない。例えば、パッシベーション層堆積は、誘電体表面上よりも金属表面上に、より厚く形成されるように、部分的に選択的であってもよい。後続の短い、時間設定されたパッシベーション材料のエッチングは、金属表面を覆う一部のパッシベーション層を残しながら、誘電体表面を露出するための持続時間にわたって実施されてもよい。
ALDプロセス
一部の実施形態によると、誘電体膜は、酸素ベースのALDプロセスで基材の第一の表面上に堆積される。一部の実施形態において、堆積された誘電体膜は、例えば酸化ケイ素(例えば、SiO)、またはPEALDプロセスで成長させうるその他の金属酸化物であってもよい。一部の実施形態において、堆積された誘電体膜はSiO、TiO、ZrO、HfO、Nb、Ta、WO、NiOおよびその組み合わせを含むことができる。基材の第二の表面は、パッシベーション層によって覆われてもよい。一部の実施形態において、パッシベーション層は有機層である。有機パッシベーション層は、ポリイミドまたはポリアミドなどのポリマーであってもよい。
一部の実施形態において、酸化物材料膜は、酸素ベースのALDプロセスで基材の第一の表面上に堆積される。一部の実施形態において、堆積した酸化物材料膜は、導電性酸化物膜であってもよい。例えば、一部の実施形態において、導電性酸化物膜は、酸化インジウムスズ(ITO)膜である。一部の実施形態において、堆積した酸化物材料膜は、本明細書に記載の通り、誘電体膜であってもよい。
一部の実施形態において、パッシベーション層は、そこへの酸化物材料膜および/または誘電体膜の堆積を阻害する。しかしながら、一部の実施形態において、パッシベーション層は酸化物材料膜または誘電体膜の堆積を阻害せず、すなわち酸化物材料膜または誘電体膜の化学反応は、下にある誘電体基材表面とパッシベーション層との間で選択的ではない場合がある。これは、ALDプロセス中のパッシベーション層の幾つかの除去を確実にするために条件が選択され、従ってパッシベーション層上の酸化物材料膜または誘電体膜の任意の堆積を減少させて除去するという事実のためである。パッシベーション層は犠牲的であるため、パッシベーション層は複数の周期的堆積段階のうちの一つの段階中にゆっくりと除去(例えば、エッチング)される。例えば、ALDシーケンスにおいて、有機(例えば、ポリマー)パッシベーション層は、ALDシーケンスの酸化相によってゆっくりとアッシングされてもよく、これは酸化物材料膜またはパッシベーション層上の誘電体膜の成長を防ぐ。別の実施例において、ALDシーケンスにおいて、パッシベーション層はALDプロセスにおける第二の反応物質への基材の曝露中に除去され、これはパッシベーション層上の酸化物材料膜または誘電体膜の成長を防ぐ。パッシベーション層の上の成長と相対的に、酸化物材料膜または誘電体膜は通常(非選択的に、または低い選択性で)、誘電体表面上に堆積される。酸化物材料膜または誘電材料が誘電体表面上に通常的にまたは選択的に堆積されるかどうかにかかわらず、ALDシーケンスの酸化相によって犠牲パッシベーション層をゆっくりとエッチング(例えば、アッシング)することは、パッシベーション層と相対的に誘電体基材上に酸化物材料膜または誘電材料を選択的に形成する終端効果を有する。従って、図1Aまたは図1Bのプロセスを使用して、酸化物材料膜または誘電体膜の形成の選択性を効果的に増大することができる。
一部の実施形態において、酸化物材料膜または誘電体膜の堆積が行われる前に、しかしパッシベーション層が形成された後に、誘電体表面上に残留する任意のパッシベーション層は、適切なパラメータを有するプラズマ前処理で除去することができる。一部の実施形態において、誘電体表面上からの任意のパッシベーション材料を除去する別個のプロセスではなく、誘電体表面上に残っている任意のパッシベーション層は、酸化相を含むALDプロセスの初期の段階によって、または完全なALDプロセスを開始する前にALDプロセスの酸化相を単に実施することによって除去され、ここで誘電体上のより薄いパッシベーション層が完全にアッシングされた後で、酸化物材料膜または誘電体膜堆積プロセスが開始される。従って、ALDシーケンスは第二の反応物質(酸化剤を含む)で開始し、第一の表面上への選択的堆積の前に、第二の表面からの望ましくないパッシベーション材料をすべて除去することなく、第一の表面からの望ましくないパッシベーション材料の短い時間設定されたエッチングに役立つことができる。
一部の実施形態において、ALDプロセスの酸化相は、PEALDシーケンスのプラズマプロセスである。一部の実施形態において、プラズマは酸素系である。例えば、プラズマはOガスまたはOと希ガス(Arなど)の混合物で生成されうる。一部の実施形態において、プラズマは、酸素を含むガスで生成されてもよく、または他の方法で励起酸素種を含んでもよい。一部の実施形態において、ALDプロセスの酸化相は、非プラズマ酸化プロセス(例えば、HOまたはO)である。
一部の実施形態において、プラズマ(例えば酸素含有プラズマ)は一部の実施形態で約10W~約2000W、約50W~約1000W、約100W~約500W、約30W~100W、または約100WのRF出力を適用することによって生成されうる。一部の実施形態において、RF出力密度は約0.02W/cm~約2.0W/cm、または約0.05W/cm~約1.5W/cmとしうる。RF電力は、プラズマ接触時間中に流れる反応物質に適用されてもよく、これは反応チャンバーを通して連続的に流れ、および/または遠隔プラズマ発生器を通して流れる。従って、一部の実施形態において、プラズマは原位置で生成され、その一方、その他の実施形態において、プラズマは遠隔で生成される。一部の実施形態において、シャワーヘッド反応器が利用され、プラズマはサセプタ(その一番上に基材が位置する)とシャワーヘッドプレートとの間で原位置で生成される。一部の実施形態において、サセプタとシャワーヘッドプレートの間のギャップは、約0.1cm~約20cm、または約0.5cm~約5cm、または約0.8cm~約3.0cmである。
パッシベーション層が完全に除去される前に誘電体表面上に形成されうる酸化物膜の厚さは、パッシベーション層の初期厚さ、パッシベーション層のアッシング速度、および酸化物堆積プロセスの成長速度に依存する。例えば図2は、約0.2Å/サイクルのアッシング速度、金属表面上の20nm犠牲ポリイミド層、サイクル当たりの成長(GPC)1Å/サイクルでは、50nmのSiOが、酸素ベースのPEALDプロセスを500サイクル適用することによって、誘電体表面上に堆積されうることを示す。図2は、アルゴンが700sccmで供給され、Oが100sccmで供給され、圧力が2 Torrに保たれ、プラズマ電力が100Wに設定され、基材温度が100℃に維持され、各酸化相が1秒のOプラズマおよび1秒のパージを含む酸化相に曝露された時のポリイミドのアッシング速度を示す。PEALDは、上記の酸化相の一つの相をケイ素前駆体およびパージの供給と交互に含み、ここでケイ素前駆体は誘電体または成長酸化ケイ素膜上での吸着のために、および酸化相と反応して酸化ケイ素を形成するために選択される。他の実施形態において、酸化相は、一つ以上の金属前駆体相および付随するパージ相の供給と交互にすることができ、ここで金属前駆体は誘電体表面または成長金属酸化物膜上に吸着するために、および酸化相と反応して所望の酸化物を形成するために選択される。
一部の実施形態において、犠牲パッシベーション層のエッチング速度の最適化は、酸化物材料膜または誘電体膜の成長がALDプロセス中にパッシベーション層上に正味の堆積をもたらさないように調整することができる。一部の実施形態において、パッシベーション層上への堆積のための潜伏時間は、所望の酸化物層の厚さが誘電体表面上に堆積されるのに十分な長さである。一部の実施形態において、別のパッシベーション膜層をさらに堆積することなく(すなわち、初期パッシベーション層を完全に消費することなく)、ALDプロセスを用いて十分に厚い酸化物膜が誘電体表面上に堆積されうるように、十分な厚さのパッシベーション層が金属表面上に形成される。
一部の実施形態において、選択的パッシベーション層堆積および選択的ALDプロセスは、例えば図1Bに記載のプロセスを使用して、反復的に実施される。この反復プロセスは、ALDプロセスが実施された後にパッシベーション層の厚さが補充されることを可能にでき、従ってその後のALDプロセスが実施されることを可能にする。例えば、パッシベーション層が100サイクル離れてアッシングされる場合、またはパッシベーション層での潜伏期が、堆積される酸化物が減少によって除去されうるよりも速くパッシベーション層上に形成され始める前の100サイクルである場合、第一のALDプロセスの90サイクルが酸化物を誘電体表面上に選択的に堆積するために実施されてもよく、以前のパッシベーション層の上にその後のパッシベーション層が実施されてもよく、またALDプロセスの第二の90サイクルが実施されてもよい。一部の実施形態において、この反復プロセスは、誘電体表面上に所望の酸化物層の厚さを得るために望ましい回数だけ繰り返されうる。必要とされる反復プロセスの回数は、例えば所望の堆積した酸化物の厚さ、パッシベーション層の厚さ、およびパッシベーション層のアッシング速度または潜伏期間などの多数の要因に応じて変化することを当業者は認識するであろう。
一部の実施形態において、PEALD堆積は本質的に、上述の通りに実施されうる。他の実施形態において、基材は、金属またはケイ素などの堆積した材料に含まれる要素を含む第一の反応物質と、酸素を含む第二の反応物質と、第二のプラズマ反応物質とを交互にかつ連続的に接触させる。一部の実施形態において、第二のプラズマ反応物質は酸素種を含まない。一部の実施形態において、酸素種を含む反応物質は、第二の反応物質以外で使用されない。プラズマおよび前駆体(すなわち、第一および第二の反応物質)は、過剰な反応物質および反応副産物(ある場合)が反応空間から除去される除去プロセス(例えば、パージ)によって分離されるパルスで提供されうる。一部の実施形態において、PEALD堆積プロセスはプラズマパルスで始まり、前駆体がこれに続き、および反応シーケンス、または堆積サイクルは、所望の回数(A)だけ繰り返されうる。
A×(プラズマパルス/パージ/前駆体/パージ)
一部の実施形態において、堆積サイクルは非プラズマ前駆体で始まり、次いでプラズマパルスがこれに続く。
一部の実施形態によると、利用されるPEALDプロセスは、任意の適切な酸素系プラズマプロセスであってもよい。一部の実施形態において、堆積された誘電体膜は酸化物膜である。一部の実施形態において、堆積された誘電体膜は金属酸化物膜である。一部の実施形態において、堆積された誘電体膜は、SiO、TiO、ZrO、HfO、Nb、Ta、WOおよびNiOから成る群から選択されてもよい。一部の実施形態において、堆積された誘電体膜は酸化ケイ素である。一部の実施形態において、酸化物前駆体は、酸化ケイ素膜を堆積するために使用されるアルキルアミノシランである。
一部の実施形態において、酸化物膜は、酸素ベースのPEALDプロセスによって、基材の第二の異なる金属表面または金属製表面と相対的に基材の第一の誘電体表面上に選択的に形成される。例えば、酸化ケイ素は、金属表面と相対的にlow-k誘電体表面(例えば、酸化ケイ素ベースの表面)上に酸素ベースのPEALDによって選択的に堆積されてもよい。
図3は一部の実施形態の概略図を示し、ここで基材302は、第一の構造304の第一の表面(例えば、誘電体層の誘電体表面)と、異なる材料組成を有する第二の構造306の第二の表面(例えば、コバルト、銅またはタングステンの表面などの金属層の金属表面)とを含み、第一の厚み(例えば、20nmのポリイミド有機層)を有する初期パッシベーション層308Aをさらに含み、ここで材料312は、第二の表面と相対的に第一の表面上に選択的に堆積される(上にある初期パッシベーション層308Aと相対的に選択的に堆積されることによる)。一部の実施形態において、選択的に堆積した材料312は酸化物材料である。一部の実施形態において、酸化物材料は誘電材料である。本明細書に記載の実施例において、誘電体酸化物は酸化ケイ素の膜である。図3で分かる通り、1サイクル以上の選択的堆積プロセス310(例えば、500サイクルの酸素ベースのPEALD酸化ケイ素堆積プロセス)の後、材料312(例えば、50nmのSiO)は第一の構造304の第一の表面上に堆積され、残りのパッシベーション層308Bは第二の厚さ(例えば、10nmのポリイミド有機層)まで減少している。一部の実施形態において、選択的堆積プロセスは、初期パッシベーション層のすべてが除去される前に停止する。一部の実施形態において、選択的堆積プロセスが完了した後、残りのパッシベーション層308Bの減らされた厚さはその後、(例えば、アッシングによって)堆積なしに除去されて、第二の構造306の第二の表面を露出させうる。
一部の実施形態において、開示されたPEALDプロセスは、酸化ケイ素または誘電体表面上の他の酸化物の選択的形成を達成することができる。一部の実施形態において、開示されたPEALDプロセスは、従来のパターン形成プロセスと相対的に、様々な装置製造プロセスフローにおいて所望のパターンを形成する工程数の低減を達成することができる。
当業者であれば、本発明の精神から逸脱することなく、多くの様々な変更が可能であることを理解するであろう。記載の特徴、構造、特性および前駆体は、任意の適切な方法で組み合わせることができる 従って、本発明の形態は例示的なものにすぎず、本発明の範囲を限定するものではないことは明らかである。添付の特許請求の範囲によって規定された通り、すべての修正および変更は本発明の範囲内に入ることが意図される。

Claims (29)

  1. パターン付き基材の第一の表面上に誘電材料を選択的に形成するための原子層堆積(ALD)プロセスであって、
    第一の表面および第二の表面を備える基材を提供することであって、前記第二の表面がその上にパッシベーション層を含む、提供することと、
    前記基材を第一の前駆体と、酸素を含む第二の反応物質とに交互にかつ連続的に接触させることを含む少なくとも一つの堆積サイクルを実施することとを含み、
    前記第二の反応物質が前記第一の前駆体と反応して前記第一の表面上に誘電材料を形成し、
    前記パッシベーション層が、各堆積サイクル中に前記第二の反応物質によってアッシングされる、方法。
  2. 前記第一の表面が誘電体表面である、請求項1に記載の方法。
  3. 前記誘電体表面が酸化ケイ素を含む、請求項2に記載の方法。
  4. 前記第一の表面がlow-k材料を含む、請求項1に記載の方法。
  5. 前記第二の表面が金属表面である、請求項1に記載の方法。
  6. 前記金属表面がCo、CuまたはWのうちの少なくとも一つを含む、請求項5に記載の方法。
  7. 前記誘電材料が酸化物である、請求項1に記載の方法。
  8. 前記酸化物が酸化ケイ素である、請求項7に記載の方法。
  9. 前記酸化物が金属酸化物である、請求項7に記載の方法。
  10. 前記第一の前駆体が、金属前駆体、ケイ素前駆体、またはそれらの混合物を含む、請求項1に記載の方法。
  11. 前記第一の前駆体がアルキルアミノシランである、請求項1に記載の方法。
  12. 前記パッシベーション層が有機材料を含む、請求項1に記載の方法。
  13. 前記パッシベーション層が第一の堆積サイクルの開始前に、前記第一の表面と相対的に前記第二の表面上に選択的に堆積される、請求項1に記載の方法。
  14. 前記堆積サイクルが複数回繰り返されて、前記第一の表面上に所望の厚さの酸化物膜を形成する、請求項1に記載の方法。
  15. 各堆積サイクルの開始と終了の間の前記パッシベーション層への追加的パッシベーション層の選択的堆積をさらに含む、請求項14に記載の方法。
  16. 前記原子層堆積プロセスがプラズマ増強原子層堆積(PEALD)プロセスである、請求項1に記載のプロセス。
  17. 前記少なくとも一つの堆積サイクルが、前記第一の前駆体と接触する前に前記基材を前記第二の反応物質と接触させることで始まる、請求項1に記載の方法。
  18. 前記少なくとも一つの堆積サイクルが、前記基材を各サイクルにおいて少なくとも一つの追加的反応物質と接触させることをさらに含む、請求項1に記載の方法。
  19. 前記基材を前記第二の反応物質と接触させることが、前記第二の反応物質をプラズマで起動することをさらに含む、請求項1に記載の方法。
  20. 前記誘電材料が、前記パッシベーション層と相対的に前記第一の表面上に選択的に形成される、請求項1に記載の方法。
  21. 前記誘電材料が前記パッシベーション層上に形成され、前記誘電材料が前記パッシベーション層の前記アッシングで前記パッシベーション層から除去され、それによって前記第一の表面上に前記誘電材料を選択的に形成する、請求項1に記載の方法。
  22. パターン付き基材の表面上に材料を選択的に形成するための周期的堆積プロセスであって、
    第一の表面および第二の表面を備える基材を提供することであって、前記第二の表面がその上にパッシベーション層を含かつ前記パッシベーション層が有機層を含む、提供することと、
    前記基材を第一の前駆体と第二の反応物質とに交互にかつ連続的に接触させることを含む少なくとも一つの堆積サイクルを実施することであって、前記第二の反応物質が酸素を含む、実施することとを含み、
    前記第二の反応物質が前記第一の前駆体と反応して前記第一の表面上に前記材料を形成し、かつ
    前記パッシベーション層が、各堆積サイクル中に前記第二の反応物質によってエッチングされ、かつエッチングがアッシングを含む、
    周期的堆積プロセス。
  23. 前記プロセスが原子層堆積(ALD)を含む、請求項22に記載の周期的堆積プロセス。
  24. 前記プロセスがプラズマ増強ALD(PEALD)を含む、請求項23に記載の周期的堆積プロセス。
  25. 前記第二の反応物質が、さらにプラズマを含む、請求項22に記載の周期的堆積プロセス。
  26. 前記パッシベーション層がポリマーを含む、請求項22に記載の周期的堆積プロセス。
  27. 前記パッシベーション層の前記エッチングによって前記第二の表面が露出される前に堆積が停止される、請求項22に記載の周期的堆積プロセス。
  28. 前記堆積を停止後、および前記堆積を継続する前に、前記第二の表面上に追加的なパッシベーション層をさらに堆積させることをさらに含む、請求項27に記載の周期的堆積プロセス。
  29. パターン付き基材の第一の誘電体表面上に酸化物材料を選択的に形成するためのプラズマ増強原子層堆積(PEALD)プロセスであって、
    第一の誘電体表面および第二の金属性表面を備える基材を提供することであって、前記第二の金属性表面がその上に有機パッシベーション層を含む、提供することと、
    前記基材を第一の前駆体と、酸素およびプラズマを含む第二の反応物質とに交互にかつ連続的に接触させることを含む少なくとも一つの堆積サイクルを実施することとを含み、
    前記第二の反応物質が前記第一の前駆体と反応して前記第一の誘電体表面上に酸化物材料を形成し、
    前記有機パッシベーション層が、各堆積サイクル中に前記第二の反応物質によってアッシングされる、方法。
JP2019086534A 2018-05-02 2019-04-26 堆積および除去を使用した選択的層形成 Active JP7146690B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022149800A JP7240549B2 (ja) 2018-05-02 2022-09-21 堆積および除去を使用した選択的層形成

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862666039P 2018-05-02 2018-05-02
US62/666,039 2018-05-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022149800A Division JP7240549B2 (ja) 2018-05-02 2022-09-21 堆積および除去を使用した選択的層形成

Publications (3)

Publication Number Publication Date
JP2019195059A JP2019195059A (ja) 2019-11-07
JP2019195059A5 JP2019195059A5 (ja) 2022-04-08
JP7146690B2 true JP7146690B2 (ja) 2022-10-04

Family

ID=68383895

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2019086534A Active JP7146690B2 (ja) 2018-05-02 2019-04-26 堆積および除去を使用した選択的層形成
JP2022149800A Active JP7240549B2 (ja) 2018-05-02 2022-09-21 堆積および除去を使用した選択的層形成
JP2023031061A Pending JP2023065574A (ja) 2018-05-02 2023-03-01 堆積および除去を使用した選択的層形成

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2022149800A Active JP7240549B2 (ja) 2018-05-02 2022-09-21 堆積および除去を使用した選択的層形成
JP2023031061A Pending JP2023065574A (ja) 2018-05-02 2023-03-01 堆積および除去を使用した選択的層形成

Country Status (5)

Country Link
US (3) US10872765B2 (ja)
JP (3) JP7146690B2 (ja)
KR (2) KR102521375B1 (ja)
CN (2) CN110444476B (ja)
TW (3) TWI773897B (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
TW202208665A (zh) 2020-04-24 2022-03-01 荷蘭商Asm Ip私人控股有限公司 用於填充半導體基板上之三維結構中的間隙之方法
KR20210158811A (ko) 2020-06-24 2021-12-31 에이에스엠 아이피 홀딩 비.브이. 유기 재료의 영역 선택적 제거
WO2022054216A1 (ja) * 2020-09-10 2022-03-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
US11621161B2 (en) * 2020-10-27 2023-04-04 Applied Materials, Inc. Selective deposition of a passivation film on a metal surface
JP2022135709A (ja) * 2021-03-05 2022-09-15 東京エレクトロン株式会社 成膜方法及び成膜装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016086145A (ja) 2014-10-29 2016-05-19 東京エレクトロン株式会社 選択成長方法および基板処理装置
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積
JP2020520126A (ja) 2017-05-16 2020-07-02 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald

Family Cites Families (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
DE60137791D1 (de) 2000-11-30 2009-04-09 Asm Int Dünnfilme für magnetische vorrichtungen
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR102220703B1 (ko) 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
JP2004281479A (ja) 2003-03-13 2004-10-07 Rikogaku Shinkokai 薄膜形成方法
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
KR20070089197A (ko) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US8084087B2 (en) 2007-02-14 2011-12-27 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20150139628A (ko) 2007-09-14 2015-12-11 시그마 알드리치 컴퍼니 엘엘씨 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
CN101883877A (zh) 2007-11-06 2010-11-10 Hcf合伙人股份两合公司 原子层沉积法
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009297A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
TW201027766A (en) 2008-08-27 2010-07-16 Applied Materials Inc Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
EP2361445A4 (en) 2008-12-01 2012-07-04 Du Pont ANODE FOR AN ORGANIC ELECTRONIC DEVICE
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
JP2010232316A (ja) 2009-03-26 2010-10-14 Fujifilm Corp 酸化亜鉛系半導体薄膜の成膜方法、及び成膜装置
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
MX2012001115A (es) 2009-07-31 2012-03-21 Akzo Nobel Chemicals Int Bv Proceso para la preparacion de un sustrato recubierto, el sustrato recubierto, y uso del mismo.
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US8716130B2 (en) 2010-07-01 2014-05-06 Tokyo Electron Limited Method of manufacturing semiconductor device
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
CN103582932B (zh) 2011-06-03 2017-01-18 诺发系统公司 用于互连的包含金属和硅的盖层
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
DE112013007031B4 (de) 2013-06-28 2022-02-24 Intel Corporation Auf selektiv epitaktisch gezüchteten III-V-Materialien basierende Vorrichtungen
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
RU2670802C9 (ru) 2013-09-20 2018-11-26 Бейкер Хьюз Инкорпорейтед Композитные материалы для применения при интенсификации добычи нефти и контроле поступления песка в скважину
US9530733B2 (en) 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
MY182653A (en) 2013-12-19 2021-01-27 Intel Corp Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) * 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
KR20160137977A (ko) 2014-03-28 2016-12-02 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
TWI627192B (zh) 2015-03-13 2018-06-21 村田製作所股份有限公司 Atomic layer deposition inhibiting material
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
KR20220167338A (ko) 2015-06-18 2022-12-20 타호 리서치 리미티드 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US10316406B2 (en) * 2015-10-21 2019-06-11 Ultratech, Inc. Methods of forming an ALD-inhibiting layer using a self-assembled monolayer
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US9754779B1 (en) * 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
KR101822577B1 (ko) 2017-10-31 2018-03-08 나정균 분리배출이 용이한 친환경 아이스팩
JP7146690B2 (ja) * 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016086145A (ja) 2014-10-29 2016-05-19 東京エレクトロン株式会社 選択成長方法および基板処理装置
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積
JP2020520126A (ja) 2017-05-16 2020-07-02 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald

Also Published As

Publication number Publication date
US10872765B2 (en) 2020-12-22
US20230016537A1 (en) 2023-01-19
TW202307245A (zh) 2023-02-16
US20190341245A1 (en) 2019-11-07
TWI783909B (zh) 2022-11-11
CN110444476B (zh) 2022-04-19
CN114678271A (zh) 2022-06-28
TW201947054A (zh) 2019-12-16
KR102521375B1 (ko) 2023-04-14
KR20190127578A (ko) 2019-11-13
JP7240549B2 (ja) 2023-03-15
TWI773897B (zh) 2022-08-11
US11804373B2 (en) 2023-10-31
JP2022177198A (ja) 2022-11-30
US11501966B2 (en) 2022-11-15
US20210118669A1 (en) 2021-04-22
KR20230051653A (ko) 2023-04-18
JP2019195059A (ja) 2019-11-07
TW202242172A (zh) 2022-11-01
JP2023065574A (ja) 2023-05-12
CN110444476A (zh) 2019-11-12
TWI820967B (zh) 2023-11-01

Similar Documents

Publication Publication Date Title
JP7240549B2 (ja) 堆積および除去を使用した選択的層形成
JP7350920B2 (ja) 有機膜の堆積
TWI488990B (zh) 使用鋁烴化合物之金屬碳化物膜的原子層沈積
JP7373636B2 (ja) 選択的パッシベーションおよび選択的堆積
US8945305B2 (en) Methods of selectively forming a material using parylene coating
KR101379015B1 (ko) 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
TW202026461A (zh) 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統
JP2019195059A5 (ja)
TW201928098A (zh) 基材上選擇性沉積金屬薄膜之方法
TW202407132A (zh) 包含貴金屬之材料的選擇性沉積
CN117248191A (zh) 包含贵金属的材料的选择性沉积

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220331

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220331

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20220331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220419

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220629

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220823

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220921

R150 Certificate of patent or registration of utility model

Ref document number: 7146690

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150