JP4921652B2 - イットリウム酸化物およびランタン酸化物薄膜を堆積する方法 - Google Patents

イットリウム酸化物およびランタン酸化物薄膜を堆積する方法 Download PDF

Info

Publication number
JP4921652B2
JP4921652B2 JP2001236874A JP2001236874A JP4921652B2 JP 4921652 B2 JP4921652 B2 JP 4921652B2 JP 2001236874 A JP2001236874 A JP 2001236874A JP 2001236874 A JP2001236874 A JP 2001236874A JP 4921652 B2 JP4921652 B2 JP 4921652B2
Authority
JP
Japan
Prior art keywords
deposition
source material
thin film
cpme
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001236874A
Other languages
English (en)
Other versions
JP2003055093A (ja
Inventor
ニイニスト ジャーッコ
プトコネン マッティ
リタラ ミッコ
ライサネン ペトリ
ニスカネン アンッティ
レスケラ マルック
Original Assignee
エイエスエム インターナショナル エヌ.ヴェー.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エイエスエム インターナショナル エヌ.ヴェー. filed Critical エイエスエム インターナショナル エヌ.ヴェー.
Priority to JP2001236874A priority Critical patent/JP4921652B2/ja
Priority to US10/067,634 priority patent/US6858546B2/en
Publication of JP2003055093A publication Critical patent/JP2003055093A/ja
Priority to US11/024,515 priority patent/US7498272B2/en
Application granted granted Critical
Publication of JP4921652B2 publication Critical patent/JP4921652B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Formation Of Insulating Films (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、ランタニド酸化物薄膜を堆積させる方法に関する。詳細には本発明は、酸化イットリウム薄膜および酸化ランタン薄膜を、原子層エピタキシ(以下、ALEと呼ぶ)によって成長させる方法に関する。
【従来の技術】
【0002】
酸化イットリウムおよび酸化ランタンは、特に半導体工業の観点から見た場合、興味ある薄膜材料である。Y薄膜が数多くの種々のプロセスによって生成されてきたのに対し、Laに関する研究は著しく少ない。種々の方法によるY薄膜およびLa薄膜の生成とその用途については文献中に概説されている。これらの薄膜の生成方法は、物理的プロセスと、もう一方の化学的プロセスとに大きく分けられるが、これは気相方法と液相方法の両方を含むものである。
【0003】
は、2330℃まで結晶安定性があり、機械的強度が大きく、誘電率および屈折率が高いといった物理的性質を有することから、Y薄膜には多くの種々の適用目的がある(Gabordiaud, R.J. et al., Appl.Phys. A 71(2000)675-680)。エレクトロニクスの適用例から見た特に興味深い特徴とは、Yの格子定数が、シリコンに対してかなり良好に適合するということである、すなわちa(Y)=10.60Åおよびa(Si) 2=10.86Å(Cho M.H. et al., J.Appl.Phys. 85(1999)2909-291)。
【0004】
薄膜の最も重要な適用例とは、おそらくはその薄膜を、高誘電率を有する代替の格子酸化物材料としてトランジスタに使用することと考えられる。代替の格子酸化物材料の重要性および使用については後により詳細に記述する。この誘電体薄膜の、シリコン技術における別の適用例とは、DRAMメモリ(ダイナミックランダム−アクセス メモリ)である(Kingon et al., Nature 406(2000)1032-1038)。
【0005】
薄膜は、例えば強誘電体や新しい高温超伝導体のバッファ層として使用されてきた。Yは、光学的な適用例においても重要な材料である。例えば、Y薄膜はEL(エレクトロルミネッセンス)ディスプレイの誘電体層として使用され、またY母材をユーロピウムで活性化することによって赤色発光を生成するが、これは例えば蛍光ランプに利用される。Yは、保護被覆として有用であることが示されている。
【0006】
Laを利用するという観点から見ると、興味深い特徴があるにもかかわらず、La薄膜についての可能性ある適用例についてはこれまで少し研究されてきただけである。La薄膜は、光学用および保護用の被覆として使用されてきた。La被覆は、ガス・センサや触媒の適用例にも使用されてきた。しかし、Laは、誘電率が高くシリコンとの適合性があるので、将来は、可能性のある格子酸化物材料である。格子酸化物としてSiOをLaに置き換えることにより、期待の持てる結果が最近報告されている。
【0007】
電子部品のサイズが縮小化し続けていることにより、SiO格子酸化物の性能に厳しい制限が課されるようになった。格子酸化物の厚さは、SiOの量子トンネル接合である10Åに近付いている。代替の解決策とは、誘電率κがSiOの場合の3.9よりも本質的に高い、新しい誘電体材料を見出すことである。この代わりの別の誘電体材料はシリコンに接触するので、1000Kを超える温度でも熱に安定でなければならない。SiOの等価厚さteqは15Å以下でなければならない。SiOの等価厚さは下記の式で定義され、
【数1】
Figure 0004921652
上式で、toxは代替の誘電体材料の実際の厚さであり、κSiO2はSiOの誘電率3.9であり、κoxは代替の誘電体材料の誘電率である。
【0008】
およびLaは、高い誘電率や熱安定性など、多くの興味深い物理的性質を有している。その結果、これらは格子材料に適すると考えられる。
【0009】
原子層エピタキシ(ALE)の原理および適用例について、以下に広範囲にわたって記述する。ほとんどの薄膜堆積方法では、堆積温度がかなり高いので、ALEによって、低い堆積温度を使用するという新たな可能性が開ける。文献ではこれまでのところ、ALE供給源材料としてY(thd)またはその誘導体のみを使用して、Y薄膜を堆積させてきた。
【0010】
原子層エピタキシ(ALE)は、原子層堆積(ALD)または原子層化学気相成長法(ALCVD)の名称でも知られている。ALEに使用されるその他の名称は、デジタル・エピタキシ、すなわちレイヤーバイレイヤー(層ごと)の堆積と、パルスCVDである。しかし、この研究では、この方法のもとの名称である原子層エピタキシを使用する。
【0011】
原子層エピタキシ法では、その原理は、供給源材料を交互にパルス放出させることによって反応器スペース内に送り込むことである。各供給源材料のパルス中、その供給源材料は、反応スペース内に気相として過剰に存在する。物理収着されまたは気相状態のこの過剰な供給源材料は、パルスの合間に不活性ガス流によって一掃される。理想的な場合、ただ1つの原子層またはその特定の部分のみが、基板表面に化学収着される。パルス放出される別の供給源材料は、その後この化学収着された層と反応する。被膜の成長は表面反応によって制御され、したがって供給源材料のパルスの長さは、他のCVD法の場合のように精密に制御する必要がない。
【0012】
理想的な場合、供給源材料の1回のパルス中に単一の原子層が成長するが、実際には、その成長速度は依然として低い。これは、供給源材料のサイズが原因となって、最も一般的な立体障害が生じるからである。
【0013】
ALEタイプのプロセスは、表面反応によって制御される。これらは、温度および流動速度によってしばしば制御することができる。ALE成長は、供給源材料およびパージング・パルスの持続時間に無関係である。適切な温度範囲はALEウィンドウと呼ばれる。ALEウィンドウ外のALE成長を制限するパラメータを、図1に示す(Niinisto et al., Proc.Int.Semicond.Conf. (2000)33-42)。
【0014】
モールザ(Molsa)ら(Adv.Mater.Opt.Electron. 4(1994)389-400)は、供給源材料としてY(thd)および酸素またはオゾンを使用することによって、流動型ALE反応器内でY薄膜を成長させた。この研究の目的は、高温超伝導体被膜用のYバッファ層を生成することであった。また、基板材料、圧力、およびパルス放出時間の影響についても試験されている。基板の温度範囲は425〜600℃になるように選択された。成長速度は約0.8Å/サイクルになるように決定されたが、この成長速度は温度が高くなるにつれて速くなることが観察された。このことは、プトコネン(Putkonen)ら(Chem.Vap.Deposition 7(2001)44-50)の別の研究に対する基本的な出発点になった、いわゆるALEウィンドウが欠如していることを示している。
【0015】
Putkonenらは、出発材料としてY(thd)3−、Y(thd)(ビピリジニル)−、またはY(thd)(1,10−フェナントロリン)化合物を使用し、酸素供給源としてオゾンを使用することにより、200〜425℃の温度範囲でY薄膜をALE堆積させることについて研究した。全ての供給源材料に関し、250〜350℃の温度範囲で0.22〜0.23Å/サイクルという一定の成長速度が観察された。観察された制御下の成長を表すALEウィンドウを、図25に示す。この温度範囲は、Y薄膜のCVD堆積で以前使用された温度よりもかなり低いが、しかしながら成長速度は、依然として実用的ではない低さのままであった。また、水素および炭素の不純物レベルもかなり高かった。図2に、炭素および水素の含有量を、堆積温度の関数として示す。
【0016】
被膜の結晶化度および配向は、堆積温度によって異なっていた。結晶化度は、堆積温度が375℃よりも高くなるにつれて大幅に増大した。堆積温度350℃でSi(100)基板上およびソーダ・ガラス基板上に成長させた被膜は多結晶質であり、(400)および(440)での反射が著しく目立つものであった(図3)。
【0017】
Laを適用することができるにもかかわらず、La薄膜の堆積に関する論文はごくわずかしか文献中に公表されていない。物理的方法としては、電子スプレイ蒸着、示差熱蒸発プロセス、脈動レーザ堆積、および原子スプレイ堆積が使用されてきた。化学的堆積方法の中では、熱分解、CVD、およびALE(Seim H.他、Appl.Surf.Sci.112(1997)243〜250、Seim H.他、J.Mater.Chem.7(1997)449〜454、およびNieminen M.他、Appl.Surf.Sci.、印刷中)が数回利用されてきただけである。
【0018】
Nieminen他は、ランタン供給源としてLa(thd)を使用する、LaのALE堆積について研究した。この研究は、Laの好ましい成長条件、言い換えればすなわちALEウィンドウを見出すためのものであった。温度範囲は180〜425℃が選択された。基板としては、Si(100)およびソーダ・ガラスを使用した。図26に、この被膜の成長速度を温度の関数として示す。La(thd)のパルス放出時間は0.8秒であり、オゾンのパルス放出時間は2秒であった。225〜275℃の温度範囲では、0.36Å/サイクルという一定の成長速度が検出された。したがって、ALEに典型的な自己制御堆積プロセスがこの温度範囲で観察された。被膜のX線回折測定値は、Seim他により提示されたデータと同等であることが示された。この被膜の化学量論および炭素含有量は、TOF−ERDAおよびRBS(ラザフォード後方散乱法)によって決定した。炭素含有量は堆積温度に依存した(図4)。しかし、自己制御成長の範囲では、元素の含有量はLaCOの場合と同等であり、得られる被膜の品質が非常に劣ることを示している。350℃を超える温度で成長させた被膜のIR(赤外線)測定では、水酸基による曲げ振動が観察された。
【0019】
【発明が解決しようとする課題】
本発明の目的は、従来技術の問題を解消し、ALEタイプのプロセスによってランタニド酸化物薄膜を堆積させる新規な方法を提供することである。より詳細には、本発明の目的は、酸化イットリウム薄膜および酸化ランタン薄膜を堆積させるための新しいプロセスを提供することである。
【0020】
【課題を解決するための手段】
本発明は、ランタニド酸化物を堆積させるとき、ランタニドの有機金属シクロペンタジエニル化合物が、水と共にALE供給源材料として首尾よく使用されるという驚くべき発見に基づいている。本発明によれば、イットリウムまたはランタンの気体状シクロペンタジエニル化合物と、水蒸気または酸素およびオゾンの混合物との反応によって、高品質の酸化イットリウム薄膜または酸化ランタン薄膜が制御された状態で成長する。
【0021】
本発明の好ましい実施形態によれば、LaまたはYの気化したシクロペンタジエニル化合物と、水蒸気および/または酸素とオゾンの混合物とを使用するALEタイプのプロセスによって、酸化イットリウム薄膜または酸化ランタン薄膜を成長させる。
より詳細には、本発明の方法は、請求項1の特徴部分に述べられている内容を特徴とする。
【0022】
本発明を用いて、いくつかの重要な利点が実現される。したがって、酸化イットリウム薄膜および酸化ランタン薄膜のかなり速い成長速度が比較的低い堆積温度で実現される。非常に安く安全な酸素供給源、すなわち水を利用することができる。本発明のイットリウムおよびランタン供給源化学物質により、従来使用されてきたthd化合物に比べて5倍も速い成長速度が得られる。さらに、本発明により堆積させた被膜の化学量論、形態、および結晶化度は、thd化合物から成長させた被膜に比べてより良好である。一般に、新しい供給源材料を用いて成長させたY薄膜の平滑度は、Y(thd)を用いて成長させた被膜の平滑度に十分匹敵すると言うことができる。
【0023】
【発明の実施の形態】
本発明を用いることにより、供給源材料としてCpMeYおよび水を使用し、かつ堆積温度が200〜400℃の範囲であるときに(ALEウィンドウ)、シリコン基板上のY薄膜に関して1.25〜1.35Å/サイクルという成長速度が実現される。成長させた被膜の厚さは、堆積サイクルの回数に直線的に依存する。低い堆積温度(200〜300℃)を使用する場合、被膜は多結晶質で、(400)での反射が著しく目立つものであり、一方より高い堆積温度を使用する場合、(222)反射が著しく目立つ。表面の粗さは堆積温度に依存する。形態が最も滑らかな被膜は、250℃よりも低い温度で成長する。化学量論的なY薄膜は、200℃程度に低い温度ですでに調製することができる。薄膜中の、分析された不純物の含有量は少ない。
【0024】
CpY供給源材料を使用することにより得られたシリコン基板上のY薄膜の成長速度は、250〜400℃の堆積温度範囲で1.65〜1.85Å/サイクルである。被膜は多結晶質であり、(222)反射が著しく目立つものである。形態が最も均一な被膜は、250℃よりも低い温度で成長する。
【0025】
新しいCpタイプのALE供給源材料を使用する本発明では、供給源材料としてY(thd)およびオゾンを使用するプロセスに比べて5倍以上速いY膜の成長速度が実現される。さらに、本発明により成長させたY薄膜は、Y(thd)を用いて成長させた被膜に一致するかまたはさらに良好な、化学量論や形態、結晶化度などの多くの性質を有する。
【0026】
本発明によれば、酸化ランタン膜は、165〜175℃という堆積温度でなおすでにCpMeLaから調製することができる。堆積速度は1.8〜1.97Å/サイクルである。成長させた酸化ランタン膜は多結晶質であり、湿気と反応する。それにもかかわらず、この被膜は形態が滑らかであることが観察された。CpLaは、その蒸発温度に非常に近い温度で分解し、したがって、本発明によるCpLaからの酸化ランタン膜の堆積は不可能である。
【0027】
定義
一般に「薄膜」は、基板上に薄膜を生成する方法によって調製された、厚さが1μm以下の薄い被覆または表面材を指す。薄膜は、数多くの種々の適用例に関する技術分野で使用される。一般的な用途の範囲は、特に、マイクロエレクトロニクス用の部品、防食用被覆、オプトエレクトロニクスの適用例、種々のセンサ、および磁気を利用した適用例である。
【0028】
本発明では、「ALEタイプのプロセス」とは、揮発した材料の基板上への堆積が逐次自己飽和表面反応に基づいているプロセスを指す。ALEプロセスの原理は、例えばUS4058430に開示されている。
【0029】
1回の堆積サイクルは、好ましくは本質的に、
不活性キャリア・ガスの助けを借りて、金属供給源化学物質の気相パルスを反応スペースに送り込むこと、
不活性ガスで反応スペースのパージを行うこと、
不活性キャリア・ガスの助けを借りて、酸素供給源化学物質の気相パルスを反応スペースに送り込むこと、および
不活性ガスで反応スペースのパージを行うこと
からなる「サイクル」とも呼ぶ。
【0030】
イットリウムおよびランタンの供給源材料
イットリウム供給源材料として、トリス(シクロペンタジエニル)イットリウム(CpY)およびトリス(メチルシクロペンタジエニル)イットリウム(CpMeY)を使用した。ランタン供給源材料として、トリス(シクロペンタジエニル)ランタン(CpLa)およびトリス(メチルシクロペンタジエニル)ランタン(CpMeLa)を使用した。CpLa以外の使用されるシクロペンタジエニル供給源材料は、Nizhny Novgorodのロシア科学アカデミー(the Russian Science Academy)、Institute of Organometallic Chemistryで調製した。CpLa供給源材料の製造元は、Strem Chemical, Inc.(Newburyport、MA、USA、製品no.57−3000、99.9%La)であった。さらに、比較を行うため、Y(thd)およびLa(thd)も供給源材料として使用した。これらの供給源材料は、EisentrautおよびSievers[111]の合成手順に従って合成し、昇華によって精製した。
【0031】
ALE堆積でY膜を堆積する際に使用されるCpMeYおよびCpYは酸素および湿気と反応し、したがってこのCpMeYとCpYの取扱いは不活性な状態でなされなければならない。図5に、供給源材料の構造を示す。CpMeYの色は黄色であり、CpYは薄い灰色である。CpYの融点は295℃であるが、CpMeYに関するデータは文献に見られない。
【0032】
CpMeLaおよびCpLaの構造は、対応するイットリウム化合物と同様であるが、中心の原子はランタンである。これらの化合物も、空気および湿度の影響を受け易い。CpMeLaの融点は155℃であり、CpLaの融点は395℃である。
【0033】
基板
5x5cmサイズの小片に切断したSi(100)およびソーダ・ガラスを基板として使用した。超音波洗浄機を用い、ソーダ・ガラスおよびシリコンの小片を、イオン交換水で10分間、エタノールで10分間洗浄した(Etax A、Primalco)。
【0034】
堆積させたY薄膜およびLa薄膜の厚さ測定
薄膜の成長速度を決定するにはその被膜の厚さを知らなければならない。被膜の異なる点における厚さが目に見えるほど大きく変化していることが、容易に推定される。そのような場合、薄膜の厚さ勾配が問題であるが、これは、例えば供給源材料の供給が不十分であったり、反応器の漏れや、供給源材料が部分的に分解することによって引き起こされる可能性があるものである。この実験操作では、被膜の厚さを分光光度計、反射率計によって測定し、いくつかの被膜に関してはTOF−ERDA(飛行時間弾性反応検出分析)を用いた。可能な適用例について考えると、シリコン基板上に成長させた被膜が最も重要であるので、それらの被膜についてのみ分析することに焦点を絞った。
【0035】
堆積させたY薄膜およびLa薄膜の結晶化度の決定
薄膜の結晶化度は、Philips PW 1800 X線回折装置を使用するX線回折によって評価した。測定では、NiでフィルタリングしたCuKα線(λ=1.5406Å)を使用した。
【0036】
薄膜およびLa薄膜の表面形態
シリコン基板上に成長させた被膜の一部に関し、原子間力顕微鏡を用いて形態に関する研究を行った。ヘルシンキ工科大学物理学研究室のNanoscopeIII Multimode SPM(Digital instruments Ltd、Santa Barbara、Ca、USA)原子間力顕微鏡を使用した。全ての測定は、オシレーション・ファンクション・モード、すなわちタッピング・ファンクション・モードで行った。原子間力顕微鏡の原理については第7.5.3.1章で述べる。流動方向の前方のSi(100)基板上に成長させた被膜から、面積約1cmのサンプルを測定する被膜から切り取った。初めに、比較的広い走査フィールド(20μm×20μm)を有するサンプルから表面形態を測定し、その後、2μm×2μmの面積について測定した。走査周波数は1〜2Hzであった。データの妥当性は、プローブ・チップを変えることによって、また測定値のチェックを実行することによって、確認を試みた。プローブ・チップとして、長さ125μm、共振周波数200〜400kHz、およびばね定数30〜50N/mの一体型シリコン・インサート(integrated silicon insert)(Nanosensors GmbH、BRD)を使用した。表面粗さの値として根二乗平均(rms)を一般に使用し、このrmsは式(7)から計算した。
【数2】
Figure 0004921652
上式で、Rrms=rms粗さ(nm)
=単一の測定点での高さの値
ave=図に示される高さの値の平均値
n=図の測定点の数
したがって、粗さに関するrms値は、表面の高さのばらつきの平均変動を示す。
【0037】
薄膜およびLa薄膜の化学量論および元素組成
ヘルシンキ大学物理学部のAccelerator Laboratoryで、TOF−ERDA法を用いて4つのY薄膜について元素組成の測定を行った。
【0038】
実験1
CpMeYおよび水からのY薄膜の堆積
エイエスエム マイクロケミストリ製の流動型F−120反応器内で、Y薄膜の堆積を行った。使用した反応器の概略図を図6に示す。反応器には、8個の異なる別個の加熱ブロックが設けられている。第1のブロックでは、供給源材料を蒸発温度で気化し、ブロックごとに温度を上げることによって、供給源材料の蒸気をキャリア・ガス(N)のパルスの助けを借りて基板スペース内に運んだ。この温度勾配により、供給源材料の蒸気はコンジットの壁面に凝縮することができない。供給源材料のパルスは、不活性なパージング・パルス(N)によって、互いに切り離されていた。窒素ガスは、窒素ガス発生器(NitroxUHPN 3000−1)から発生させた。窒素の純度のグレードは>99.999%であった。この堆積の一部で使用した約3.5%のオゾン−酸素混合物は、Fischer Model 502オゾン発生器(Fischer Labor-und Verfahrenstechnik GmbH、Meckenheim/Bonn、BRD)を用いて酸素(AGA、99.999%)から発生させた。堆積は、1〜2ミリバールの圧力で行った。パルスの数や長さ、加熱ブロックの温度などの堆積パラメータは、コンピュータにより制御した。
【0039】
に関する好ましい堆積条件を決定した。CpMeYは空気および湿度に敏感であるので、供給源材料の分析は行わなかった。
CpMeY供給源材料は、堆積に必要な量の供給源材料ごとに供給源材料用舟形容器が内部に装入されているArキャビン(米国カリフォルニア州Hawthorne、Vacuum Atmospheres Company)に保管した。Arガスの純度は99.999%であった(AGA)。CpMeYが空気と反応すると、その表面は数分で暗色化する。酸素供給源としては水を使用した。
【0040】
滑らかな被膜を成長させるため、300℃の堆積温度で適切な供給源材料およびパージング・パルスを決定した。
ALEウィンドウを画定するため、同じパルス放出時間を用いて成長実験の温度シリーズを実行した。この温度シリーズにおける温度範囲は175〜450℃であった。このとき堆積サイクルの回数は1000回であった。
成長速度の直線性を決定するため、成長サイクルの回数を500回から4000回に変更した。
【0041】
パルスの長さが平滑度に及ぼす影響について、250℃の堆積温度で試験をした。CpMeYパルスの長さを0.7秒から1.5秒の間で様々に変え、それに応じて水のパルスの長さを0.7秒から2秒の間で様々に変えた。
また、より長いパルスが200〜300℃の堆積温度に及ぼす影響についても研究を行った。
【0042】
使用する反応器にもよるが、蒸発温度として110〜135℃が適切であることが決定された。初めは、堆積温度として300℃を選択した。供給源材料および酸化体として使用する水の長さは0.7秒になるように選択し、供給源材料のパルス間のパージング・パルスは1秒および1.2秒になるように選択した。サイクルの回数は1000回であった。前方および後方の基板では均一な品質の青色膜が得られた。プロフィルは、前方基板の前縁部上のみに、約0.5cmの長さで存在した。これは、不十分なパージングが原因と考えられるが、プロフィルの勾配が小さいので、堆積パラメータは変わらなかった。供給源材料の消費量は約0.3mg/サイクルであった。被膜の厚さは分光光度計で測定され、各測定点で124〜125nmであり、したがって成長速度は1.2Å/サイクルを超えたが、これは、供給源材料としてY(thd)供給源を使用した場合に比べて約5倍速いものであった。
【0043】
同じパルス放出で、かつ175〜450℃の堆積温度範囲で堆積を行ったときの、堆積温度に対する成長速度を図7に示す。
図35から、ALEウィンドウによる堆積範囲は200℃から400℃の範囲であることがわかる。この範囲内で、成長速度は1.2Å/サイクルから1.35Å/サイクルの間で様々に変動する。この範囲内で、Y薄膜のALE堆積は非常にうまく行われ、再現性を有することが明らかである。堆積温度が450℃であるとき、供給源材料は分解した。
【0044】
成長速度の直線性を明らかにするために、堆積サイクルの回数を500回から2000回に変更し、堆積温度を300℃にした(図8)。さらにパルス放出時間は一定に保った。
この被膜の厚さは、堆積サイクルの回数が増加するにつれて直線的に成長することがわかった。これは、ALEタイプの堆積プロセスの存在を若干確実にする。
【0045】
供給源材料の長さが成長速度に及ぼす影響
CpMeY供給源材料のパルスを2倍にする影響について、200℃、250℃、および300℃の堆積温度で研究した。また、供給源材料のパルスの後に続くパージング・パルスも2倍にした。酸化体のパルス放出時間は変えなかった。250℃と300℃の堆積温度では、供給源材料の消費量が2倍であり成長速度は最大20%増大したが、堆積温度が200℃であるときはわずかに約10%増大しただけであった。成長速度が増大した理由として考えられることは、より高い温度で供給源材料が部分的に分解した可能性があること、または供給源材料が表面を飽和させるのに不十分であった可能性があることである。
【0046】
酸化体が成長速度に及ぼす影響
酸化体として使用した水のパルス放出時間を0.7秒から1.5秒に延長しても、成長速度に影響はなかった。
【0047】
実験2
CpMeYおよびオゾンからのY薄膜の堆積
実験1に従ってY膜を成長させたが、酸素供給源材料として単なる水を使用する代わりに、オゾンと、オゾンおよび水の組合せを使用した。
堆積の一部で使用した約3.5%のオゾン−酸素混合物は、Fischer Model 502オゾン発生器(Fischer Labor- und Verfahrenstechnik GmbH、Meckenheim/Bonn、BRD)により酸素(AGA、99.999%)から発生させた。
【0048】
これは、成長させる被膜の結晶化度に特に影響を及ぼすと考えられた。供給源材料の消費は、堆積の前後で供給源材料を計量することにより追跡した。
【0049】
基板としては、Si(100)およびソーダ・ガラスを使用した。Si(100)基板の表面からは自然酸化物層を除去しなかった。基板を反応スペース内に順次配置した(図30、59頁)。ソーダ・ガラス基板は、Si(100)基板に対して反対側に配置した。
【0050】
酸化体を水から酸素とオゾンの混合物に変えても(パルス放出時間は2秒)、成長速度に影響を及ぼすような変化は生じなかった。しかし、水とオゾンを組み合せたパルス放出を使用した場合は前方の基板の成長速度が1.65Å/サイクルであり、酸化体として単なる水を使用することにより同じ堆積温度(300℃)で得られた値よりも約30%速いものであることが観察された。水蒸気、酸素、およびオゾンの混合物も使用できることに留意しなければならない。
【0051】
比較実験
イットリウム供給源材料としてY(thd)を使用し、酸素供給源としてオゾンを使用するALE堆積
薄膜を成長させるため、供給源材料としてY(thd)を使用し、酸化体としてオゾンを使用することによって、堆積を行った。堆積パラメータとして、Putkonenらの研究による堆積パラメータを使用した。Putkonenらは、Y(thd)供給源材料を用いたY膜の堆積に関する広範な研究をごく最近行っているので、この研究を続ける必要はないと考えられた。
【0052】
Y(thd)に関する適切な蒸発温度は135〜145℃であることが決定された。Y(thd)供給源材料のパルスの長さは0.7秒であり、それに続くパージング・パルスの長さは1秒であった。酸化体として使用されるオゾンのパルスの長さは2秒であり、パージング・パルスの長さは2秒であった。すべての堆積は、350℃の温度で、Putkonen他によって決定されたALEウィンドウ内で行った。被膜の成長速度は0.23〜0.25Å/サイクルであり、この値はPutkonen他の研究に十分一致するものであった。この実験の結果を後で示し、実験1および3の結果と比較する。
【0053】
実験3
イットリウム供給源材料としてのCpYと酸素供給源としての水
CpY供給源材料は、CpMeYと同様に空気の影響を受け易く、したがって供給源材料の取扱い、供給源材料用舟形容器の装入、反応器への輸送、および適切な蒸発温度の画定は、実験1で述べたものと同様の方法で行った。Y薄膜の堆積の際、酸化体として水を使用した。
【0054】
ALEウィンドウを画定するために、Y薄膜の堆積は200〜400℃で行った。成長速度の直線性を明らかにするために、堆積サイクルの回数を300回から4000回まで変化させ、堆積温度は300℃を使用した。供給源材料のパルスの長さの影響について、200〜300℃の堆積温度で調査を行った。
【0055】
150℃から155℃の間の温度は、CpYの適切な蒸発温度であることが決定された。CpYと水のパルスの長さは0.7秒であり、供給源材料のパルス間のパージング・パルスは1秒と1.2秒であった。パルスの数は1000であり、堆積温度は300℃であった。黄色の滑らかな薄膜が両方のSi(100)基板上に得られた。前方の基板の被膜の厚さは170nm、後方の基板の被膜の厚さは160nmで、Si(100)基板上に成長させた被膜の厚さの均一性が優れていることを示している。この成長速度(1.7Å/サイクル)は、Y供給源としてCpMeYを使用した場合(1.25Å/サイクル)よりもかなり速いものであった。
【0056】
堆積温度が成長速度に及ぼす影響
堆積温度が成長速度に及ぼす影響について、175〜400℃の温度範囲内で研究した(図9)。
成長速度は非常に速く、1.8Å/サイクルにもなったが、この値はCpMeYを用いた場合に測定した値よりも約50%速い値である。
【0057】
成長速度の直線性を研究するため、300℃の堆積温度を使用することによって、堆積サイクルの回数を300回から2000回に変更した(図10)。パルス放出時間は一定であった。その結果、ALEタイプの成長を示すCpMeY供給源材料の場合と同様であることが判明した。
【0058】
供給源材料の長さが成長速度に及ぼす影響
堆積温度が250℃と300℃のとき、CpY供給源材料のパルスの長さを0.7秒から1.5秒へと2倍にしても成長速度は増大しなかった。堆積温度が200℃では、成長速度の増大は10%以下であった。初期パルス放出時間は、表面を飽和させるのに完全に十分であった。しかし実験1では、CpMeYを供給源材料として使用する場合、パルスを長くすると、成長速度に明らかな影響が出ることがわかった。そのような場合、供給源材料の部分的な分解が生じ、そのために成長速度が増大したと考えられる。
【0059】
図11に、Y(thd)、CpMeY、およびCpYの各供給源材料を使用することによる、堆積温度に対するY薄膜の成長速度の概要を示す。Y(thd)供給源材料を用いて堆積させた被膜の成長速度は、Putkonenらの研究から得た。
【0060】
化学量論および元素組成
4種のY薄膜に関してTOF−ERDA測定を行った。これらの被膜は、CpMeY供給源材料を使用することにより200℃、300℃、および400℃の堆積温度で作製したものと、CpY供給源材料を使用することにより300℃の堆積温度で作製したものである。測定した被膜は数ヵ月前に作製し、それらをデシケータ内に保管した。データの概要を表1に示す。
【表1】
Figure 0004921652
【0061】
の理論上のY/O比率は0.667である。分析した被膜は、この理論上の比に実にうまく対応している。炭素含有量は、Y(thd)を用いて成長させたY薄膜の炭素含有量に比べて非常に少なかった。Y(thd)供給源材料を使用する場合、堆積温度を上昇させることによって水素含有量も増加することが示された。Y(thd)を使用する場合、堆積温度を200℃から400℃に上昇させると、Y/Oの比率は0.39から0.62に増大した。CpMeY供給源材料を使用することによって、ほぼ化学量論的なY膜をすでに200℃で成長させることができた。CpY供給源材料を使用することにより、結果はCpMeY供給源材料を使用する場合よりも非常に一致していた。被膜中の不純物として分析された塩素は、供給源材料の生成の際に使用されたYClから生じたものである。
【0062】
組成分析のまとめとして、元素組成(化学量論、不純物)に関し、新しいCp供給源材料を用いて成長させたY薄膜の質は、Y(thd)供給源材料を使用する場合よりも明らかに良好であると言える。
【0063】
薄膜の形態
薄膜の成長は、核生成によって開始する。堆積する間、堆積中心または核が成長して塊状になる。表面は、微視的な規模では依然として粗くなる。被膜の厚さが増すにつれ、表面の粗さも増す。微視的な規模で成長したY薄膜は、非常に滑らかである。AFMにより、表面の平滑度を研究するための良い機会が提供される。塵の粒子など、被膜表面上に存在する可能性のある不純物によって、何らかの問題が生じる可能性がある。
【0064】
堆積温度がrms粗さに及ぼす影響
異なる堆積温度で成長させたY薄膜について研究すると、表面の形態は、堆積温度に非常に左右されることが観察された。200℃および300℃で成長させたY薄膜の二次元AFM画像を図12に示す。供給源材料としてCpMeYおよび水を使用した。堆積サイクルの回数は1000回であった。走査領域は10μm×10μmであった。200℃の堆積温度では、いくつかの異なる点で測定した場合、生成された被膜は非常に滑らかであった。rms粗さの値は0.9nmであった。堆積温度が300℃であるとき、rms粗さは6.8nmであった。被膜はほとんど同じ厚さであった。rms粗さの増大は、結晶化度の増大または供給源材料の分解に起因すると考えられる。堆積温度が400℃であるとき、表面は非常に粗くなった(rms粗さ:約25nm)。
【0065】
供給源材料としてCpYを使用することによって成長させたY薄膜の場合でも、堆積温度を上昇させると表面形態に同様の変化が観察された。200℃、225℃、250℃、275℃、350℃、および400℃の堆積温度で作製されたY薄膜の、走査領域が2μm×2μmであるAFM画像を図41a〜fに示す。堆積サイクルの回数は1000回であった。z軸のスケールは変化することに留意しなければならない。
【0066】
Putkonenらは、供給源材料としてCpMgおよび水を使用することによって、MgO薄膜を生成した。この場合、被膜のrms粗さと堆積温度の間に同様の依存性が観察された。
【0067】
厚さの関数としてのY薄膜の粗さ
供給源材料としてCpMeYおよびCpYを使用したときの、堆積サイクルの回数に対するY薄膜のrms粗さを図13に示す。堆積温度は300℃であった。CpYを供給源材料として使用することにより成長速度が速くなるにつれて、その厚さも、供給源材料としてCpMeYを使用する場合よりも厚くなる。異なる供給源材料を用いて成長させた被膜のrms粗さの相違は、このように説明される。rms粗さの値は、通常、非常に粗い表面に実によく近似する。被膜にはいくつかのより高い頂部が存在するので、AFM画像のrms粗さは、異なる場所および異なる走査領域からの同じサンプルに伴って変化する。しかし、より薄い被膜のAFM測定によれば、rms粗さに関して非常に再現性の高いデータが示された。堆積サイクルの回数が500回であるとき、CpMeYを用いて成長させた被膜のrms粗さは1.2nmであり(被膜の厚さ65nm)、CpYを用いて成長させた被膜では2.2nmであった(被膜の厚さ85nm)。Putkonenらの研究[97]では、Y(thd)を用いた場合と同じ温度で成長させたY膜(厚さ70nm)のrms粗さは1.8nmであった。
【0068】
結晶化度
350℃で、3種の異なる供給源材料を用いて成長させたY薄膜の回折図を、図14に示す。測定した薄膜の厚さは、供給源材料に応じて130〜177nmであった。測定のデータおよびデータベースから見出されたデータとYの種々のMiller指数を比較することによって、種々の反射レベルを測定した。測定したY薄膜は、全ての供給源材料で多結晶質であると結論付けられた。結晶構造は立方晶系であった。新しいCp化合物を用いて成長させた被膜は、Y(thd)を用いた場合よりも結晶性が大きいものであった。(222)反射が抜きんでていることが示されたのに対し、Y(thd)を用いて堆積させる場合は、(400)反射強度が最も強力であった。
【0069】
厚さの関数としての結晶化度
被膜の結晶化度は、厚さが増大する間、依然として一定である。この場合、回折ピークの全強度は、被膜の厚さの関数として直線的に増大する。厚さが125nm、260nm、および590nmの、CpMeYを用いて成長させたY薄膜の回折パターンを図15に示す。堆積温度は300℃であった。その他の堆積パラメータは、堆積サイクルの回数のみ増加させ、その他は同じであった。
供給源材料としてCpYを使用した場合、同様の依存性を観察することができた。
【0070】
温度の関数としての結晶化度
175〜400℃の温度範囲でCpMeY:llaを用いて成長させたY薄膜に関する回折パターンを、図16に示す。堆積サイクルの回数は1000回であった。温度が異なると成長速度も異なることから、被膜の厚さもわずかに異なる。しかし、一定の成長速度で(200〜400℃)結晶化度を比較することができる。200〜300℃で成長させた被膜では、温度が上昇すると結晶化度も増大する。反射が著しく目立つのは(400)レベルに起因する場合であった。堆積温度が上昇すると、多結晶質膜の際立つ反射は(222)へと変化した。
【0071】
供給源材料としてCpYを使用すると、175〜400℃の温度範囲全体を通してひときわ高い(222)配向が観察された(図17)。結晶化度は、堆積温度を上昇させることによって、予想通りに増大した。
【0072】
酸化体が結晶化度に及ぼす影響
2種の堆積では、供給源材料としてCpMeYを使用し、酸化体としてオゾンまたは水とオゾンの組合せを使用した。堆積サイクルの回数は1000回であり、堆積温度は300℃であった。酸化体として水の代わりにオゾンを使用すると、結晶化度は明らかに増大した。この場合、際立った反射が(400)から(222)に変化したことが著しい。しかし、この結果は別の堆積では確認されなかった。
【0073】
基板材料が結晶化度に及ぼす影響
Si(100)基板およびソーダ・ガラス基板上のY薄膜に関する回折図を図18に示す。供給源材料としてCpYを使用し、堆積温度は275℃であった。基板上に成長させた両方の被膜について、同じ反射を観察することができた。ピーク強度は、シリコンに比べるとソーダ・ガラス基板(100)上のほうがさらにわずかに大きかったことに留意されたい。ガラス基板上に低温で成長させた被膜の構造は、非晶質であった。
【0074】
実験4
ランタン供給源材料としてCpMeLaまたはCpLaを使用し、酸素供給源としてオゾンを使用する、La薄膜の堆積
新しい供給源材料である、CpMeLaおよびCpLaの取扱いおよび蒸発温度の画定は、実験1で述べたものと同様の方法で行った。蒸発温度の決定後、La薄膜を堆積する際の適切な堆積条件を見出すよう試みた。
【0075】
CpLaを用いた酸化ランタン薄膜の堆積から得られた結果
適切な蒸発温度は250〜255℃であると決定された。供給源材料のパルス放出時間は1秒であり、パージング・パルスの持続時間は1.2秒であった。堆積温度は300℃であった。この結果、強度のプロフィルを有する被膜が得られた。これは、当該堆積温度で供給原材料が分解したからである。堆積温度を10℃づつ260℃まで下げることにより、La薄膜を成長させることはできなかった。これは、蒸発温度に非常に近い場合であってもCpLa供給源材料が分解することに起因すると言える。
【0076】
CpMeLaを用いた酸化ランタン薄膜の堆積から得られた結果
CpMeLaの蒸発温度は155〜160℃であった。CpLaに関する研究の場合と同じパルス放出時間および堆積温度を使用することによって、堆積の結果、強度のプロフィルを有するフィルムが得られた。堆積温度を下げることによって、供給源材料の分解が妨げられるようにした。160〜165℃の堆積温度では、サイクルの回数が1000回であるときに滑らかな被膜を成長させることができた。しかし、前方と後方の基板で成長させた被膜は濃さが異なっていた。被膜は、実に素早く空気および湿気と反応することが観察されたが、そのために被膜の構造が変化する。Nieminenらは、その研究の中で、La(thd)供給源材料を用いて成長させたLa膜は湿気と反応し易いものであると結論付けた。成長させた被膜の前方基板上での成長速度は1.97Å/サイクルであり、後方基板に関しては1.7Å/サイクルであった。したがって成長速度は、La(thd)を供給源材料として使用した場合に比べて5倍速い。被膜と空気は反応するので、生成した酸化ランタン膜はArキャビンに保管した。
【0077】
比較実験
La(thd)を用いた酸化ランタン薄膜の堆積
適切な蒸発温度は170℃であると決定された。La薄膜の堆積は、300℃の堆積温度でNieminenらの研究に従って行った。生成された被膜はNieminenらのデータに一致しており、したがって事実上新しい知識は何も得られなかったので、新たな有機金属La供給源材料を使用することに移行することを決定した。
【0078】
結晶化度
165℃で成長させた酸化ランタン薄膜(厚さ200nm)の回折図を図19に示す。供給源材料はCpMeLaおよび水であった。
被膜は多結晶質であり、際立つ反射は(222)である。混合型配向のその他のピークは、(440)、(332)、および(631)でのそれぞれの反射によるものであった。この被膜の結晶構造は立方晶系である。立方晶構造は、準安定であることが報告されている。これらのピークのいくつかを指し示すことはできなかった。160℃、170℃、および175℃で成長させた被膜についても全く同様の回折図が得られた。しかし、Nieminenらの研究で見出されたLaO(OH)に属する(002)配向を観察することはできなかった。これまで述べた研究では、La薄膜は(400)配向であった。
【0079】
形態
酸化ランタン薄膜の表面の、典型的なAFM画像を図20に示す。堆積温度は165℃であり、この被膜の厚さは195nmであった。rms粗さの値は5.9nmであった。この表面は、サンプルの異なる点で非常に均質である。
【図面の簡単な説明】
【図1】図1は、ALEウインドウの概念を示す図である。
【図2】図2は、種々のthdタイプの供給源材料に関するY薄膜の成長速度を、堆積温度の関数として示した図である。図2は、さらに、炭素、水素、およびCOに関する種々の温度での不純物分析のデータ(Putkonen他)をも示す。
【図3】図3は、厚さ280nmの、Si(100)基板およびソーダ・ガラス基板上のY薄膜に関するX線回折パターンを示す図であり、堆積温度は350℃である(Putkonen他)。
【図4】図4は、Nieminenら[108]による、La薄膜の厚さおよび炭素含有量の、堆積温度に対する依存性を示す図である。
【図5】図5は、CpMeY(左)およびCpYの構造を示す図である。
【図6】図6は使用したALE反応器の概略図であり、ここで参照番号は次のものを意味する。すなわち、1. N発生器、2. O発生器または水蒸気の形成、3. パルス放出弁、4. 加熱ブロック、5. 供給源材料用舟形容器、6. パージング・パルスの供給、7. 基板スペース、8. ポンプ用アウトレット・コンジットである。
【図7】図7は175〜450℃の堆積温度でのY薄膜の成長速度を示す図であり、供給源材料としてCpMeYおよび水を使用したものである。
【図8】図8は、CpMeY および水が供給源材料であるときの、堆積サイクルの回数に対するY薄膜の依存性を示す図であり、堆積温度は300℃である。
【図9】図9は175〜400℃の堆積温度でのY薄膜の成長速度を示す図であり、供給源材料としてCpYおよび水を使用したものである。
【図10】図10は、CpYおよび水が供給源材料であるときの、堆積サイクルの回数に対するY薄膜の厚さの依存性を示す図であり、堆積温度は300℃である。
【図11】図11は、異なる供給源材料(CpY、CpMeY、およびY(thd))を用いて成長させたY薄膜の、堆積温度に対する成長速度を示す図である。
【図12】図12は、CpMeY供給源材料を用いて成長させたY薄膜のAFM画像を示す図であり、走査フィールドは10μm×10μmであり、a)は堆積温度200℃、被膜の厚さ=120nm、rms粗さ=0.9nm、z軸=20nmの場合であり、b)は300℃、125nm、rms=6.8nm、z=50nmの場合である。
【図13】図13は、CpMeYおよびCpY供給源材料による、堆積サイクルの回数に応じたY薄膜のrms粗さを示す図であり、堆積温度は300℃である。
【図14】図14は、Y(thd)、CpMeY、またはCpYを供給源材料として使用したときの、350℃で成長させたY薄膜の回折図である。
【図15】図15は、CpMeYを用いて成長させた、厚さ125nm、260nm、および590nmのY薄膜の回折パターンを示す図であり、堆積温度は300℃である。
【図16】図16は、175〜400℃で成長させたY薄膜の回折パターンを示す図であり、供給源材料としてCpMeYを使用したものである。
【図17】図17は175〜400℃で成長させたY薄膜の回折パターンを示す図であり、供給源材料としてCpYを使用したものである。
【図18】図18は、a)Si(100)基板およびb)ソーダ・ガラス基板上に、供給源材料としてCpYを使用して堆積させた、Y薄膜の回折パターンを示す図であり、堆積温度は275℃であり、サイクルの回数は1000回であった。
【図19】図19は165℃で成長させた酸化ランタン膜の回折図であり、堆積サイクルの回数は1000回で、厚さは200nmであった。供給源材料としてCpMeLaを使用した。
【図20】図20は165℃で成長させた2μm×2μmの酸化ランタン膜のAFM画像を示す図であり、堆積サイクルの回数は1000回であり、被膜の厚さは200nmであった。CpMeLaが供給源材料であった。rms粗さは5.9nmであった。

Claims (5)

  1. ALEタイプのプロセスによって酸化イットリウム(Y)薄膜または酸化ランタン(La)薄膜を製造する方法において、
    不活性キャリア・ガスの助けを借りて、金属供給源化学物質の気相パルスをALE反応器の反応スペースに送り込み、
    不活性ガスで前記反応スペースのパージを行い、
    不活性キャリア・ガスの助けを借りて、酸素供給源化学物質の気相パルスを前記反応スペースに送り込み、かつ
    不活性ガスで前記反応スペースのパージを行う方法であって、
    前記金属供給源化学物質が、トリス(シクロペンタジエニル)イットリウム(CpY)、トリス(メチルシクロペンタジエニル)イットリウム(CpMeY)、またはトリス(メチルシクロンタジエニル)ランタン(CpMeLa)であり、前記酸素供給源化学物質が、水、または酸素とオゾンの混合物であることを特徴とする方法。
  2. CpMeYからYを堆積させるとき、堆積温度が175〜450℃であり、堆積圧力が1ミリバールから2ミリバールの間であることを特徴とする請求項1に記載の方法。
  3. CpYからYを堆積させるとき、堆積温度が175〜400℃であり、堆積圧力が1ミリバールから2ミリバールの間であることを特徴とする請求項1に記載の方法。
  4. CpMeLaからLaを堆積させるとき、堆積温度が160〜165℃であり、堆積圧力が1ミリバールから2ミリバールの間であることを特徴とする請求項1に記載の方法。
  5. 基板がシリコン・ウェーハまたはソーダ石灰ガラスであることを特徴とする請求項1から4のいずれかに記載の方法。
JP2001236874A 2001-08-03 2001-08-03 イットリウム酸化物およびランタン酸化物薄膜を堆積する方法 Expired - Lifetime JP4921652B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2001236874A JP4921652B2 (ja) 2001-08-03 2001-08-03 イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US10/067,634 US6858546B2 (en) 2001-08-03 2002-02-04 Method of depositing rare earth oxide thin films
US11/024,515 US7498272B2 (en) 2001-08-03 2004-12-28 Method of depositing rare earth oxide thin films

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001236874A JP4921652B2 (ja) 2001-08-03 2001-08-03 イットリウム酸化物およびランタン酸化物薄膜を堆積する方法

Publications (2)

Publication Number Publication Date
JP2003055093A JP2003055093A (ja) 2003-02-26
JP4921652B2 true JP4921652B2 (ja) 2012-04-25

Family

ID=19068061

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001236874A Expired - Lifetime JP4921652B2 (ja) 2001-08-03 2001-08-03 イットリウム酸化物およびランタン酸化物薄膜を堆積する方法

Country Status (2)

Country Link
US (2) US6858546B2 (ja)
JP (1) JP4921652B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101617396B1 (ko) * 2014-08-01 2016-05-13 연세대학교 산학협력단 초소수성 코팅 부재 및 이의 제조 방법
KR101615897B1 (ko) * 2014-08-01 2016-05-13 연세대학교 산학협력단 코팅층 형성 방법 및 방수성 코팅 부재
KR20160083412A (ko) * 2014-12-31 2016-07-12 연세대학교 산학협력단 수분 제거 필터 및 이의 제조 방법

Families Citing this family (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040178175A1 (en) * 2003-03-12 2004-09-16 Pellin Michael J. Atomic layer deposition for high temperature superconductor material synthesis
KR101120150B1 (ko) 2003-03-17 2012-03-23 시그마-알드리치컴퍼니 금속 옥사이드층 또는 금속 옥사이드 필름 증착용 전구체
JP4907839B2 (ja) * 2003-03-26 2012-04-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7440180B2 (en) * 2004-02-13 2008-10-21 Tang Yin S Integration of rare-earth doped amplifiers into semiconductor structures and uses of same
US20060008696A1 (en) * 2004-06-30 2006-01-12 Suk-Won Cha Nanotubular solid oxide fuel cell
KR100589040B1 (ko) * 2004-08-05 2006-06-14 삼성전자주식회사 막 형성방법 및 이를 이용한 반도체 장치의 커패시터제조방법
US7458435B2 (en) * 2004-08-05 2008-12-02 Yamaha Hatsudoki Kabushiki Kaisha Vehicle control unit and vehicle
US7081421B2 (en) * 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070049021A1 (en) * 2005-08-31 2007-03-01 Micron Technology, Inc. Atomic layer deposition method
KR101488855B1 (ko) 2006-03-10 2015-02-04 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물
US7759746B2 (en) * 2006-03-31 2010-07-20 Tokyo Electron Limited Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US8097300B2 (en) * 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US7816737B2 (en) * 2006-03-31 2010-10-19 Tokyo Electron Limited Semiconductor device with gate dielectric containing mixed rare earth elements
US8012442B2 (en) * 2006-03-31 2011-09-06 Tokyo Electron Limited Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US20070237697A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7767262B2 (en) * 2006-09-29 2010-08-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US8455049B2 (en) * 2007-08-08 2013-06-04 Advanced Technology Materials, Inc. Strontium precursor for use in chemical vapor deposition, atomic layer deposition and rapid vapor deposition
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
TWI467045B (zh) * 2008-05-23 2015-01-01 Sigma Aldrich Co 高介電常數電介質薄膜與使用鈰基前驅物製造高介電常數電介質薄膜之方法
TW200949939A (en) * 2008-05-23 2009-12-01 Sigma Aldrich Co High-k dielectric films and methods of producing using titanium-based β -diketonate precursors
DE102008029385B4 (de) * 2008-06-23 2014-11-27 Carl Von Ossietzky Universität Oldenburg Verfahren zur Herstellung von Seltenerdmetalloxidschichten und Übergangsmetalloxidschichten, Verwendung einer Vorrichtung zur Herstellung von Seltenerdmetalloxidschichten und Übergangsmetalloxidschichten sowie Verwendung eines Metallnitrats
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8076241B2 (en) * 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US9169562B2 (en) 2010-05-25 2015-10-27 Singulus Mocvd Gmbh I. Gr. Parallel batch chemical vapor deposition system
US8986451B2 (en) 2010-05-25 2015-03-24 Singulus Mocvd Gmbh I. Gr. Linear batch chemical vapor deposition system
US9869021B2 (en) 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2012005957A2 (en) 2010-07-07 2012-01-12 Advanced Technology Materials, Inc. Doping of zro2 for dram applications
US8030725B1 (en) * 2010-10-05 2011-10-04 Skyworks Solutions, Inc. Apparatus and methods for detecting evaporation conditions
CN102094190A (zh) * 2010-11-24 2011-06-15 复旦大学 一种镧基高介电常数薄膜的制备方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
EP2841621A4 (en) * 2012-03-23 2016-03-16 Picosun Oy METHOD AND APPARATUS FOR ATOMIC LAYER DEPOSITION
US9443736B2 (en) 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US8636844B1 (en) * 2012-07-06 2014-01-28 Translucent, Inc. Oxygen engineered single-crystal REO template
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014124056A1 (en) 2013-02-08 2014-08-14 Advanced Technology Materials, Inc. Ald processes for low leakage current and low equivalent oxide thickness bitao films
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
TWI740848B (zh) * 2015-10-16 2021-10-01 荷蘭商Asm智慧財產控股公司 實施原子層沉積以得閘極介電質
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10961620B2 (en) * 2016-03-04 2021-03-30 Beneq Oy Plasma etch-resistant film and a method for its fabrication
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10283349B2 (en) * 2016-05-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Single-crystal rare earth oxide grown on III-V compound
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10760159B2 (en) * 2017-07-13 2020-09-01 Applied Materials, Inc. Methods and apparatus for depositing yttrium-containing films
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP2019116676A (ja) * 2017-12-27 2019-07-18 テクノクオーツ株式会社 成膜装置の構成部材の耐食構造およびその構成部材の製造方法
US11370669B2 (en) 2018-01-14 2022-06-28 Applied Materials, Inc. Amorphous silicon doped yttrium oxide films and methods of formation
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN110473769A (zh) * 2018-05-11 2019-11-19 圆益Ips股份有限公司 薄膜形成方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101617396B1 (ko) * 2014-08-01 2016-05-13 연세대학교 산학협력단 초소수성 코팅 부재 및 이의 제조 방법
KR101615897B1 (ko) * 2014-08-01 2016-05-13 연세대학교 산학협력단 코팅층 형성 방법 및 방수성 코팅 부재
US10392701B2 (en) 2014-08-01 2019-08-27 Industry-Academic Cooperation Foundation, Yonsei University Superhydrophobic coating material and method for manufacturing the same
KR20160083412A (ko) * 2014-12-31 2016-07-12 연세대학교 산학협력단 수분 제거 필터 및 이의 제조 방법
KR101670337B1 (ko) * 2014-12-31 2016-11-09 연세대학교 산학협력단 수분 제거 필터 및 이의 제조 방법

Also Published As

Publication number Publication date
US20090035949A1 (en) 2009-02-05
US6858546B2 (en) 2005-02-22
US7498272B2 (en) 2009-03-03
JP2003055093A (ja) 2003-02-26
US20030072882A1 (en) 2003-04-17

Similar Documents

Publication Publication Date Title
JP4921652B2 (ja) イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
EP0630424B1 (en) Ferroelectric thin films made by metalorganic chemical vapor deposition
US4923717A (en) Process for the chemical vapor deposition of aluminum
US6869638B2 (en) Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US5149596A (en) Vapor deposition of thin films
Päiväsaari et al. Synthesis, structure and properties of volatile lanthanide complexes containing amidinate ligands: application for Er 2 O 3 thin film growth by atomic layer deposition
JP2001355070A (ja) 酸化物薄膜を製造する方法
JP2001504159A (ja) 白金の化学蒸着のための白金ソース組成物
JP2002252286A (ja) タンタル酸化膜を有する半導体キャパシタ及びその製造方法
Peng et al. Metalorganic chemical vapor deposition of ferroelectric Pb (Zr, Ti) O3 thin films
Ou et al. Precursors for chemical vapor deposition of tungsten oxide and molybdenum oxide
JP2002167672A (ja) 成膜方法
Morstein et al. Composition and Microstructure of Zirconia Films Obtained by MOCVD with a New, Liquid, Mixed Acetylacetonato‐Alcoholato Precursor
TWI257435B (en) MOCVD ferroelectric and dielectric thin films depositions using mixed solvents
JP2003342732A (ja) タンタル錯体を含む有機金属化学蒸着法用溶液原料及びそれを用いて作製されたタンタル含有薄膜
Ritterhaus et al. Iridium Thin Films Deposited by Liquid Delivery MOCVD using Ir (EtCp)(1, 5‐COD) with Toluene Solvent
Watson et al. Investigations of barium beta-diketonate complexes used in chemical vapour deposition of high-Tc oxide films
Valet et al. Study of platinum thin films deposited by MOCVD as electrodes for oxide applications
Renault et al. Strong< 200> and< 111> Preferred Orientations of MgO Thin Films Synthesized on Amorphous Substrate by Aerosol Assisted‐Metallorganic Chemical Vapor Deposition
KR100643637B1 (ko) 니켈 아미노알콕사이드 선구 물질을 사용하는 원자층침착법으로 니켈 산화물 박막을 제조하는 방법
JP2003335740A (ja) タンタル錯体及び該錯体を含む有機金属化学蒸着法用溶液原料並びにこれを用いて作製されたタンタル含有薄膜
JPH0967197A (ja) チタン酸ビスマス強誘電体薄膜の製造方法
CN114540793B (zh) 一种钴基氧化物薄膜的原子层沉积方法
JPH09195050A (ja) 酸化物又は金属の製造方法
JP2006013267A (ja) 有機ランタン化合物及び該化合物を用いたランタン含有膜の製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20031225

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080724

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110524

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110530

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110824

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120111

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120203

R150 Certificate of patent or registration of utility model

Ref document number: 4921652

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150210

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term