KR20220011092A - 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 - Google Patents

전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20220011092A
KR20220011092A KR1020210092538A KR20210092538A KR20220011092A KR 20220011092 A KR20220011092 A KR 20220011092A KR 1020210092538 A KR1020210092538 A KR 1020210092538A KR 20210092538 A KR20210092538 A KR 20210092538A KR 20220011092 A KR20220011092 A KR 20220011092A
Authority
KR
South Korea
Prior art keywords
transition metal
molybdenum
transition
layer
precursor
Prior art date
Application number
KR1020210092538A
Other languages
English (en)
Inventor
폴 마
로그하이에 로피
이재범
에릭 크리스토퍼 스티븐스
샤리스 에랑가 나나야카라
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20220011092A publication Critical patent/KR20220011092A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

기판의 표면 상에 전이 금속층을 형성하기 위한 방법들 및 시스템들, 및 상기 방법들을 사용하여 형성된 구조물들 및 소자들이 개시된다. 예시적인 방법들은 상기 전이 금속층을 형성하기 전에 전이층을 형성하는 단계를 포함한다. 상기 전이층은 상기 전이 금속층의 증착 동안 피처들의 굽힘을 완화하면서 높은 종횡비 피처들 상에 전이 금속층의 후속 증착을 용이하게 하기 위해 사용될 수 있다.

Description

전이 금속층들을 포함하는 구조물들을 형성하기 위한 방법 및 시스템{Method and system for forming structures including transition metal layers}
본 개시는 일반적으로 기판의 표면 상에 층을 형성하기에 적합한 방법 및 시스템, 및 상기 층을 포함하는 구조체에 관한 것이다. 보다 구체적으로, 본 개시는 전이 금속을 포함하는 층을 형성하기 위한 방법 및 시스템, 및 상기 방법 및 시스템을 사용하여 형성된 구조체에 관한 것이다.
반도체 소자와 같은 전자 장치의 스케일링은 집적 회로의 성능 및 밀도를 상당히 개선시켰다. 그러나, 종래의 소자 스케일링 기술은 미래의 기술 분기점에서 큰 도전에 직면해 있다.
예를 들어, 하나의 도전 과제는, 기판의 표면 상에 하부 (예를 들어, 유전체) 특징부에 원하지 않는 변형 또는 응력을 야기하지 않고 바람직하게는 낮은 비저항을 갖는, 금속 갭 필(gap fill) 응용에 사용하기 위한 전도성 재료를 증착하기 위한 적절한 기술을 찾는 것이었다. 공극 및/또는 이음매 없는 갭 필을 갖는 원하는, -즉 상대적으로 낮은- 거칠기를 갖는 전도층을 증착하기 위한 추가적인 도전 과제가 존재한다. 따라서, 이러한 특성을 갖는 금속층을 형성하기 위한 개선된 방법 및 시스템이 요구된다.
이 부분에 진술된 문제점 및 해결책을 포함한 임의의 논의는, 단지 본 개시에 대한 맥락을 제공하는 목적으로만 본 개시에 포함되었다. 이러한 논의는 임의의 또는 모든 정보가 본 발명이 만들어졌거나 그렇지 않으면 선행 기술을 구성하는 시점에 알려진 것으로 간주되어서는 안된다.
본 발명의 내용은 개념의 선택을 단순화된 형태로 도입하며, 이는 이하에서 더욱 상세히 설명될 수 있다. 본 발명의 내용은 청구된 요지의 주된 특징 또는 본질적인 특징을 필수적으로 구분하려는 의도가 아니며 청구된 요지의 범주를 제한하기 위해 사용하려는 의도 또한 아니다.
본 개시의 다양한 구현예는, 전이 금속층을 포함한 구조체를 형성하는 방법, 이러한 방법을 사용하여 형성된 구조체, 그리고 상기 방법을 수행하기 위한 및/또는 상기 구조체를 형성하기 위한 시스템에 관한 것이다. 전이 금속층은, 라이너 또는 배리어 층(예를 들어, 3D-NAND 또는 DRAM 워드-라인) 응용예, 상호접속 응용예 등으로 사용하기 위한, 갭 필(예를 들어, 상보적 금속 산화물 반도체(CMOS)) 응용을 포함하는 다양한 응용에 사용될 수 있다. 또한, 이하에서 더욱 상세히 설명되는 바와 같이, 본 개시의 예는 비교적 매끄럽고, 이음매 또는 공극 형성을 나타내지 않으며, 원하는 (낮은) 비저항을 나타내는 전이 금속층을 증착하는 데 사용될 수 있다.
본 개시의 예시적인 구현예에 따라, 구조체를 형성하는 방법이 개시된다. 구조체를 형성하는 예시적인 방법은 기판을 제공하는 단계, 기판의 표면 상에 전이 금속 황화물, 전이 금속 탄화물, 및 전이 금속 질화물 중 하나 이상을 포함하는 전이층을 형성하는 단계, 및 전이층 위에 놓이는 전이 금속층을 형성하는 단계를 포함한다. 전이층 및/또는 전이 금속층 내의 전이 금속은, 예를 들어, 4족 내지 7족 또는 다른 전이 금속일 수 있다. 예시적인 전이 금속은 티타늄, 텅스텐, 몰리브덴, 바나듐, 루테늄, 구리, 니오븀, 탄탈륨, 코발트, 하프늄, 및 지르코늄으로 이루어진 군으로부터 선택될 수 있다. 일부 경우에, 전이층 및 전이 금속층 내의 전이 금속은 동일한 금속일 수 있다. 본 개시의 예에 따르면, 전이층의 두께는 100Å 초과, 1nm 초과, 5nm 초과, 약 1nm 내지 약 20nm 또는 약 1nm 내지 약 10nm이다. 추가적인 예에 따르면, 전이층을 형성하는 단계는 주기적 증착 공정을 포함한다. 주기적 증착 공정은, 전이 금속 전구체를 반응 챔버에 제공하는 단계, 탄소, 황, 및 질소 반응물 중 하나 이상을 반응 챔버에 제공하는 단계, 및 환원 반응물을 반응 챔버에 제공하는 단계를 포함할 수 있다. 전이 금속층을 형성하는 단계는 주기적 증착 공정을 포함할 수 있다. 전이층을 형성하는 단계 및/또는 전이 금속층을 형성하는 단계 동안의 온도는 650℃ 미만, 600℃ 미만, 550℃ 미만, 500℃ 미만, 약 300℃ 내지 600℃, 약 300℃ 내지 650℃, 약 300℃ 내지 550℃, 약 300℃ 내지 500℃, 또는 약 300℃ 내지 450℃일 수 있다. 일부 경우에, 전이층을 형성하는 단계 동안 기판의 온도는 전이 금속층을 형성하는 단계 동안 온도보다 작을 수 있다. 본 개시의 예에 따르면, 방법은 또한, 환원 분위기에서 전이 금속층을 어닐링하는 단계를 포함한다. 어닐링 단계 동안 온도는 약 450℃ 내지 약 1000℃ 또는 약 550℃ 내지 약 900℃일 수 있다. 전이 금속층을 형성하는 단계 동안 반응 챔버 내의 압력은 760토르(Torr) 미만, 약 0.2 내지 약 300토르, 약 0.5 내지 약 60토르, 또는 약 20 내지 약 80토르, 약 0.5 내지 약 50토르 또는 약 0.5 내지 약 20토르일 수 있다. 전이층을 형성하는 단계 동안 반응 챔버 내의 압력은 전이 금속층을 형성하는 단계 동안 압력보다 작을 수 있으며, 예를 들어 위에서 지정된 범위 이내일 수 있다.
본 개시의 추가 예시적인 구현예에 따라, 구조체는 본원에 설명된 방법을 사용하여 형성된다. 구조체는 기판, 기판 위에 형성된 (예를 들어, 일시적인) 전이층, 및 전이층 위에 형성된 전이 금속층을 포함할 수 있다. 전이층은, 전이층 위에 형성된 전이 금속 막의 거칠기를 감소시키고, 그렇지 않으면 발생할 수 있는 이음부 및/또는 공극 형성을 감소시키는데 사용될 수 있고, 그렇지 않으면 전이 금속층이 이러한 특징부 상에 직접 증착되는 경우에 발생할 수 있는 하부 특징부의 휨 또는 응력을 완화시킬 수 있다. 전이 금속층은, 라이너 또는 배리어, 상호접속 등으로서, 갭 필을 포함하는 다양한 응용예에 사용될 수 있다.
본 개시의 보다 추가적인 예에 따라, 본원에 설명된 방법을 수행하고/수행하거나 구조체, 또는 이들 중 일부를 형성하기 위한 시스템이 개시되어 있다.
이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다. 본 발명은 개시된 임의의 특정 구현예에 한정되지 않는다.
다음의 예시적인 도면과 연관하여 고려되는 경우에 발명의 상세한 설명 및 청구범위를 참조함으로써, 본 개시의 구현예에 대해 더욱 완전한 이해를 얻을 수 있다.
도 1은 본 개시의 예시적 구현예에 따른 방법을 나타낸다.
도 2는 본 개시의 예시적 구현예에 따른 공정을 나타낸다.
도 3은 본 개시의 예시적 구현예에 따른 공정을 나타낸다.
도 4는 본 개시의 예에 따른 구조체를 나타낸다.
도 5는 본 개시의 예시적인 추가 구현예에 따른 반응기 시스템을 나타낸다.
도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
아래에 제공된 방법, 구조체, 소자 및 시스템의 예시적인 구현예의 설명은 단지 예시적인 것이고, 예시의 목적으로만 의도된 것이며, 다음의 설명은 본 개시의 범주 또는 청구 범위를 제한하고자 함이 아니다. 또한, 특징부를 기술한 다수 구현예를 인용하는 것이 추가적인 특징부를 갖는 다른 구현예 또는 명시된 특징부의 다른 조합을 포함한 다른 구현예를 배제하고자 함이 아니다. 예를 들어, 다양한 구현예가 예시적인 구현예로서 제시되고, 종속된 청구범위에 인용될 수 있다. 달리 언급되지 않는 한, 예시적인 구현예 또는 이의 구성 요소는 다양한 조합으로 조합될 수 있거나 서로 분리되어 적용될 수 있다.
이하에서 더욱 상세히 설명되는 바와 같이, 본 개시의 다양한 구현예는 다양한 응용예에 적합한 구조를 형성하기 위한 방법을 제공한다. 예시적인 방법은, 예를 들어 전이 금속층, 적절한 갭 필 적용, 상호접속 적용, 배리어 또는 라이너 적용 등을 형성하는 데 사용될 수 있다. 그러나, 달리 언급되지 않는 한, 본 발명은 반드시 이러한 예시로 제한되지는 않는다.
본 개시에서, "가스"는 정상 온도 및 압력(NTP)에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 즉 가스 분배 어셈블리, 다른 가스 분배 장치 등을 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 희귀 가스와 같은 밀폐 가스를 포함할 수 있다. 일부 경우에서, 용어 "전구체"는 다른 화합물을 생성하는 화학 반응에 참여하는 화합물, 및 특히 막 매트릭스 또는 막의 메인 골격을 구성하는 화합물을 지칭할 수 있으며; 용어 "반응물"은 용어 전구체와 상호 교환적으로 사용될 수 있다. 용어 "불활성 가스"는 화학 반응에 참여하지 않고/않거나 상당한 정도로 막 매트릭스의 일부가 되지 않는 가스를 지칭할 수 있다. 예시적인 불활성 가스는 헬륨, 아르곤, 및 이들의 임의의 조합을 포함한다. 일부 경우에, 불활성 가스는 질소 및/또는 수소를 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "기판"은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, 또는 II-VI족 또는 III-V족 반도체 재료와 같은 다른 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 특징부, 예컨대 오목부, 돌출부 등을 포함할 수 있다. 예로서, 기판은 벌크 반도체 재료, 및 상기 벌크 반도체 재료의 적어도 일부분 위에 놓인 절연 또는 유전체 재료 층을 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "막" 및/또는 "층"은 본원에 개시된 방법에 의해 증착된 재료와 같이 임의의 연속적인 또는 비연속적인 구조 및 재료를 지칭할 수 있다. 예를 들어, 막 및/또는 층은 이차원 재료, 삼차원 재료, 나노입자 또는 심지어는 부분 또는 전체 분자층 또는 부분 또는 전체 원자층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. 막 또는 층은 핀홀을 갖는 재료 또는 층을 포함할 수 있고, 이는 적어도 부분적으로 연속적일 수 있다.
본원에서 사용되는 바와 같이, "구조체"는 본원에 기술된 바와 같은 기판일 수 있거나 이를 포함할 수 있다. 구조체는, 기판 위에 놓이는 하나 이상의 층, 예컨대 본원에서 설명된 방법에 따라 형성된 하나 이상의 층을 포함할 수 있다.
용어 "주기적 증착 공정" 또는 "순환 증착 공정"은 반응 챔버 내로 전구체(및/또는 반응물)를 순차적으로 도입시켜 기판 위에 층을 증착하는 것을 지칭할 수 있으며 원자층 증착(ALD) 및 주기적 화학 기상 증착(주기적 CVD), 및 ALD 성분과 주기적 CVD 성분을 포함한 하이브리드 주기적 증착 공정과 같은 처리 기술을 포함한다. 용어 방법 및 공정은 상호 교환적으로 사용될 수 있다.
용어 "원자층 증착"은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클, 전형적으로 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 본원에서 사용된 용어 원자층 증착은, 전구체(들)/반응 가스(들), 및 퍼지(예, 불활성 캐리어) 가스(들)의 교번 펄스로 수행되는 경우, 화학 기상 원자층 증착, 원자층 에피택시(ALE), 분자 빔 에피택시(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다.
일반적으로, ALD 공정의 경우, 각각의 사이클 중에 전구체는 반응 챔버에 도입되고 증착 표면(예, 이전 ALD 사이클로부터 이전에 증착된 재료 또는 다른 재료를 포함할 수 있는 기판 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응인) 단층 또는 서브 단층을 형성한다. 그 후, 일부 경우에서, 반응물(예, 다른 전구체 또는 반응 가스)을 후속해서 공정 챔버에 도입시켜 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 데 사용한다. 반응물은 전구체와 더 반응할 수 있다. 하나 이상의 사이클 동안, 예를 들어 각 사이클의 각 단계 중에 퍼지 단계를 사용하여, 공정 챔버로부터 과잉의 전구체를 제거하고/제거하거나, 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거할 수 있다.
본원에서 사용되는 바와 같이, "전이 금속층"은 하나 이상의 4족 내지 7족 전이 금속 또는 다른 전이 금속과 같은, 전이 금속을 포함하는 화학식으로 나타낼 수 있는 재료 층일 수 있다. 예로서, 전이 금속층은 티타늄, 텅스텐, 몰리브덴, 바나듐, 루테늄, 구리, 니오븀, 탄탈륨, 코발트, 하프늄, 및 지르코늄으로 이루어진 군으로부터 선택된 하나 이상의 전이 금속을 포함할 수 있다. 전이 금속층은 금속성일 수 있다.
본원에서 사용되는 바와 같이, "전이 금속 전구체"는 가스 또는 기체가 될 수 있고 전술한 전이 금속과 같은 전이 금속을 포함하는 화학식으로 나타낼 수 있는 재료를 포함한다.
본원에서 사용되는 바와 같이, "전이 금속 할라이드 전구체"는, 가스 또는 기체가 될 수 있고 전이 금속 및 할로겐, 예컨대 불소(F), 염소(Cl), 브롬(Br), 및 요오드(I) 중 하나 이상을 포함한 화학식으로 나타낼 수 있는 재료를 포함한다.
용어 "질소 반응물"은, 가스가 될 수 있고 질소를 포함한 화학식으로 표현될 수 있는 가스 또는 재료를 지칭할 수 있다. 일부 경우에, 화학식은 질소 및 수소를 포함한다. 일부 경우에, 질소 반응물은 이원자 질소를 포함하지 않는다.
용어 "황 반응물"은, 가스가 될 수 있고 황을 포함한 화학식으로 표시될 수 있는 가스 또는 재료를 지칭할 수 있다. 일부 경우에, 화학식은 황 및 수소를 포함한다. 일부 경우에, 황 반응물은 황 원자를 포함하지 않는다.
용어 "탄소 반응물"은, 가스가 될 수 있고 탄소를 포함한 화학식으로 표시될 수 있는 가스 또는 재료를 지칭할 수 있다. 일부 경우에, 화학식은 탄소 및 수소를 포함한다.
또한, 본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 지시된 변수의 임의의 값은 ("약"으로 표시되는지의 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "포함한", "의해 구성되는", 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭한다. 본 개시에서, 임의의 정의된 의미는 일부 구현예에서 반드시 보통의 그리고 관습적인 의미를 배제하는 것은 아니다.
이제 도 1로 돌아가서, 도 1은 본 개시의 예시적인 구현예에 따른 구조체를 형성하는 방법(100)을 도시한다. 방법(100)은 기판을 제공하는 단계(단계 102), 전이층을 형성하는 단계(단계 104), 전이 금속층을 형성하는 단계(단계 106), 및 어닐링 단계(단계 108)를 포함한다.
단계(102) 동안에, 반응 챔버 내에 기판을 제공한다. 단계(102) 중에 사용된 반응 챔버는, 주기적 증착 공정을 수행하도록 구성된 화학 기상 증착 반응기 시스템의 반응 챔버일 수 있거나 이를 포함할 수 있다. 반응 챔버는 독립형 반응 챔버 또는 클러스터 툴의 일부일 수 있다.
단계(102)는, 반응 챔버 내에서 기판을 원하는 증착 온도로 가열하는 단계를 포함할 수 있다. 본 개시의 일부 구현예에서, 단계(102)는 800℃ 미만의 온도로 기판을 가열하는 단계를 포함한다. 예를 들어, 본 개시의 일부 구현예에서, 기판을 증착 온도로 가열하는 단계는 대략 20℃ 내지 대략 800℃, 650℃ 미만, 600℃ 미만, 550℃ 미만, 500℃ 미만, 약 300℃ 내지 600℃, 약 300℃ 내지 약 650℃, 약 300℃ 내지 550℃, 약 300℃ 내지 500℃, 또는 약 300℃ 내지 450℃의 온도로 기판을 가열하는 단계를 포함할 수 있다. 일부 경우에, 단계(102) 및/또는 단계(104) 동안 기판의 온도는 단계(106) 동안 기판의 온도보다 작다.
기판 온도의 제어 이외에, 반응 챔버 내의 압력도 또한 조절될 수 있다. 예를 들어, 본 개시의 일부 구현예에서, 단계(102) 및/또는 단계(104) 동안 반응 챔버 내의 압력은 760토르 미만, 또는 약 0.2 내지 약 300토르, 약 0.5 내지 약 50토르, 또는 약 0.5토르 내지 약 20토르일 수 있다. 단계(102) 및/또는 단계(104) 동안 반응 챔버 내의 압력은 단계(106) 동안 압력보다 작을 수 있다. 단계(102)의 온도 및 압력은 단계(104)에 적절한 온도 및 압력이다.
단계(104)에서, 전이 금속 황화물, 전이 금속 탄화물, 및 전이 금속 질화물 중 하나 이상을 포함하는 전이층이 기판의 표면 상에 형성된다. 전이층은 주기적 CVD, ALD, 또는 하이브리드 주기적 CVD/ALD 공정과 같은 주기적 증착 공정을 사용하여 형성될 수 있다. 예를 들어, 일부 구현예에서, 특정 ALD 공정의 성장 속도는 CVD 공정에 비해 낮을 수 있다. 성장 속도를 증가시키는 하나의 접근법은 ALD 공정에서 통상적으로 사용되는 것보다 높은 증착 온도에서 작동시켜, 일부 부분에 화학 기상 증착 공정을 유발할 수 있으나, 반응물의 순차적 도입의 장점을 여전히 가질 수 있다. 이러한 공정은 주기적 CVD로 지칭될 수 있다. 일부 구현예에서, 주기적 CVD 공정은 두 개 이상의 반응물을 반응 챔버에 도입하는 단계를 포함할 수 있으며, 반응 챔버 내 두 개 이상의 반응물 사이의 중첩 시간일 수 있어서 증착의 ALD 성분 및 증착의 CVD 성분 양쪽을 초래한다. 이를 하이브리드 공정으로 지칭한다. 추가 예시에 따라, 주기적 증착 공정은 하나의 반응물/전구체의 연속적인 흐름 및 제2 반응물의 반응 챔버 내로의 주기적 펄스화를 포함할 수 있다.
본 개시의 일부 예시에 따라, 주기적 증착 공정은 열 증착 공정이다. 이들 경우, 주기적 증착 공정은, 주기적 증착 공정에 사용하기 위해 활성화된 종을 형성하는 플라즈마의 사용을 포함하지 않는다. 예를 들어, 주기적 증착 공정은 질소, 황, 또는 탄소 플라즈마의 형성 또는 사용을 포함하지 않을 수 있고, 여기된 질소, 황, 또는 탄소 종의 형성 또는 사용을 포함하지 않을 수 있고/있거나 질소, 황, 또는 탄소 라디칼의 형성 또는 사용을 포함하지 않을 수 있다.
다른 경우에, 단계(104)는 하나 이상의 전구체, 반응물 및 불활성 가스로부터 여기된 종을 형성하는 단계를 포함할 수 있다. 여기된 종은 직접식 및/또는 원격식 플라즈마를 사용하여 형성될 수 있다.
주기적 증착 공정은, 전이 금속 전구체를 반응 챔버에 제공하는 단계 및 반응물을 반응 챔버에 제공하는 단계를 (예를 들어, 별도로 및 순차적으로) 포함할 수 있다.
단계(104)에 적합한 예시적인 주기적 증착 공정(200)이 도 2에 도시되어 있다. 공정(200)은 전이 금속 전구체를 반응 챔버에 제공하는 단계(단계 202), 탄소 반응물, 황 반응물, 및 질소 반응물 중 하나 이상을 반응 챔버에 제공하는 단계(단계 204), 및 환원 반응물을 반응 챔버에 제공하는 단계(단계 206)를 포함한다. 달리 언급되지 않는 한, 단계 202-206는 도시된 순서로 수행될 필요는 없다. 예를 들어, 공정(200)은 단계(202)에 이어 단계(206)에 이어 단계(204)를 포함할 수 있다. 대안적으로, 공정(200)은 단계(202 및 204) 또는 단계(202 및 206)만을 포함할 수 있다.
전이 금속 전구체 내의 전이 금속은 4족 내지 7족 전이 금속 또는 다른 전이 금속으로부터 선택된 금속을 포함할 수 있다. 예로서, 전이 금속은 티타늄, 텅스텐, 몰리브덴, 바나듐, 루테늄, 구리, 니오븀, 탄탈륨, 코발트, 하프늄, 및 지르코늄으로 이루어진 군으로부터 선택될 수 있다.
본 개시의 추가적인 예에 따르면, 전이 금속 전구체는 전이 금속 할라이드, 전이 금속 칼코게나이드 할라이드, 전이 금속 카르보닐, 전이 금속유기 전구체, 및 전이 금속 유기금속 전구체 중 하나 이상을 포함할 수 있다.
예로서, 전이 금속은 몰리브덴일 수 있거나 이를 포함할 수 있다. 이 경우, 전이 금속 전구체는 몰리브덴 할라이드, 몰리브덴 옥시할라이드, 몰리브덴 유기금속 화합물, 몰리브덴 금속 유기 화합물 등 중 하나 이상을 포함할 수 있다.
특정 예로서, 몰리브덴 할라이드는 몰리브덴 플루오라이드, 몰리브덴 클로라이드, 몰리브덴 브로마이드, 및 몰리브덴 요오드 중 하나 이상으로부터 선택될 수 있다. 몰리브덴 할라이드는 몰리브덴 및 하나 이상의 할로겐만을 포함할 수 있다. 예시적인 적합한 몰리브덴 할라이드는 몰리브덴 트리클로라이드(MoCl3), 몰리브덴 테트라클로라이드(MoCl4), 몰리브덴 펜타클로라이드(MoCl5), 몰리브덴 헥사클로라이드(MoCl6), 및 몰리브덴 헥사플루오라이드(MoF6) 중 적어도 하나를 포함한다.
몰리브덴 옥시할라이드는 하나 이상의 몰리브덴 옥시할라이드, 예컨대 몰리브덴 옥시플루오라이드, 몰리브덴 옥시클로라이드, 몰리브덴 옥시브로마이드, 및 몰리브덴 옥시요오드 중 하나 이상으로부터 선택될 수 있다. 몰리브덴 옥시할라이드는 몰리브덴, 산소, 및 하나 이상의 할로겐만을 포함할 수 있다. 예로서, 몰리브덴 옥시할라이드는 브롬, 염소 및 요오드 중 하나 이상을 포함하는 화합물로부터 선택될 수 있고, 몰리브덴(V) 트리클로라이드 옥사이드(MoOCl3), 몰리브덴(VI) 테트라클로라이드 옥사이드(MoOCl4), 및 몰리브덴(IV) 디클로라이드 디옥사이드(MoO2Cl2) 중 하나 이상을 포함할 수 있다.
추가적인 예시적인 몰리브덴 전구체에는 몰리브덴 헥사카르보닐(Mo(CO)6), 테트라클로로(시클로펜타디에닐)몰리브덴, Mo(tBuN)2(NMe2)2, Mo(NBu)2(StBu)2, (Me2N)4Mo, (iPrCp)2MoH2, Mo(NMe2)4, Mo(NEt2)4, Mo2(NMe2)6, Mo(tBuN)2(NMe2)2, Mo(NtBu)2(StBu)2, Mo(NtBu)2(iPr2AMD)2, Mo(thd)3, MoO2(acac)2, MoO2(thd)2, MoO2(iPr2AMD)2, Mo(Cp)2H2, Mo(iPrCp)2H2, Mo(η6-에틸벤젠)2, MoCp(CO)23-알릴), 및 MoCp(CO)2(NO)를 포함한다.
예시적인 전이 금속 전구체는 "헤테로렙틱" 또는 혼합된 리간드 전구체를 포함할 수 있으며, 여기서 임의의 달성 가능한 수(전형적으로 3 내지 5개의 리간드이지만, 예외가 있을 수 있음)의 예시적인 리간드 유형의 임의의 조합이 전이 금속/몰리브덴 원자에 부착될 수 있다. 일부 경우에, 전이 금속/몰리브덴 전구체는 적어도 하나의 할라이드 리간드를 포함한다.
할라이드 및 옥시할라이드 전구체의 사용은, 금속유기 전구체와 같은 다른 전구체를 사용하는 방법에 비해 유리할 수 있는데, 그 이유는 할라이드 및 옥시할라이드 전구체가 비교적 저렴할 수 있고, 탄소와 같은 불순물의 낮은 농도를 갖는 전이 금속 층을 초래할 수 있고/있거나 이러한 전구체를 사용하는 공정은 금속유기 또는 다른 몰리브덴 또는 다른 전이 금속 전구체를 사용하는 공정과 비교하여 더 제어 가능할 수 있기 때문이다. 또한, 이러한 전구체는 여기된 종을 형성하기 위해 플라즈마의 도움으로 또는 플라즈마의 도움 없이 사용될 수 있다. 또한, 유기금속 전이 금속 전구체를 사용하는 공정에 비해, 전이 금속 할라이드 전구체를 사용하는 공정은 스케일 업이 더 용이할 수 있다.
단계(204) 동안, 탄소 반응물, 황 반응물, 및 질소 반응물 중 하나 이상은 상기 반응 챔버에 제공된다.
예시적인 질소 반응물은 질소(N2), 암모니아(NH3), 히드라진(N2H4) 또는 히드라진 유도체, 수소와 질소의 혼합물, 질소 이온, 질소 라디칼, 및 여기된 질소 종, 및 다른 질소와 수소 함유 가스 중 하나 이상으로부터 선택될 수 있다. 질소 반응물은 질소 및 수소를 포함하거나 이로 구성될 수 있다. 일부 경우에, 질소 반응물은 이원자 질소를 포함하지 않는다.
예시적인 황 반응물은 황화수소(H2S), 황(예, S8), 티올(예, 알킬 및 아릴 티올), 디설파이드 결합을 포함한 화합물, 황-알킬기 결합을 포함한 화합물, 및 화학식 R-S-S-R' 또는 S-R로 표시된 화합물(여기서 R 및 R'는 지방족(예, C1-C8) 및 방향족 기, 황 할라이드(예, SCl2 또는 SBr2,와 같이 하나의 황을 포함하거나 이염화이황과 같이 하나의 할라이드를 포함)을 포함한다. 알킬 티올은 C1-C8 알킬 티올을 포함할 수 있다.
예시적인 탄소 반응물은 아세틸렌, 에틸렌, 알킬 할라이드 화합물, 알켄 할라이드 화합물, 금속 알킬 화합물 등을 포함한다. 예시적인 알킬 할라이드 화합물은 CX4, CHX3, CH2X2, CH3X(X = F, Cl, Br, 또는 I)를 포함한다. 예시적인 알켄 할라이드 화합물은 C2H3X, C2H2X2, C2HX3, 및 C2X4(X = F, Cl, Br, 또는 I)를 포함한다. 예시적인 알킨 할라이드 화합물은 C2X2 및 HC2X(X = F, Cl, Br, 또는 I)를 포함한다. 예시적인 금속 알킬 화합물은 AlMe3, AlEt3, Al(iPr)3, Al(iBu)3, Al(tBu)3, GaMe3, GaEt3, Ga(iPr)3, Ga(iBu)3, Ga(tBu)3, InMe3, InEt3, In(iPr)3, In(iBu)3, In(tBu)3, 및 ZnMe2, ZnEt2을 포함한다.
단계(206)에서, 환원 반응물을 반응 챔버에 제공된다. 환원 반응물은 수소, 수소 라디칼, 수소 이온, 화학식 SinH(2n+2)를 갖는 실란, 화학식 GenH2n+2를 갖는 저메인(germane), 화학식 BnHn+4 또는 BnHn+6을 갖는 보란(borane), 기타 붕소 수소화물, 휘발성 금속 수소화물 및 DIBAL 및 R3N-AlH3과 같은, 이의 부가물을 포함할 수 있으며, 여기서 R은 금속과 킬레이트를 형성할 수 있는 헤테로원자를 소유하는 임의의 알킬 또는 아릴 기이다.
일부 경우에, 둘 이상의 전구체 및/또는 둘 이상의 반응물이 반응 챔버 내에서 중첩하도록, 둘 이상의 전구체 및/또는 둘 이상의 반응물이 반응 챔버로 흐를 수 있다. 예를 들어, 하나 이상의 질소 반응물 및 하나 이상의 탄소 반응물은 반응 챔버로 공동으로 흐를 수 있다.
열 주기적 증착 공정의 경우에, 반응물을 반응 챔버에 제공하는 단계의 지속 시간은, 반응물이 전구체 또는 이의 유도체와 반응할 수 있도록 비교적 길 수 있다. 예를 들어, 지속 시간은 약 0.1 내지 약 30초, 약 1 내지 약 5초, 또는 약 1.5 내지 약 10초일 수 있다.
단계(104)/공정(200)의 일부로서, 진공 및/또는 불활성 가스를 사용해 반응 챔버를 퍼지할 수 있어, 예를 들어 전구체/반응물 사이의 기상 반응을 완화시키고, 예컨대 ALD의 경우 자기 포화적 표면 반응을 가능하게 한다. 추가적으로 또는 대안적으로, 기판을, 제1 기상 반응물 및 제2 기상 반응물과 별도로 접촉하도록 이동시킬 수 있다. 반응 챔버는 전구체를 반응 챔버에 제공하는 단계 후 및/또는 반응물을 반응 챔버에 제공하는 단계 후 및/또는 환원 반응물을 반응 챔버에 제공하는 단계 후 퍼지될 수 있고 및/또는 기판은 이동된다.
본 개시의 일부 구현예에서, 공정(200)은, 단계 (1) 및/또는 단계 (2) 및/또는 단계 (3) 이후의 선택적 퍼지 또는 이동 단계와 함께, (1) 전이 금속 전구체를 반응 챔버에 제공하는 단계 및 (2) 반응물을 반응 챔버에 제공하는 단계, 및 (3) 환원 반응물을 반응 챔버에 제공하는 단계를 포함하는 유닛 증착 사이클을 반복하는 단계를 포함한다. 증착 사이클은, 예를 들어, 전이층의 원하는 두께, 예를 들어, 100Å 초과, 1nm 초과, 5nm 초과, 약 1nm 내지 약 20nm 또는 약 1nm 내지 약 10nm에 기초하여 1회 이상 반복될 수 있다.
일단 전이층이 원하는 두께로 증착되었으면, 방법(100)은 단계(106)로 진행한다. 단계(104)와 유사하게, 단계(106)은 주기적 공정을 포함할 수 있다. 단계(106)에 적합한 예시적인 주기적 공정이 공정(300)으로서 도 3에 도시되어 있다.
공정(300)은 전이 금속 전구체를 반응 챔버에 제공하는 단계(단계 302) 및 환원 반응물을 반응 챔버에 제공하는 단계(단계 304)를 포함한다.
단계(302) 동안, 전이 전구체가 반응 챔버, 예를 들어 단계(104)에 사용된 것과 동일한 반응 챔버에 제공된다. 전이 전구체는 전술한 임의의 전이 금속 전구체이거나 이를 포함할 수 있다.
본원에서 언급된 다른 이점에 더하여, 위에서 형성된 바와 같은 전이층의 사용은 공정(300) 동안 비교적 낮은 증착 온도를 허용할 수 있다. 예를 들어, 단계(106)/공정(300) 동안의 증착 온도는 650℃ 미만, 600℃ 미만, 550℃ 미만, 500℃ 미만, 약 300℃ 내지 600℃, 약 300℃ 내지 650℃, 약 300℃ 내지 550℃, 약 300℃ 내지 500℃, 또는 약 300℃ 내지 450℃일 수 있다. 단계(106)/공정(300) 동안 반응 챔버 내의 압력은 약 0.2 내지 약 300토르, 약 0.5 내지 약 60토르, 또는 약 20 내지 약 80토르일 수 있다. 전술한 바와 같이, 단계(106) 동안 기판 온도 및/또는 반응 챔버 압력은 단계(102) 및/또는 단계(104) 동안 기판 온도 및/또는 반응 챔버 압력보다 높을 수 있다.
도 1로 돌아가서, 단계(108)는, 전이 금속 황화물, 전이 금속 탄화물, 및 전이 금속 질화물 중 하나 이상을 포함하는 전이층을 각각의 전이 금속으로 변환시키기 위해 단계(104 및 106) 동안 형성된 어닐링 층을 포함한다. 단계(108) 동안 온도는 약 450℃ 내지 약 1000℃ 또는 약 550℃ 내지 약 900℃일 수 있다. 단계(108) 동안 반응 챔버 내의 압력은 약 0.1 내지 약 760토르, 약 0.2 내지 약 300토르, 약 1 내지 약 100토르, 또는 약 0.5 내지 약 60토르일 수 있다.
단계(108) 동안, 환원 반응물은 반응 챔버 내에 도입된다. 환원 반응물은 단계(304) 동안 사용된 환원 반응물과 동일하거나 유사할 수 있다. 일부 경우에, 불활성 가스는 또한 단계(108) 동안 반응 챔버 내로 도입될 수 있다.
특정 예로서, 단계(104) 동안 형성된 몰리브덴 질화층은, 단계(104 및 106) 동안 형성된 조합된 층의 비저항이 금속의 비저항을 나타내도록, 몰리브덴으로 변환될 수 있다. 즉, 표면 상의 전이 금속 황화물, 전이 금속 탄화물, 및/또는 전이 금속 질화물은 단계(108) 동안 금속층으로 변환된다. 전이층은 또한 증착 단계(106) 동안 적어도 부분적으로 금속으로 변환될 수 있다.
일부 경우에, 예를 들어, 전이층이 그 다음에 약 50Å 이하일 때, 전이층은 단계(106) 동안 변환될 수 있고, 따라서 더 이상의 환원 단계가 사용되지 않을 수 있다.
본 개시의 다양한 예에 따라, 온도, 압력, 전구체 유량, 반응물 유량, 및 여기 종의 형성 여부와 같은 공정 조건이 전이 금속층의 응력을 조작하는 데 사용될 수 있다. 예를 들어, 전이 금속층의 사용은 보다 낮은 온도에서 전이 금속층의 증착을 허용할 수 있다. 전술한 온도와 같은 더 낮은 온도에서 증착된 (예를 들어, 알루미늄 옥사이드 또는 실리콘 옥사이드와 같은 유전체 재료에 걸쳐서) 전이 금속층은 전이 없이 및/또는 더 높은 온도에서 증착된 전이 금속층에 비해 상대적으로 더 큰 압축 응력을 갖는 전이 금속층을 허용한다. 또한, 단계(104 및 106) 동안 공정 조건을 제어함으로써, 전이 금속층에 응력 변조를 갖고, 예를 들어 20nm 몰리브덴 층에 대해 최대 약 450MPa 또는 200MPa 초과의 층에 압축 응력을 달성할 수 있다.
도 4는 본 개시의 추가적인 예에 따른 장치(400)의 구조체/일부를 나타낸다. 장치부 또는 구조체(400)는 기판(402), 전이 금속층(404), 및 (예를 들어, 하나 또는 둘 모두와 접촉하는) 기판(402)과 전이 금속층(404) 사이의 전이층(406)을 포함한다.
기판(402)은 본원에 설명된 임의의 기판 재료일 수 있거나 이를 포함할 수 있다. 본 개시의 예에 따르면, 기판(402)은 유전체 또는 절연 층을 포함한다.
유전체 또는 절연 층은 하나 이상의 유전체 또는 절연 재료 층을 포함할 수 있다. 예로서, 고 유전체 또는 절연 층은, 예를 들어 약 7 초과의 유전 상수를 갖는 금속성 옥사이드와 같은 고-유전율 재료일 수 있다. 일부 구현예에서, 고-유전율 재료는 실리콘 옥사이드의 유전 상수보다 높은 유전 상수를 포함한다. 예시적인 고-유전율 재료는 하프늄 옥사이드(HfO2), 탄탈륨 옥사이드(Ta2O5), 지르코늄 옥사이드(ZrO2), 티타늄 옥사이드(TiO2), 하프늄 실리케이트(HfSiOx), 알루미늄 옥사이드(Al2O3), 란타늄 옥사이드(La2O3), 티타늄 니트라이드 및 하나 이상의 이러한 층을 포함한 혼합물/라미네이트를 포함한다. 일부 경우에, 절연 재료는 실리콘 옥사이드를 포함한다.
전이 금속층(404) 및 전이층(406)은 본원에 기술된 방법에 따라 형성될 수 있다. 전이층(406)이 주기적 증착 공정을 사용하여 형성되는 경우에, 전이층(406)의 바닥에서 전이층(406)의 상단까지, 예를 들어 하나 이상의 증착 사이클 동안 전구체 및/또는 반응물(들) 및/또는 각각의 펄스 시간의 양을 제어함으로써, 전이층(406) 구성 성분(예를 들어, 전이 금속, C, S 또는 N)의 농도가 변할 수 있다. 일부 경우에, 전이 금속층(404)은 금속일 수 있다. 전이 금속층(404)의 응력은 전이층(406)의 형성 동안 특성(예를 들어, 두께 및/또는 조성물) 및/또는 공정 조건을 변경함으로써 변경될 수 있다.
전이 금속층(404)은 1원자% 미만, 0.2원자% 미만, 0.1원자% 또는 0.05원자% 미만, 단독으로 또는 조합하여, 할라이드, 수소 등과 같은 불순물을 포함할 수 있다.
추가적으로 또는 대안적으로, 전이 금속층(404)은, 예를 들어 방법(100)을 사용하여, < 5nm, < 4nm, < 3nm, < 2nm, < 1.5nm, < 1.2nm, < 1.0nm, 또는 < 0.9nm의 두께로 연속적인 막을 형성할 수 있다.
(예를 들어, 변환 후의) 전이층(406) 및 층(404)의 총 두께는 50nm 미만, 20nm 미만, 약 0.5nm 내지 약 20nm, 약 1nm 내지 약 15nm, 또는 약 1nm 내지 약 10nm일 수 있다.
전술한 바와 같이, 단계(108) 후에, 전이층(406)은 전이 금속층(404)과 실질적으로 동일한 금속층으로 변환될 수 있다. 예를 들어, 전이 금속층(404)이 몰리브덴을 포함하는 (예를 들어, 본질적으로 구성된) 경우, 어닐링 단계(108) 후, 그런 다음 전이층(406)은 본질적으로 몰리브덴으로 구성될 수 있다.
전이층(406)을 사용한 다음 전이층을 금속으로 변환하는 것은, 순조로운 전이 금속층(404)의 증착을 허용하며, 이는 결국 전이 금속층(404) 및 다른 장점을 사용하여 더 양호한 갭 필을 허용한다. 또한, 고 종횡비 특징부-예를 들어, 5:1 초과 또는 10:1 초과, 또는 약 5:1 내지 약 20:1, 약 5:1 내지 약 10:1의 종횡비를 갖는 특징부 위에 전이 금속을 직접 증착하는 것은 전이 금속층(404)의 증착 동안 특징부의 휨을 초래할 수 있다. 그러나, 본원에 기술된 바와 같이 전이층(예를 들어, 전이층(406)) 및 후속 어닐링을 사용하여 전이층에서 금속으로 변환시키는 것은 전이 금속층(404)의 증착 동안 고 종횡비 특징부의 휨을 완화시키거나 제거한다.
단계(108) 후, 본 개시의 예에 따라 형성된 구조체의 이차 이온 질량 분광 (SIMS) 분석 및 전자 에너지 손실 분광 (EELS) 분석을 통해 하부 전이층의 금속층으로의 변환을 확인하였다.
조합된 변환된 층(406) 및 전이 금속(예를 들어, 몰리브덴) 층(404)의 비저항은 전이 금속층의 비저항에 관한 것일 수 있다. 즉, 본원에 기술된 바와 같은 전이층을 사용할 때, 비저항에 대한 유해한 효과는 관찰되지 않을 수 있다.
도 5는, 본 개시의 예시적인 추가 구현예에 따른 시스템(500)을 나타낸다. 시스템(500)은, 본원에 설명된 바와 같은 방법 또는 공정을 수행하고/수행하거나 본원에 설명된 바와 같은 구조체 또는 장치를 형성하기 위해 사용될 수 있다.
도시된 예에서, 시스템(500)은 하나 이상의 반응 챔버(502), 전구체 가스 공급원(504), 반응물 가스 공급원(506), 환원 반응물 공급원(507), 퍼지 가스 공급원(508), 배기 공급원(510), 및 제어기(512)를 포함한다.
반응 챔버(502)는 임의의 적합한 반응 챔버, 예컨대 ALD 또는 CVD 반응 챔버를 포함할 수 있다.
전구체 가스 공급원(504)은, 용기 및 본원에 설명된 바와 같은 하나 이상의 전이 금속 전구체를 단독으로 또는 하나 이상의 캐리어(예를 들어, 불활성) 가스와 혼합하여 포함할 수 있다. 반응물 가스 공급원(506)은, 용기 및 본원에 설명된 바와 같은 하나 이상의 반응물을 단독으로 또는 하나 이상의 캐리어 가스와 혼합하여 포함할 수 있다. 환원 반응물 공급원(507)은 하나 이상의 환원 반응물을 단독으로 또는 하나 이상의 캐리어 가스와 혼합하여 포함할 수 있다. 퍼지 가스 공급원(508)은 본원에 설명된 바와 같이 하나 이상의 불활성 가스를 포함할 수 있다. 네 개의 가스 공급원들(504, 506, 507 및 508)으로 나타냈지만, 시스템(500)은 적절한 임의 개수의 가스 공급원을 포함할 수 있다. 예를 들어, 시스템(500)은 다른 전이 금속 전구체 공급원 및/또는 다른 환원 반응물을 포함할 수 있다. 가스 공급원들(504, 506, 507 및 508)은 라인들(514, 516, 518 및 519)을 통해 반응 챔버(502)에 결합될 수 있으며, 이들 각각은 흐름 제어기, 밸브, 히터 등을 포함할 수 있다.
진공원(510)은 하나 이상의 진공 펌프를 포함할 수 있다.
제어기(512)는 밸브, 매니폴드, 히터, 펌프 및 시스템(500)에 포함된 다른 구성 요소를 선택적으로 작동시키기 위한 전자 회로 및 소프트웨어를 포함한다. 이러한 회로 및 구성 요소는, 전구체, 반응물 및 퍼지 가스를 각각의 공급원들(504, 506, 507 및 508)로부터 도입하기 위해 작동한다. 제어기(512)는 가스 펄스 순서의 시점, 기판 및/또는 반응 챔버의 온도, 반응 챔버의 압력, 및 시스템(500)의 적절한 작동을 제공하는데 다양한 기타 작동을 제어할 수 있다. 제어기(512)는, 반응 챔버(502) 내로 그리고 반응 챔버로부터의 전구체, 반응물 및 퍼지 가스의 흐름을 제어하기 위한 밸브를 전기식 혹은 공압식으로 제어하는 제어 소프트웨어를 포함할 수 있다. 제어기(512)는, 소프트웨어 또는 하드웨어 구성 요소, 예를 들어 특정 작업을 수행하는 FPGA 또는 ASIC과 같은 모듈을 포함할 수 있다. 모듈은 제어 시스템의 어드레스 가능한 저장 매체에 탑재되도록 구성되고, 하나 이상의 공정을 실행하도록 유리하게 구성될 수 있다.
시스템(500)은 원격 및/또는 직접 플라즈마 생성 장치와 같은 하나 이상의 원격 여기 공급원(520) 및/또는 직접 여기 공급원(522)을 포함할 수 있다.
상이한 수 및 종류의 전구체 및 반응물 공급원 및 퍼지 가스 공급원을 포함하는 시스템(500)의 다른 구성이 가능하다. 또한, 가스를 반응 챔버(502) 내로 선택적으로 공급하는 목적을 달성하는데 사용될 수 있는 밸브, 도관, 전구체 공급원, 퍼지 가스 공급원의 다수의 배열이 존재함을 이해할 것이다. 또한, 시스템을 개략적으로 표현하면서, 많은 구성 요소가 예시의 단순화를 위해 생략되었는데, 이러한 구성 요소는, 예를 들어 다양한 밸브, 매니폴드, 정화기, 히터, 용기, 벤트, 및/또는 바이패스를 포함할 수 있다.
반응기 시스템(500)의 작동 중에, 반도체 웨이퍼(미도시)와 같은 기판은, 예를 들어 기판 취급 시스템에서 반응 챔버(502)로 이송된다. 일단 기판(들)이 반응 챔버(502)로 이송되면, 전구체, 반응물, 캐리어 가스, 및/또는 퍼지 가스와 같은, 가스 공급원들(504, 506, 507 및 508)로부터 하나 이상의 가스가, 예를 들어 방법(100), 공정(200) 또는 공정(300)을 수행하기 위해 반응 챔버(502) 내로 유입되어 된다.
전술한 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이며, 이는 첨부된 청구범위 및 그의 법적 균등물에 의해 정의된다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 나타내고 설명된 것 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경예 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (21)

  1. 구조체를 형성하는 방법으로서, 상기 방법은,
    기판을 제공하는 단계;
    상기 기판의 표면 상에 전이 금속 황화물, 전이 금속 탄화물, 및 전이 금속 질화물 중 하나 이상을 포함하는 전이층을 형성하는 단계; 및
    상기 전이층 위에 놓이는 전이 금속층을 형성하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 전이 금속 황화물, 전이 금속 탄화물, 및 전이 금속 질화물 중 하나 이상의 전이 금속 및 상기 전이 금속층의 전이 금속은 동일한, 방법.
  3. 제1항 또는 제2항에 있어서, 상기 전이층은 4족 내지 7족 전이 금속으로부터 선택된 전이 금속을 포함하는, 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 전이층의 두께는 100Å 초과, 1nm 초과, 5nm 초과, 약 1nm 내지 약 20nm 또는 약 1nm 내지 약 10nm인, 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 전이층을 형성하는 단계는 주기적 증착 공정을 포함하는, 방법.
  6. 제5항에 있어서, 상기 주기적 증착 공정은:
    전이 금속 전구체를 반응 챔버에 제공하는 단계;
    탄소, 황 및 질소 반응물 중 하나 이상을 상기 반응 챔버에 제공하는 단계; 및
    환원 반응물을 상기 반응 챔버에 선택적으로 제공하는 단계를 포함하는, 방법.
  7. 제6항에 있어서, 상기 전이 금속 전구체는 전이 금속 할라이드, 전이 금속 칼코게나이드 할라이드, 전이 금속 카르보닐, 전이 금속유기 전구체, 및 전이 금속 유기금속 전구체 중 하나 이상을 포함하는, 방법.
  8. 제6항 또는 제7항에 있어서, 상기 탄소 반응물은 아세틸렌, 에틸렌, 알킬 할라이드 화합물, 알켄 할라이드 화합물, 및 금속 알킬 화합물 중 하나 이상을 포함하는, 방법.
  9. 제6항 내지 제8항 중 어느 한 항에 있어서, 상기 질소 반응물은 질소(N2), 암모니아(NH3), 히드라진(N2H4), 또는 히드라진 유도체, 수소와 질소의 혼합물, 질소 이온들, 질소 라디칼들, 및 여기된 질소 종 중 하나 이상을 포함하는, 방법.
  10. 제6항 내지 제9항 중 어느 한 항에 있어서, 상기 황 반응물은 황화수소(H2S), 황, 티올들, 이황화 결합들을 포함하는 화합물들, 황-알킬기 결합을 포함하는 화합물들, 화학식 R-S-S-R' 또는 S-R로 표시되는 화합물들 중 하나 이상을 포함하고, 여기서 R 및 R'는 지방족(C1-C8) 및 방향족 기들, 및 황 할라이드들에서 독립적으로 선택되는, 방법.
  11. 제6항 내지 제10항 중 어느 한 항에 있어서, 상기 환원 반응물은 수소, 수소 라디칼들, 수소 이온들, 화학식 SinH(2n+2)를 갖는 실란, 화학식 GenH2n+2를 갖는 저메인, 및 화학식 BnHn+4 또는 BnHn+6을 갖는 보란 중 하나 이상을 포함하는, 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 상기 전이 금속층을 형성하는 단계는 주기적 증착 공정을 포함하는, 방법.
  13. 제12항에 있어서, 상기 주기적 증착 공정은:
    몰리브덴 전구체를 반응 챔버에 제공하는 단계; 및
    환원 반응물을 상기 반응 챔버에 제공하는 단계를 포함하는, 방법.
  14. 제13항에 있어서, 상기 몰리브덴 전구체는 몰리브덴 할라이드, 몰리브덴 칼코게나이드 할라이드, 몰리브덴 카르보닐, 몰리브덴 금속유기 전구체, 및 몰리브덴 유기금속 전구체 중 하나 이상을 포함하는, 방법.
  15. 제13항 또는 제14항에 있어서, 상기 몰리브덴 전구체는 적어도 하나의 할라이드 리간드를 포함하는, 방법.
  16. 제13항 내지 제15항 중 어느 한 항에 있어서, 상기 몰리브덴 전구체는 몰리브덴 테트라클로라이드(MoCl5), 몰리브덴 트리클로라이드(MoCl3), 몰리브덴 테트라클로라이드(MoCl4), 몰리브덴 펜타클로라이드(MoCl5), 몰리브덴 헥사클로라이드(MoCl6), 몰리브덴 헥사플루오라이드(MoF6), 몰리브덴 헥사카르보닐(Mo(CO)6), 테트라클로로(시클로펜타디에닐)몰리브덴, 몰리브덴 (V) 트리클로라이드 옥사이드(MoOCl3), 몰리브덴 (VI) 테트라클로라이드 옥사이드(MoOCl4), 및 몰리브덴 (IV) 디클로라이드 디옥사이드(MoO2Cl2), Mo(tBuN)2(NMe2)2, Mo(NBu)2(StBu)2, (Me2N)4Mo, (iPrCp)2MoH2, Mo(NMe2)4, Mo(NEt2)4, Mo2(NMe2)6, Mo(tBuN)2(NMe2)2, Mo(NtBu)2(StBu)2, Mo(NtBu)2(iPr2AMD)2, Mo(thd)3, MoO2(acac)2, MoO2(thd)2, MoO2(iPr2AMD)2, Mo(Cp)2H2, Mo(iPrCp)2H2, Mo(η6-에틸벤젠)2, MoCp(CO)2(η3-알릴), 및 MoCp(CO)2(NO) 중 하나 이상을 포함하는, 방법.
  17. 제13항 내지 제16항 중 어느 한 항에 있어서, 상기 전이 금속층을 형성하는 단계 동안 증착 온도는 800℃ 미만, 650℃ 미만, 600℃ 미만, 550℃ 미만, 500℃ 미만, 약 300℃ 내지 550℃, 약 300℃ 내지 500℃, 또는 약 300℃ 내지 450℃인, 방법.
  18. 제1항 내지 제17항 중 어느 한 항에 있어서,
    상기 전이 금속층을 환원 분위기에서 어닐링하는 단계를 더 포함하는, 방법.
  19. 제18항에 있어서, 상기 어닐링 단계 동안의 온도는 약 450℃ 내지 약 1000℃또는 약 550℃내지 약 900℃인, 방법.
  20. 제1항 내지 제19항 중 어느 한 항의 방법에 따라 형성된 구조체.
  21. 제1항 내지 제19항 중 어느 한 항의 방법을 수행하는 반응기 시스템.
KR1020210092538A 2020-07-20 2021-07-14 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 KR20220011092A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063054135P 2020-07-20 2020-07-20
US63/054,135 2020-07-20

Publications (1)

Publication Number Publication Date
KR20220011092A true KR20220011092A (ko) 2022-01-27

Family

ID=79292068

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210092538A KR20220011092A (ko) 2020-07-20 2021-07-14 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템

Country Status (5)

Country Link
US (1) US20220018025A1 (ko)
JP (1) JP2022020585A (ko)
KR (1) KR20220011092A (ko)
CN (1) CN113957418A (ko)
TW (1) TW202214897A (ko)

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3951612A (en) * 1974-11-12 1976-04-20 Aerospace Materials Inc. Erosion resistant coatings
JPH01149506A (ja) * 1987-12-07 1989-06-12 Mitsubishi Electric Corp デカツプリング回路
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US5242860A (en) * 1991-07-24 1993-09-07 Applied Materials, Inc. Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US7732327B2 (en) * 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
CN100523299C (zh) * 2003-07-25 2009-08-05 贝卡尔特股份有限公司 覆盖有中间涂层和硬质碳涂层的基材
US8119240B2 (en) * 2005-12-02 2012-02-21 United Technologies Corporation Metal-free diamond-like-carbon coatings
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR20130020221A (ko) * 2011-08-19 2013-02-27 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8617985B2 (en) * 2011-10-28 2013-12-31 Applied Materials, Inc. High temperature tungsten metallization process
US9112037B2 (en) * 2012-02-09 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US9695944B2 (en) * 2013-12-23 2017-07-04 Flowserve Management Company Electrical corrosion resistant mechanical seal
KR101463105B1 (ko) * 2014-01-02 2014-12-04 연세대학교 산학협력단 황화 텅스텐층 형성 방법 및 황화 텅스텐층 형성 장치
US20160099355A1 (en) * 2014-10-06 2016-04-07 Schiltron Corporation Non-volatile memory devices with thin-film and mono-crystalline silicon transistors
US10468263B2 (en) * 2015-12-19 2019-11-05 Applied Materials, Inc. Tungsten deposition without barrier layer
US9653537B1 (en) * 2016-09-26 2017-05-16 International Business Machines Corporation Controlling threshold voltage in nanosheet transistors
KR102572271B1 (ko) * 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US10854459B2 (en) * 2017-09-28 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure passivating species drive-in method and structure formed thereby
JP2019102684A (ja) * 2017-12-05 2019-06-24 東芝メモリ株式会社 半導体装置及びその製造方法
US11362293B2 (en) * 2018-05-31 2022-06-14 Massachusetts Institute Of Technology Interlayers and associated systems, devices, and methods

Also Published As

Publication number Publication date
CN113957418A (zh) 2022-01-21
US20220018025A1 (en) 2022-01-20
TW202214897A (zh) 2022-04-16
JP2022020585A (ja) 2022-02-01

Similar Documents

Publication Publication Date Title
US20210066080A1 (en) Methods and apparatus for depositing a chalcogenide film and structures including the film
US6200893B1 (en) Radical-assisted sequential CVD
EP1238421B1 (en) Apparatus and method for minimizing parasitic chemical vapor deposition during atomic layer deposition
CN111197159A (zh) 通过循环沉积工艺在衬底上沉积过渡金属硫族化物膜的方法
US20030183171A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20230279539A1 (en) Method and system for depositing molybdenum layers
US20220285211A1 (en) Methods and systems for filling a gap
KR20220058434A (ko) 몰리브덴 증착 방법
US20230250534A1 (en) Atomic layer deposition and etching of transition metal dichalcogenide thin films
US20220018025A1 (en) Method and system for forming structures including transition metal layers
US11885014B2 (en) Transition metal nitride deposition method
US20240096632A1 (en) Transition metal deposition processes and a deposition assembly
US11873557B2 (en) Method of depositing vanadium metal
US20240096633A1 (en) Methods and assemblies for selectively depositing transition metals
US11885020B2 (en) Transition metal deposition method
KR20230046995A (ko) 갭을 충전하기 위한 방법 및 시스템
KR20230012422A (ko) 전이 금속과 13족 원소를 포함한 층을 형성하기 위한 방법 및 시스템