KR20220058434A - 몰리브덴 증착 방법 - Google Patents

몰리브덴 증착 방법 Download PDF

Info

Publication number
KR20220058434A
KR20220058434A KR1020210141397A KR20210141397A KR20220058434A KR 20220058434 A KR20220058434 A KR 20220058434A KR 1020210141397 A KR1020210141397 A KR 1020210141397A KR 20210141397 A KR20210141397 A KR 20210141397A KR 20220058434 A KR20220058434 A KR 20220058434A
Authority
KR
South Korea
Prior art keywords
molybdenum
reactant
precursor
reaction chamber
substrate
Prior art date
Application number
KR1020210141397A
Other languages
English (en)
Inventor
엘리나 팜
얀 빌렘 마에스
찰스 데젤라
신야 이와시타
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20220058434A publication Critical patent/KR20220058434A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 개시는 기판 상에 몰리브덴을 증착하는 방법에 관한 것이다. 본 개시는 또한 몰리브덴 층, 구조체, 및 몰리브덴 층을 포함한 소자에 관한 것이다. 본 방법에서, 몰리브덴은 주기적 증착 공정에 의해 기판 상에 증착되고, 본 방법은 기판을 반응 챔버 내에 제공하는 단계, 몰리브덴 전구체를 반응 챔버에 기상으로 제공하는 단계, 및 반응물을 반응 챔버에 기상으로 제공하여 기판 상에 몰리브덴을 형성하는 단계를 포함한다. 몰리브덴 전구체는 몰리브덴 원자 및 탄화수소 리간드를 포함하고, 반응물은, 상이한 탄소 원자에 부착된 적어도 두 개의 할로겐 원자를 포함한 탄화수소를 포함한다.

Description

몰리브덴 증착 방법{MOLYBDENUM DEPOSITION METHOD}
본 개시는 일반적으로 반도체 소자 제조용 방법 및 장치에 관한 것이다. 보다 구체적으로, 본 개시는 기판 상에 몰리브덴을 증착하기 위한 방법 및 시스템, 그리고 몰리브덴을 포함한 층에 관한 것이다.
반도체 소자 제조 공정은 일반적으로 금속 및 금속 함유 층을 형성하기 위한 고급 증착 방법을 사용한다. 몰리브덴은 당업계에서 요구되는 많은 이점을 가질 수 있다. 예를 들어, 이는 백 엔드 오브 라인(BEOL) 또는 미드 엔드 오브 라인(MEOL) 응용 예의 전도체로서, 또는 로직 응용예의 매립된 파워 레일 또는 일함수 층에서, 및 고급 메모리 응용 예의 워드 또는 비트 라인에서 유용할 수 있다. 그러나, 주기적 증착 방법에 의한 고품질 몰리브덴 박막의 증착은 몰리브덴의 전기양성 성질 및 이의 질화물 또는 탄화물 상을 형성하는 경향으로 인해 여전히 도전적이다. 따라서, 저량의 탄소 및/또는 질소를 갖는 금속 몰리브덴 또는 몰리브덴을 증착하기 위한 대안적이거나 개선된 방법이 당업계에 필요하다.
이 부분에 진술된 문제점 및 해결책을 포함한 임의의 논의는, 단지 본 개시에 대한 맥락을 제공하는 목적으로만 본 개시에 포함되었다. 이러한 논의는 임의의 또는 모든 정보가 본 발명이 만들어졌거나 그렇지 않으면 선행 기술을 구성하는 시점에 알려진 것으로 간주되어서는 안된다.
본 발명의 내용은 개념의 선택을 단순화된 형태로 도입할 수 있으며, 이는 이하에서 더욱 상세히 설명될 수 있다. 본 발명의 내용은 청구된 요지의 주된 특징 또는 본질적인 특징을 필수적으로 구분하려는 의도가 아니며 청구된 요지의 범주를 제한하기 위해 사용하려는 의도 또한 아니다.
본 개시의 다양한 구현예는 몰리브덴을 증착하는 방법에 관한 것이다.
본 개시에서, 주기적 증착 공정에 의해 기판 상에 몰리브덴을 증착하는 방법이 개시된다. 본 방법은 반응 챔버 내에 기판을 제공하는 단계, 몰리브덴 전구체를 반응 챔버에 기상으로 제공하는 단계, 및 반응물을 반응 챔버에 기상으로 제공하여 기판 상에 몰리브덴을 형성하는 단계를 포함한다. 본 개시에 따른 몰리브덴 전구체는 몰리브덴 원자 및 탄화수소 리간드를 포함하고, 반응물은 두 개 이상의 할로겐 원자를 포함한 할로겐화 탄화수소를 포함하며, 적어도 두 개의 할로겐 원자는 상이한 탄소 원자에 부착된다.
본 개시는, 본 개시에 따른 방법에 의해 제조된 몰리브덴 층에 추가로 관한 것이다. 따라서, 기판이 반응 챔버 내에 제공되고, 몰리브덴 원자 및 탄화수소 리간드를 포함하는 몰리브덴 전구체가 기상으로 반응 챔버에 제공되고, 상이한 탄소 원자에 부착된 적어도 두 개의 할로겐 원자를 포함한 탄화수소를 포함하는 반응물이 반응 챔버에 제공되어 기판 상에 몰리브덴을 형성한다.
추가 양태에서, 본 개시는, 본 개시에 따른 방법에 의해 증착된 몰리브덴을 포함하는 구조체에 관한 것이다. 구조체에 포함된 몰리브덴은 층으로서 증착될 수 있다. 즉, 이는 몰리브덴 층일 수 있다. 본원에서 사용되는 바와 같이, "구조체"는 본원에 기술된 바와 같은 기판일 수 있거나 이를 포함할 수 있다. 구조체는, 기판 위에 놓이는 하나 이상의 층, 예컨대 본 개시에 따른 방법에 의해 형성된 하나 이상의 층을 포함할 수 있다. 구조체는, 예를 들어 BEOL 내의 비아 또는 라인, 또는 MEOL 내의 컨택 또는 로컬 상호 연결일 수 있다. 구조체는, 또한 게이트 전극 내의 일함수 층, 또는 로직 적용에서의 매립된 파워 레일, 뿐만 아니라 고급 메모리 적용에서의 워드 라인 또는 비트 라인일 수 있다.
또 다른 양태에서, 본 개시는, 본 개시에 따른 방법에 의해 증착된 몰리브덴을 포함하는 구조체에 관한 것이다. 소자는, 예를 들어 게이트 전극, 로직 또는 메모리 소자일 수 있다.
추가 양태에서, 증착 어셈블리가 개시된다. 증착 어셈블리는 기판 상에 몰리브덴을 증착하도록 구성되고 배열된다. 본 개시에 따른 기판 상에 몰리브덴을 증착하기 위한 증착 어셈블리는 기판을 유지하도록 구성되고 배열된 하나 이상의 반응 챔버, 및 몰리브덴 전구체 및/또는 반응물을 반응 챔버 내에 기상으로 제공하도록 구성되고 배열되는 전구체 인젝터 시스템을 포함한다. 증착 어셈블리는, 몰리브덴 원자 및 탄화수소 리간드를 포함한 몰리브덴 전구체를 함유 및 증발시키도록 구성되고 배열된 전구체 용기, 및 상이한 탄소 원자에 부착된 적어도 두 개의 할로겐 원자를 포함한 할로겐화 탄화수소를 포함하는 반응물을 함유 및 증발시키도록 구성되고 배열된 반응물 용기를 추가로 포함한다. 증착 어셈블리는 몰리브덴 전구체 및/또는 반응물을 전구체 인젝터 시스템을 통해 반응 챔버에 제공하여 기판 상에 몰리브덴을 증착하도록 구성되고 배열된다.
본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 지시된 변수의 임의의 값은 ("약"으로 표시되는지의 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "포함한", "의해 구성되는", 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭한다. 본 개시에서, 임의의 정의된 의미는 일부 구현예에서 반드시 보통의 그리고 관습적인 의미를 배제하는 것은 아니다.
본 개시의 추가 이해를 제공하고 본 명세서의 일부를 구성하기 위해 포함된 첨부 도면은 예시적인 구현예를 도시하며, 설명과 함께 본 개시의 원리를 설명하는 데 도움이 된다. 도면 중,
도 1a 내지 1c는, 본 개시에 따른 방법의 세 구현 예시를 나타낸다.
도 2는 본 개시에 따라 몰리브덴 층을 포함한 예시적인 구조체를 도시한다.
도 3은 본 개시에 따른 증착 장치를 개략적인 방식으로 나타낸다.
도 4는 본 개시에 따라 증착된 몰리브덴을 포함하는 예시적인 장치를 도시한다.
도 5의 패널 A 내지 D는 본 개시에 따라 증착된 몰리브덴을 포함하는 장치를 도시한다.
도 6은 본 개시에 따라 증착된 몰리브덴을 포함하는 매립 파워 레일의 표시이다.
도 7은 본 개시에 따라 증착된 몰리브덴을 포함하는 일함수 층을 포함한 소자를 도시한다.
도 8은 본 개시에 따라 증착된 몰리브덴을 포함하는 3D NAND의 워드 라인을 나타낸다.
도 9는 본 개시에 따라 증착된 몰리브덴을 포함하는 DRAM의 워드 라인의 구현 예시를 나타낸다.
아래에 제공된 방법, 구조, 소자 및 장치의 예시적인 구현예의 설명은 단지 예시적인 것이고, 예시의 목적으로만 의도된 것이다. 다음의 설명은 본 개시의 범주 또는 청구범위를 제한하려는 것이 아니다. 또한, 특징부를 표시한 다수 구현예를 인용하는 것이 추가적인 특징부를 갖는 다른 구현예 또는 명시된 특징부의 다른 조합을 포함한 다른 구현예를 배제하고자 함이 아니다. 예를 들어, 다양한 구현예가 예시적인 구현예로서 제시되고, 종속된 청구범위에 인용될 수 있다. 달리 언급되지 않는 한, 예시적인 구현예 또는 이의 구성 요소는 조합될 수 있거나 서로 분리되어 적용될 수 있다.
본 개시는 기판 상에 몰리브덴을 증착하는 방법에 관한 것이다. 상기 방법은 반응 챔버 내에 기판을 제공하는 단계, 몰리브덴 전구체를 반응 챔버 내에 기상으로 제공하는 단계, 및 반응물을 반응 챔버에 기상으로 제공하여 기판 상에 몰리브덴을 형성하는 단계를 포함한다. 본 개시에서, 몰리브덴은 금속 원소로서 주로 또는 일부 구현예에서 실질적으로 완전히 또는 완전히 증착될 수 있다. 본원에서 몰리브덴 원소는 0의 산화 상태를 갖는 몰리브덴을 의미한다. 본 개시에 따라 증착된 몰리브덴은 몰리브덴 원소 및 다른 형태의 몰리브덴을 포함할 수 있다. 예를 들어, 본 개시에 따라 증착된 몰리브덴은 부분적으로 0, +2, +3, +4, +5 및/또는 +6의 산화 상태를 가질 수 있다. 일부 구현예에서, 적어도 60%의 몰리브덴이 금속 원소로서 증착된다. 일부 구현예에서, 적어도 80% 또는 적어도 90%의 몰리브덴이 금속 원소로서 증착된다. 일부 구현예에서, 적어도 93% 또는 적어도 95%의 몰리브덴이 금속 원소로서 증착된다.
용어 "전구체" 및 "반응물"은 또 다른 화합물을 생성하는 화학 반응에 참여하는 분자(단일 원소를 포함하는 화합물 또는 분자)를 지칭할 수 있다. 전구체는 전형적으로 문제의 화학 반응으로부터 생성된 화합물 또는 원소에 적어도 부분적으로 혼입되는 부분을 함유한다. 이렇게 생성된 화합물 또는 원소는 기판 상에 증착될 수 있다. 반응물은 상당한 정도로 생성된 화합물 또는 원소 내에 혼입되지 않은 원소 또는 화합물일 수 있다.
본원에서 사용되는 바와 같이, "몰리브덴 전구체"는, 가스 또는 기체가 될 수 있고 몰리브덴을 포함하는 화학식으로 나타낼 수 있는 재료를 포함한다. 일부 구현예에서, 몰리브덴 전구체는 두 개 이상의 화합물의 혼합물로 제공된다. 혼합물에서, 몰리브덴 전구체 이외에 다른 화합물은 불활성 화합물 또는 원소일 수 있다. 일부 구현예에서, 몰리브덴 전구체는 조성물에 제공된다. 조성물로서 사용하기에 적합한 조성물은 몰리브덴 화합물 및 하나 이상의 안정화제의 유효량을 포함할 수 있다. 조성물은 표준 조건에서 용액 또는 가스일 수 있다.
본 개시에 따른 방법에서, 몰리브덴 전구체는 몰리브덴 원자 및 탄화수소 리간드를 포함한다. 일부 구현예에서, 몰리브덴 전구체는 몰리브덴을 포함한 금속-유기 화합물을 포함한다. 따라서, 몰리브덴 전구체는 금속-유기 전구체이다. 금속-유기 전구체란 본원에서 몰리브덴 원자 및 탄화수소 리간드를 포함하는 몰리브덴 전구체를 의미하며, 여기서 몰리브덴 원자는 탄소 원자에 직접 결합되지 않는다. 일부 구현예에서, 금속-유기 전구체는, 탄소 원자와 직접 결합되지 않은 하나의 몰리브덴 원자를 포함한다. 일부 구현예에서, 금속-유기 전구체는 둘 이상의 몰리브덴 원자를 포함하며, 이들 중 어느 것도 탄소 원자에 직접 결합되지 않는다. 일부 구현예에서, 금속-유기 전구체는 둘 이상의 금속 원자를 포함하며, 적어도 하나의 금속 원자는 탄소 원자에 직접 결합되지 않는다.
일부 구현예에서, 몰리브덴 전구체는 몰리브덴을 포함한 유기금속 화합물을 포함한다. 따라서, 몰리브덴 전구체는 유기금속 전구체이다. 유기금속 전구체란 본원에서 몰리브덴 원자 및 탄화수소 리간드를 포함하는 몰리브덴 전구체를 의미하며, 여기서 몰리브덴 원자는 탄소 원자에 직접 결합된다. 유기금속 전구체가 두 개 이상의 금속 원자를 포함하는 구현예에서, 모든 금속 원자는 탄소 원자와 직접 결합된다. 일부 구현예에서, 몰리브덴 전구체는 몰리브덴, 탄소 및 수소만을 포함한다. 즉, 몰리브덴 전구체는 산소, 질소 또는 다른 추가 원소를 함유하지 않는다. 일부 구현예에서, 몰리브덴 전구체는 적어도 두 개의 탄화수소 리간드를 포함한다. 일부 구현예에서, 몰리브덴 전구체는 적어도 세 개의 탄화수소 리간드를 포함한다. 일부 구현예에서, 몰리브덴 전구체는 네 개의 탄화수소 리간드를 포함한다. 일부 구현예에서, 몰리브덴 전구체는 한 개의 탄화수소 리간드와 한 개의 수소화물 리간드를 포함한다. 일부 구현예에서, 몰리브덴 전구체는 한 개의 탄화수소 리간드와 두 개 이상의 수소화물 리간드를 포함한다. 일부 구현예에서, 몰리브덴 전구체는 두 개의 탄화수소 리간드와 두 개의 수소화물 리간드를 포함한다.
일부 구현예에서, 몰리브덴 전구체는 환형 부분을 포함한다. 예를 들어, 몰리브덴 전구체는 하나 이상의 벤젠 고리를 포함할 수 있다. 일부 구현예에서, 몰리브덴 전구체는 두 개의 벤젠 고리를 포함한다. 하나 또는 둘 모두의 벤젠 고리는 탄화수소 치환기를 포함할 수 있다. 일부 구현예에서, 몰리브덴 전구체의 각각의 벤젠 고리는 알킬 치환기를 포함한다. 알킬 치환기는 메틸기, 에틸기, 또는 3개, 4개, 5개 또는 6개의 탄소 원자를 포함하는 선형 또는 분지형 알킬기일 수 있다. 예를 들어, 벤젠 고리의 알킬 치환기는 n-프로필기 또는 이소-프로필기일 수 있다. 또한, 알킬 치환기는 n-, iso-, tert- 또는 sec- 형태의 부틸, 펜틸 또는 헥실 모이어티일 수 있다. 일부 구현예에서, 몰리브덴 전구체는 비스(에틸벤젠)몰리브덴을 포함하거나, 이로 필수적으로 구성되거나, 이로 구성된다.
일부 구현예에서, 몰리브덴 전구체는 시클로펜타디에닐(Cp) 리간드를 포함한다. 예를 들어, 몰리브덴 전구체는 MoCp2Cl2 또는 MoCp2H2, Mo(iPrCp)2Cl2, Mo(iPrCp)2H2, Mo(EtCp)2H2를 포함하거나, 이로 필수적으로 구성되거나, 이로 구성될 수 있다.
일부 구현예에서, 몰리브덴 전구체는 카르보닐기 함유 리간드를 포함한다. 예를 들어, 몰리브덴 전구체는 Mo(CO)6, Mo(1,3,5-시클로헵타트리엔)(CO)3을 포함하거나, 이로 필수적으로 구성되거나, 이로 구성될 수 있다. 또한, 일부 구현예에서, 몰리브덴 전구체는 니트로실기 함유 리간드를 포함한다. 예를 들어, 몰리브덴 전구체는 MoCp(CO)2(NO)를 포함하거나, 이로 필수적으로 구성되거나, 이로 구성될 수 있다.
본 개시에 따른 방법에서, 반응물은 두 개 이상의 할로겐 원자를 포함한 할로겐화 탄화수소를 포함한다. 반응물의 적어도 드 개의 할로겐 원자가 상이한 탄소 원자에 부착된다. 반응물은 서로 부착된 적어도 두 개의 탄소 원자를 함유한 탄화수소를 포함한다. 반응물은 또한 세 개의 탄소 원자를 포함할 수 있다. 또한, 반응물은 4개, 5개 또는 6개의 탄소 원자를 포함할 수 있다. 반응물은 선형, 분지형, 환형 및/또는 방향족 탄소 사슬을 포함할 수 있다. 예를 들어, 반응물은 할로겐화 에탄, 프로판, 2-메틸프로판, 2,2-디메틸프로판(네오펜탄), n-부탄, 2-메틸부탄, 2,2-디메틸부탄, n-펜탄, 2-메틸판탄, 3-메틸펜탄 또는 n-헥산을 포함할 수 있다.
반응물은 두 개 이상의 할로겐 원자를 포함하고, 적어도 두 개의 할로겐 원자는 상이한 탄소 원자에 부착된다. 할로겐 원자는 동일한 할로겐, 예를 들어 브롬, 요오드, 불소 또는 염소일 수 있다. 대안적으로, 할로겐은 상이한 할로겐, 예컨대 요오드 및 브롬, 브롬 및 염소, 염소 및 요오드일 수 있다. 반응물은 상이한 탄소 원자에 부착된 두 개의 할로겐 원자를 포함할 수 있다. 반응물은 각각 상이한 탄소 원자에 부착된 세 개의 할로겐 원자를 포함할 수 있다. 반응물은 각각 상이한 탄소 원자에 부착된 네 개의 할로겐 원자를 포함할 수 있다. 대안적으로, 반응물이 세 개, 네 개 또는 그 이상의 할로겐 원자를 포함하는 구현예에서, 일부 탄소 원자는 두 개 또는 세 개의 할로겐 원자에 부착될 수 있다.
일부 구현예에서, 반응물 내의 두 개의 할로겐 원자는 탄화수소의 인접한 탄소 원자에 부착된다. 따라서, 반응물은 두 개의 인접한 탄소 원자를 포함할 수 있으며, 각각은 적어도 하나의 할로겐 치환기를 갖는다. 일부 구현예에서, 인접하는 탄소 원자 각각은 단 하나의 할로겐 치환기만 갖는다. 대안적으로, 할로겐에 부착되는 탄소 원자 중 하나 또는 둘 모두는 두 개의 할로겐 원자가 부착될 수 있다. 할로겐에 부착되는 탄소 원자 중 하나 또는 둘 모두가, 이에 부착된 세 개의 할로겐 원자를 갖는 구현예가 고려될 수 있다. 탄소 사슬에서 상기 두 개의 탄소 원자의 위치는 다양할 수 있다. 일부 구현예에서, 이들은 탄소 사슬의 말단에 있지만, 일부 구현예에서 이들은 탄소 사슬의 말단으로부터 멀리 위치한다. 당업자에게 명백한 바와 같이, 탄소 사슬에서 주어진 탄소 원자의 위치는 이용 가능한 잠재적 치환기의 수를 제한한다.
예를 들어, 구현예에서, 반응물이 두 개의 탄소 원자를 포함하는 경우, 적어도 하나의 할로겐 원자가 각각의 탄소에 부착된다. 두 개의 탄소 반응물이 두 개의 할로겐 원자를 포함하는 경우, 이들 각각은 상이한 탄소 원자에 부착된다. 반응물이 두 개의 탄소 원자 및 세 개의 할로겐을 포함하는 구현예에서, 탄소 원자 중 하나는 할로겐으로 이중 치환된다. 반응물이 두 개의 탄소 원자 및 네 개의 할로겐을 포함하는 구현예에서, 탄소 원자 두 개는 할로겐으로 이중 치환된다. 대안적으로, 하나의 탄소 원자는 하나의 할로겐 치환기를 가질 수 있는 반면, 제2 탄소 원자는 세 개를 가질 수 있다.
유사하게, 반응물이 세 개의 탄소 원자 및 두 개의 할로겐 원자를 포함하는 구현예에서, 각각의 할로겐 원자는 상이한 탄소 원자에 부착된다. 따라서, 하나의 탄소 원자는 이에 부착된 할로겐 원자를 갖지 않는다. 두 개의 할로겐 원자가 이웃하는 탄소 원자(즉, 탄소 사슬에서 서로 인접한 탄소 원자)에 부착될 수 있다. 대안적으로, 할로겐화 탄소 원자 사이에 하나의 탄소 원자가 존재할 수 있다. 예를 들어, 반응물은 1,2-디할로프로판 또는 1,3-디할로프로판, 예컨대 1,2-디클로로프로판, 1,3-디클로로프로판, 1,2-디요오드프로판 또는 1,3-디요오드프로판, 1,2-디플루오로프로판 또는 1,3-디플루오로프로판을 포함하거나, 이로 필수적으로 구성되거나, 이로 구성될 수 있다.
반응물이 세 개의 탄소 원자 및 세 개의 할로겐 원자를 포함하는 구현예에서, 각각의 탄소 원자는 이에 부착된 할로겐 원자를 가질 수 있다. 대안적으로, 세 개의 탄소 원자 중 어느 하나는 이에 부착된 두 개의 할로겐 원자를 가질 수 있고, 탄소 사슬의 말단 또는 그 중간에 있는 하나의 탄소 원자는 할로겐이 없을 수 있다. 이중 치환된 탄소 원자는 탄소 사슬의 말단에 있거나 그 중앙에 있을 수 있다. 또 다른 대안으로서, 일부 구현예에서, 세 개의 탄소 반응물은 네 개의 할로겐 원자를 함유할 수 있다. 이러한 구현예에서, 각각의 탄소는 이에 부착된 할로겐 원자를 가질 수 있고, 탄소 사슬의 말단 또는 그 중간에 있는 하나의 탄소는, 이에 부착된 추가의 할로겐 원자를 가질 수 있다. 또 다른 대안으로서, 탄소 중 두 개는 두 개의 할로겐 원자가 부착될 수 있는 반면, 탄소 사슬의 말단 또는 그 중간에 있는 하나의 탄소 원자는 할로겐이 없을 수 있다. 일부 구현예에서, 반응물은 1,2-디할로알칸 또는 1,2-디할로알켄 또는 1,2-디할로알킨 또는 1,2-디할로아렌을 포함하며, 여기서 할로겐은 인접한 탄소 원자에 부착된다.
일부 구현예에서, 반응물은 일반 조성식 XaRbC―(CXcR''d)n - CXaR'b를 갖되, X는 할로겐이고, R, R' 및 R''은 독립적으로 H 또는 알킬기이고, a 및 b는 독립적으로 1 또는 2이므로, 각각의 탄소 원자에 대해 a +b = 3, n은 0, 1, 2, 3, 4 또는 5이되, c 및 d는 독립적으로 0, 1, 또는 2이어서, 각각의 탄소 원자에 대해 c + d = 2이다.
일부 구현예에서, 반응물은 일반 조성식 XaRbC- CXaR'b를 갖되, X는 할로겐이고, R 및 R'는 독립적으로 H 또는 알킬기이고, a 및 b는 독립적으로 1, 2 또는 3이어서 각각의 탄소 원자에 대해 a + b = 3이다.
반응물이 네 개의 탄소를 포함하는 구현예에서, 탄소에 부착된 2개, 3개, 4개, 5개 또는 6개의 할로겐 치환기가 있을 수 있다. 예를 들어, 반응물은 조성식 CH3-CXH-CH2-CXH2, CH3-CH2-CXH-CXH2, CH3-CXH-CXH-CH3 또는 H2CX-CH2-CH2-CXH2를 가질 수 있다. 네 개의 탄소 할로겐이 세 개의 탄소를 포함하는 구현예에서, 반응물은 H2CX-CXH-CH2-CXH2, H2CX-CXH-CXH-CH3, HCX2-CXH-CH2-CH3, HCX2-CH2-CXH-CH3 또는 HCX2-CH2-CH2-CXH2 또는 CH3-CXH-CX2-CH3와 같은 조성식을 가질 수 있다. 조성식에서, X는 할로겐을 나타낸다. 이러한 반응물의 예는 1,2-디할로부탄, 1,3-디할로부탄 및 1,4-디할로부탄이다.
일부 구현예에서, 환형 또는 방향족 반응물이 사용될 수 있다. 일부 구현예에서, 반응물은 환형 또는 방향족 화합물을 포함한다. 반응물은 디-할로겐화 벤젠 고리를 포함할 수 있다. 벤젠 고리는 두 개 이상의 할로겐을 포함할 수 있다. 벤젠 고리는 전술한 바와 같은 하나 이상의 알킬기와 같이 추가로 치환기를 함유할 수 있다. 반응물은 1,2-디브로모벤젠, 1,2-디요오드벤젠 또는 1,2-디클로로오벤젠과 같은, 디-할로겐화 벤젠을 포함하거나, 이로 필수적으로 구성되거나, 이로 구성될 수 있다. 디-할로겐화 벤젠은 또한 1,3-디할로겐화 또는 1,4-디할로겐화 벤젠일 수 있다. 또한, 1,2,3- 또는 1,2,4-할로겐화 벤젠과 같은 트리-할로겐화 벤젠이 가능하다. 방향족 반응물은 네 개, 다섯 개 또는 여섯 개의 할로겐을 포함할 수 있다. 환형 반응물은, 예를 들어 시클로펜탄 또는 시클로헥산을 포함할 수 있다. 환형 반응물은 두 개 이상의 할로겐을 포함할 수 있다. 예를 들어, 시클로헥산은 동일하거나 상이할 수 있는 최대 12개의 할로겐을 함유할 수 있다. 할로겐은 시스- 또는 트랜스-구성으로 위치할 수 있다. 시클로헥산 내의 할로겐은 탄소 위치 1 및 2, 1 및 3, 1 및 4, 또는 1,2,3 또는 1,2,4에 위치할 수 있다. 환형 반응물의 예시는 1,2-디요오드시클로헥산, 1,3-디요오드시클로헥산, 1,4-디요오드시클로헥산, 1,2-디브로모시클로헥산, 1,3-디브로모시클로헥산, 1,4-디브로모시클로헥산, 1,2-디플루오로시클로헥산, 1,3-디플루오로시클로헥산, 1,4-디플루오로시클로헥산이다.
일부 구현예에서, 반응물은 일반 조성식 XaRbCCXaR'b를 갖되, X는 할로겐이고, R 및 R'는 독립적으로 H 또는 알킬기이고, a 및 b는 독립적으로 1 또는 2이어서 각각의 탄소 원자에 대해 a + b = 3이다. 일부 구현예에서, X는 요오드이다. 일부 구현예에서, X는 브롬이다. 일부 구현예에서, X는 염소이다. 일부 구현예에서, a는 두 탄소 원자에 대해 1이다. 일부 구현예에서, a는 하나의 탄소 원자에 대해 1이고, 다른 탄소 원자에 대해 2이다. 일부 구현예에서, R 및 R'는 모두 H이다.
일부 구현예에서, 몰리브덴은 기판 상에 층으로서 증착될 수 있다. 이러한 구현예에서, 몰리브덴은 몰리브덴 층을 형성한다. 본원에서 사용되는 바와 같이, "몰리브덴 층"은 몰리브덴을 함유하는 재료 층일 수 있다. 본원에서 사용되는 바와 같이, 용어 "층" 및/또는 "막"은 본원에 개시된 방법에 의해 증착된 재료와 같이 임의의 연속적인 또는 비연속적인 구조 및 재료를 지칭할 수 있다. 예를 들어, 층 및/또는 막은 이차원 재료, 삼차원 재료, 나노입자 또는 심지어는 부분 또는 전체 분자층 또는 부분 또는 전체 원자 층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. 막 또는 층은 핀홀을 갖는 재료 또는 층을 포함할 수 있고, 이는 적어도 부분적으로 연속적일 수 있다. 씨드 층은 다른 재료의 핵생성 속도를 증가시키도록 작용하는 비연속 층일 수 있다. 그러나, 씨드 층은 또한 실질적으로 또는 완전히 연속적일 수 있다.
본 개시를 임의의 특정 이론으로 제한하지 않는다면, 일부 구현예에서 낮은 비저항을 갖는 몰리브덴 층을 생성하는 것이 가능할 수 있다. 본 개시에 따른 몰리브덴 층의 비저항은 약 5 μΩ cm 내지 약 300 μΩ cm, 또는 약 5 μΩ cm 내지 약 100 μΩ cm, 또는 약 5 μΩ cm 내지 약 50 μΩ cm, 예컨대 약 10 μΩ cm, 15 μΩ cm, 20 μΩ cm 또는 30 μΩ cm일 수 있다. 다른 구현예에서, 몰리브덴 층의 비저항은 약 50 μΩ cm, 100 μΩ cm, 150 μΩ cm 또는 200 μΩ cm일 수 있다.
몰리브덴은 적어도 부분적으로 원소 형태일 수 있다. 따라서, 몰리브덴의 산화 상태는 0일 수 있다. 몰리브덴 층은 질소, 탄소 및/또는 산소와 같은 추가 원소를 포함할 수 있다. 다른 추가 또는 대안적인 원소가 가능하다. 일부 구현예에서, 몰리브덴 층은 몰리브덴 이외의 다른 원소의 상당한 비율을 포함할 수 있다. 그러나, 일부 구현예에서, 몰리브덴 층은 실질적으로 몰리브덴만을 함유할 수 있다. 따라서, 몰리브덴 층은 몰리브덴을 포함하거나, 이로 필수적으로 구성되거나, 이로 구성될 수 있다. 일부 구현예에서, 몰리브덴 층은 씨드 층일 수 있다. 씨드 층은 다른 층의 증착을 향상시키기 위해 사용될 수 있다.
일부 구현예에서, 몰리브덴 층은, 예를 들어 약 60 내지 약 99 원자 백분율(원자%)의 몰리브덴, 또는 약 75 내지 약 99 원자%의 몰리브덴, 또는 약 75 내지 약 95 원자%의 몰리브덴, 또는 약 80 내지 약 95 원자%의 몰리브덴을 포함할 수 있다. 본 개시에 따른 방법에 의해 증착된 몰리브덴 층은, 예를 들어 약 80 원자%, 약 83 원자%, 약 85 원자%, 약 87 원자%, 약 90 원자%, 약 95 원자%, 약 97 원자% 또는 약 99 원자%의 몰리브덴을 포함할 수 있다. 일부 구현예에서, 몰리브덴 층은 몰리브덴으로 필수적으로 구성되거나 이로 구성될 수 있다. 일부 구현예에서, 몰리브덴 층은 몰리브덴으로 필수적으로 구성되거나 이로 구성될 수 있다. 몰리브덴으로 이루어진 층은, 몰리브덴 층을 증착하기 위해 사용되는 하나 이상의 전구체로부터 유래할 수 있는 산소, 탄소, 염소, 또는 다른 할로겐, 및/또는 수소와 같이, 허용 가능한 양의 불순물을 포함할 수 있다.
일부 구현예에서, 몰리브덴 층은 약 30 원자% 미만, 약 20 원자% 미만, 약 10 원자% 미만, 약 8 원자% 미만, 약 7원자% 미만, 약 5 원자% 미만, 또는 약 2 원자% 미만의 산소를 포함할 수 있다. 일부 구현예에서, 몰리브덴 층은 약 20 원자% 미만, 약 15 원자% 미만, 약 10 원자% 미만, 약 8 원자% 미만, 약 6 원자% 미만, 약 5 원자% 미만, 4.5 원자% 미만, 또는 약 3 원자% 미만의 탄소를 포함할 수 있다.
기판은, 형성하기 위해 사용될 수 있는, 또는 그 위에 구조, 소자, 회로, 또는 층이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, 또는 II-VI족 또는 III-V족 반도체 재료와 같은 다른 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 특징부, 예컨대 오목부, 돌출부 등을 포함할 수 있다. 예를 들어, 기판은 벌크 반도체 재료의 적어도 일부 위에 절연 또는 유전체 재료 층 및 벌크 반도체 재료를 포함할 수 있다. 기판은 질화물, 예를 들어 TiN, 산화물, 절연 재료, 유전체 재료, 전도성 재료, 금속, 예컨대 텅스텐, 루테늄, 몰리브덴, 코발트, 알루미늄 또는 구리, 또는 금속성 재료, 결정질 재료, 에피택셜, 헤테로에피택셜, 및/또는 단결정 재료를 포함할 수 있다. 본 개시의 일부 구현예에서, 기판은 실리콘을 포함한다. 기판은 실리콘 이외에, 전술한 바와 같은 다른 재료를 포함할 수 있다. 다른 재료는 층을 형성할 수 있다.
본 개시에 따라 몰리브덴을 증착하는 방법은 반응 챔버 내에 기판을 제공하는 단계를 포함한다. 즉, 기판은 증착 조건이 제어될 수 있는 공간 내로 유입된다. 반응 챔버는 집적 회로를 형성하기 위해 상이한 공정이 수행되는 클러스터 툴의 일부일 수 있다. 일부 구현예에서, 반응 챔버는 유동형 반응기, 예컨대 교차 유동 반응기일 수 있다. 일부 구현예에서, 반응 챔버는 샤워헤드 반응기일 수 있다. 일부 구현예에서, 반응 챔버는 공간 분할형 반응기일 수 있다. 일부 구현예에서, 반응 챔버는 단일 웨이퍼 ALD 반응기일 수 있다. 일부 구현예에서, 반응 챔버는 고용량 제조 단일 웨이퍼 ALD 반응기일 수 있다. 일부 구현예에서, 반응 챔버는 다수의 기판을 동시에 제조하기 위한 배치식 반응기일 수 있다.
본 개시에 따른 방법에서, 몰리브덴 전구체는 반응 챔버 내에 있는 경우 기상일 수 있다. 몰리브덴 전구체는 반응 챔버 내에 제공되기 전에, 일부 시점에서 부분적으로 기체 또는 액체, 또는 심지어 고체일 수 있다. 즉, 몰리브덴 전구체는, 예를 들어 반응 챔버 내에 전달하기 전에 전구체 용기 또는 다른 리셉터클 내에 고체, 액체 또는 기체일 수 있다. 반응 챔버 내로의 전달이 수행되는 경우에, 전구체를 기상으로 유도하는 다양한 수단이 적용될 수 있다. 이러한 수단은, 예를 들어 히터, 증발기, 가스 흐름 또는 낮아진 압력의 인가, 또는 이들의 임의의 조합을 포함할 수 있다. 따라서, 본 개시에 따른 방법은 몰리브덴 전구체를 반응 챔버에 제공하기 전에 이를 가열하는 단계를 포함할 수 있다. 일부 구현예에서, 몰리브덴 전구체는 용기 내에서 적어도 100°C, 또는 적어도 110°C, 또는 적어도 120°C, 또는 적어도 130°C 또는 적어도 140°C까지 가열된다. 또한, 반응 챔버로의 몰리브덴 전구체의 기상 전달을 개선하기 위해 인젝터 시스템이 가열될 수 있다.
본 개시에서, "가스"는 정상 온도 및 압력(NTP)에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 몰리브덴 전구체는 기상으로 반응 챔버에 제공될 수 있다. 용어 "불활성 가스"는, 화학 반응에 참여하지 않고/않거나 상당한 정도로 층의 일부가 되지 않는 가스를 지칭할 수 있다. 예시적인 불활성 가스는 He 및 Ar 및 이들의 임의의 조합을 포함한다. 일부 경우에, 질소 및/또는 수소 분자는 불활성 가스일 수 있다. 공정 가스 이외의 가스, 즉 가스 인젝터 시스템, 다른 가스 분배 장치 등을 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 밀폐 가스를 포함할 수 있다.
본 개시에 따른 방법에서, 반응물은 화학 흡착된 몰리브덴 전구체를 포함한 기판과 접촉할 수 있다. 몰리브덴 전구체의 몰리브덴으로의 변환은 기판 표면에서 일어날 수 있다. 일부 구현예에서, 변환은 적어도 부분적으로 기상으로 발생할 수 있다.
본 개시에서, 증착 공정은 예를 들어, 원자층 증착(ALD) 공정 또는 주기적 화학 기상 증착(VCD) 공정 중 하나와 같은 주기적 증착 공정을 포함할 수 있다. 용어 "주기적 증착 공정"은 기판 상에 몰리브덴과 같은 재료를 증착하기 위해 반응 챔버 내로 전구체(및/또는 반응물)를 순차적으로 도입하는 것을 지칭할 수 있다. 주기적 증착은 원자층 증착(ALD), 주기적 화학 기상 증착(주기적 CVD), 및 ALD 성분 및 주기적 CVD 성분을 포함한 하이브리드 주기적 증착 공정과 같은 처리 기술을 포함한다. 공정은 전구체를 제공하는 단계 사이 또는 반응 챔버 내에 전구체와 반응물을 제공하는 단계 사이의 퍼지를 포함할 수 있다.
공정은 하나 이상의 주기적 페이즈를 포함할 수 있다. 일부 구현예에서, 공정은 하나 이상의 비주기적 페이즈를 포함한다. 일부 구현예에서, 증착 공정은 적어도 하나의 전구체의 연속 흐름을 포함한다. 일부 구현예에서, 반응물은 반응기 챔버에 연속적으로 제공될 수 있다. 이러한 구현예에서, 공정은 반응물의 연속 흐름을 포함한다.
용어 "원자층 증착"(ALD)은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클은, 예컨대 복수의 연속 증착 사이클은 반응 챔버에서 수행된다. 본원에서 사용된 용어 원자층 증착은 전구체(들)/반응물(들), 및 선택적 퍼지(들)의 교번 펄스로 수행되는 경우, 화학 기상 원자층 증착과 같은 관련 용어에 의해 지정된 공정을 포함하는 것을 또한 의미한다. 일반적으로, ALD 공정의 경우, 각각의 사이클 중에 전구체는 반응 챔버에 도입되고 증착 표면(예, 이전 ALD 사이클로부터 이전에 증착된 재료 또는 다른 재료를 포함할 수 있는 기판 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응인) 단층 또는 서브 단층을 형성한다. 그 후, 일부 경우에서, 반응물(예, 다른 전구체 또는 반응 가스)을 후속해서 공정 챔버에 도입시켜 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 데 사용한다. 반응물은 전구체와 더 반응할 수 있다. 하나 이상의 사이클 동안, 예를 들어 각 사이클의 각 단계 중에 퍼지를 사용하여, 공정 챔버로부터 과잉의 전구체를 제거하고/제거하거나, 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거할 수 있다.
CVD 형 공정은 일반적으로 둘 이상의 반응물 사이에 기상 반응을 포함한다. 전구체(들) 및/또는 반응물(들)은 반응 공간 또는 기판에 동시에 제공되거나, 부분적으로 제공되거나, 완전히 분리된 펄스일 수 있다. 기판 및/또는 반응 공간은 가열되어 기체 반응물 사이의 반응을 촉진할 수 있다. 일부 구현예에서, 원하는 두께를 갖는 층이 증착될 때까지 전구체(들)와 반응물(들)이 제공된다. 일부 구현예에서, 주기적 CVD 공정은 원하는 두께를 갖는 박막을 증착하기 위한 다수의 사이클과 함께 사용될 수 있다. 주기적 CVD 공정에 있어서, 반응물은 중첩되지 않거나, 부분적으로 중첩되거나, 완전히 중첩되는 펄스로 반응 챔버에 제공될 수 있다.
일부 구현예에서, 몰리브덴 전구체 및/또는 반응물은 펄스로 반응 챔버에 제공된다. 몰리브덴 전구체 펄스 또는 반응물 펄스의 길이는, 예를 들어 약 0.01초 내지 약 60초, 예를 들어 약 0.01초 내지 약 5초, 또는 약 1초 내지 약 20초, 또는 약 0.5초 내지 약 10초, 또는 약 5초 내지 약 15초, 또는 약 10초 내지 약 30초, 또는 약 10초 내지 약 60초, 또는 약 20초 내지 약 60초일 수 있다. 몰리브덴 전구체 또는 반응물 펄스의 길이는, 예를 들어 0.03초, 0.1초, 0.5초, 1초, 1.5초, 2초, 2.5초, 3초, 4초, 5초, 8초, 10초, 12초, 15초, 25초, 30초, 40초, 50초 또는 60초일 수 있다. 일부 구현예에서, 몰리브덴 전구체 펄스 시간은 적어도 5초, 또는 적어도 10초, 또는 적어도 20초, 또는 적어도 30초일 수 있다. 일부 구현예에서, 몰리브덴 전구체 펄스 시간은 최대 5초, 또는 최대 10초, 또는 최대 20초, 또는 최대 30초일 수 있다. 일부 구현예에서, 반응물 펄스 시간은 적어도 15초, 또는 적어도 30초, 또는 적어도 45초, 또는 적어도 60초일 수 있다. 일부 구현예에서, 반응물 펄스 시간은 최대 15초, 또는 최대 30초, 또는 최대 45초, 또는 최대 60초일 수 있다.
몰리브덴 전구체 및 반응물에 대한 펄스 시간은 문제의 공정에 따라 독립적으로 달라진다. 적절한 펄스 시간의 선택은 기판 토폴로지에 따라 달라질 수 있다. 더 높은 종횡비 구조체의 경우, 높은 종횡비 구조체의 상이한 영역에서 충분한 표면 포화도를 얻기 위해 더 긴 펄스 시간이 필요할 수 있다. 또한, 선택된 몰리브덴 전구체 및 반응물 화학물질은 적절한 펄스화 시간에 영향을 미칠 수 있다. 공정 최적화를 위해, 적절한 층 특성이 달성될 수 있는 한 더 짧은 펄스 시간이 바람직할 수 있다. 일부 구현예에서, 몰리브덴 전구체 펄스 시간은 반응물 펄스 시간보다 길다. 일부 구현예에서, 반응물 펄스 시간은 몰리브덴 전구체 펄스 시간보다 길다. 일부 구현예에서, 몰리브덴 전구체 펄스 시간은 반응물 펄스 시간과 동일하다.
일부 구현예에서, 반응물이 반응 챔버로 펄스화되기 전에, 몰리브덴 전구체가 2회 이상, 예를 들어 2회, 3회 또는 4회 펄스화될 수 있다. 유사하게, 몰리브덴 전구체가 반응 챔버에 펄스화되기 전에(즉, 제공되기 전에), 2개, 3개 또는 4개의 반응물 펄스와 같이 하나 이상의 펄스가 있을 수 있다.
일부 구현예에서, 방법은 반응 챔버 내에 반응물을 제공하기 이전에 불활성 가스에 의해 반응 챔버로부터 과량의 몰리브덴 전구체를 제거하는 단계를 포함한다. 일부 구현예에서, 반응 챔버는 반응 챔버 내에 몰리브덴 전구체를 제공하는 단계와 반응 챔버 내에 반응물을 제공하는 단계 사이에서 퍼지된다. 일부 구현예에서, 모든 펄스 사이에 퍼지가 있다. 따라서, 반응 챔버는 몰리브덴 전구체 또는 반응물과 같이, 동일한 화학 물질의 두 펄스 사이에서도 퍼지될 수 있다.
본원에서 사용되는 바와 같이, 용어 "퍼지"는, 예를 들어 진공 펌프로 반응 챔버를 배기하고/배기하거나 반응 챔버 내부의 가스를 아르곤 또는 질소와 같은 불활성 또는 실질적으로 불활성인 가스로 대체함으로써, 기상 전구체 및/또는 기상 부산물이 기판 표면으로부터 제거되는 절차를 지칭할 수 있다. 퍼지는 서로 반응하는 두 개의 가스 펄스 사이에서 수행될 수 있다. 그러나, 퍼지는 서로 반응하지 않는 가스의 두 펄스 사이에서 수행될 수 있다. 예를 들어, 퍼지 또는 퍼징은 두 개의 전구체의 펄스 사이 또는 전구체와 반응물 사이에 제공될 수 있다. 퍼지는 서로 반응하는 두 가스 사이의 기상 상호 작용을 회피하거나 적어도 감소시킬 수 있다. 퍼지는 시간 또는 공간, 또는 둘 모두에 영향을 미칠 수 있음을 이해해야 한다. 예를 들어 시간적 퍼지의 경우, 퍼지는, 예를 들어 반응기 챔버에 제1 전구체를 제공하는 단계, 반응기 챔버에 퍼지 가스를 제공하는 단계, 및 반응기 챔버에 제2 전구체를 제공하는 단계의 시간적 순서로 사용될 수 있으며, 여기서 층이 증착되는 기판은 이동하지 않는다. 예를 들어, 공간적 퍼지의 경우, 퍼지는 다음과 같은 형태: 기판을, 제1 전구체가 연속적으로 공급되는 제1 위치로부터 퍼지 가스 커튼을 통해 제2 전구체가 연속적으로 공급되는 제2 위치로 이동시키는 단계를 취할 수 있다. 퍼지 시간은, 예를 들어 약 0.01초 내지 약 20초, 약 1초 내지 약 20초, 또는 약 0.5초 내지 약 10초, 또는 약 1초 내지 약 7초, 예컨대 5초, 6초 또는 8초일 수 있다. 그러나, 매우 높은 종횡비 구조 또는 복잡한 표면 형태를 갖는 다른 구조에 대한 고도의 등각성 단차 피복도가 필요한 경우 또는 배치형 반응기와 같이 특정한 반응기가 사용되는 것과 같이, 필요하다면 다른 퍼지 시간이 사용될 수 있다.
일부 구현예에서, 본 개시에 따른 방법은 열 증착 공정이다. 열 증착에서, 화학 반응은 주변 온도와 관련된 온도 증가에 의해 촉진된다. 일반적으로, 온도 증가는 플라즈마, 라디칼, 또는 다른 형태의 복사선과 같은 다른 외부 에너지 공급원의 부재 시에 몰리브덴의 형성에 필요한 에너지를 제공한다. 일부 구현예에서, 본 개시에 따른 방법은 플라즈마 강화 증착 방법, 예를 들어 PEALD 또는 PECVD이다.
몰리브덴 전구체 또는 반응물의 유량(즉, 몰리브덴 전구체 및 반응물 유량 각각)은 약 5 sccm 내지 약 20 slm으로 변할 수 있다. 몰리브덴 전구체 또는 반응물의 유량은 3,000 sccm 미만, 또는 2,000 sccm 미만, 또는 1,000 sccm 미만, 또는 600 sccm 미만일 수 있다. 일부 구현예에서, 몰리브덴 전구체 또는 반응물 유량은, 예를 들어 약 5 sccm 내지 약 50 sccm, 또는 약 10 sccm 내지 약 500 sccm 더 낮을 수 있다. 예를 들어, 몰리브덴 전구체 또는 반응물의 유량은 500 sccm, 600 sccm, 700 sccm, 800 sccm 또는 900 sccm, 1,000 sccm 또는 1,100 sccm일 수 있다. 일부 구현예에서, 더 높은 유량이 사용될 수 있다. 예를 들어, 몰리브덴 전구체 또는 반응물 유량은 5 slm 이상일 수 있다. 일부 구현예에서, 몰리브덴 전구체 또는 반응물 유량은 10 slm, 12 slm 또는 15 slm 또는 20 slm일 수 있다.
일부 구현예에서, 몰리브덴은 약 150°C 내지 약 400°C의 온도에서 증착될 수 있다. 예를 들어, 몰리브덴은 약 200°C 내지 약 400°C, 또는 약 250°C 내지 약 350°C의 온도에서 증착될 수 있다. 본 개시의 일부 구현예에서, 몰리브덴은 약 260°C 내지 약 330°C, 또는 약 270°C 내지 약 330°C의 온도에서 증착될 수 있다. 일부 구현예에서, 몰리브덴은 약 150°C 내지 약 200°C의 온도, 또는 약 300°C 내지 약 400°C의 온도, 또는 약 280°C 내지 약 320°C의 온도에서 증착될 수 있다. 예를 들어, 몰리브덴은 약 210°C 또는 약 225°C, 또는 약 285°C, 또는 약 290°C, 또는 약 310°C 또는 약 315°C, 또는 약 325°C, 또는 약 375°C, 또는 약 380°C, 또는 약 385°C, 또는 약 390°C의 온도에서 증착될 수 있다.
반응 챔버 내의 압력은 상이한 공정 단계에 대해 독립적으로 선택될 수 있다. 일부 구현예에서, 제1 압력은 몰리브덴 전구체 펄스 동안 사용될 수 있고, 제2 압력은 반응물 펄스 동안 사용될 수 있다. 제3 또는 추가 압력은 퍼지 또는 다른 공정 단계 동안 사용될 수 있다. 일부 구현예에서, 증착 공정 동안에 반응 챔버 내의 압력은 760 토르 미만이거나, 증착 공정 동안 반응 챔버 내의 압력은 0.2 토르 내지 760 토르, 또는 1 토르 내지 100 토르, 또는 1 토르 내지 10 토르이다. 일부 구현예에서, 증착 공정 동안 반응 챔버내 압력은 약 0.001 토르 미만, 0.01 토르 미만, 0.1 토르 미만, 1 토르 미만, 또는 10 토르 미만, 또는 50 토르 미만, 100 토르 미만 또는 300 토르 미만이다. 일부 구현예에서, 본 개시에 따른 방법의 적어도 일부 동안의 반응 챔버의 압력은 약 0.001 토르 미만, 0.01 토르 미만, 0.1 토르 미만, 1 토르 미만, 10 토르 미만, 또는 50 토르 미만, 또는 100 토르 미만, 또는 300 토르 미만이다. 예를 들어, 일부 구현예에서, 제1 압력은 약 0.1 토르, 약 0.5 토르, 약 1 토르, 약 5 토르, 약 10 토르, 약 20 토르 또는 약 50 토르일 수 있다. 일부 구현예에서, 제2 압력은 약 0.1 토르, 약 0.5 토르, 약 1 토르, 약 5 토르, 약 10 토르, 약 20 토르 또는 약 50 토르이다.
본 개시는 도면에 도시된 다음의 예시적인 구현예에 의해 추가로 설명된다. 본원에 제시된 예시는 임의의 특정한 재료, 구조, 또는 소자의 실제 뷰를 의도하려 하는 것은 아니며, 단지 본 개시의 구현예를 설명하기 위해 단순히 사용되는 개략적 표현이다. 도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 도면 중 일부 요소의 치수는 다른 요소에 비해 과장되어 본 개시의 도시된 구현예의 이해를 개선할 수 있다. 도면에 도시된 구조체 및 소자는 명확성을 위해 생략될 수 있는 추가 요소 및 세부 사항을 포함할 수 있다.
도 1a, 1b 및 1c는, 본 개시에 따른 방법(100)의 구현 예시를 나타낸다. 방법(100)은 몰리브덴을 포함하는 층, 즉 몰리브덴 층을 형성하기 위해 사용될 수 있다. 몰리브덴 층은 본원에 설명된 구조체 또는 소자와 같은 구조체 또는 소자의 형성 중에 사용될 수 있다. 그러나, 달리 언급되지 않는 한, 방법은 이러한 응용에 제한되지 않는다.
단계(102) 동안에, 기판은 반응기의 반응 챔버 내에 제공된다. 반응 챔버는 원자층 증착(ALD) 반응기의 일부를 형성할 수 있다. 반응기는 단일 웨이퍼 반응기일 수 있다. 대안적으로, 반응기는 배치식 반응기일 수 있다. 방법(100)의 다양한 페이즈는 단일 반응 챔버 내에서 수행될 수 있거나, 다수의 반응기 챔버에서 수행될 수 있고, 예를 들어 클러스터 툴의 반응 챔버에서 수행될 수 있다. 일부 구현예에서, 방법(100)은 클러스터 툴의 단일 반응 챔버에서 수행되고, 하지만 다른 경우에는 선행 또는 후속, 구조체 또는 소자의 제조 단계는 동일한 클러스터 툴의 추가 반응 챔버에서 수행된다. 선택적으로, 반응 챔버를 포함하는 반응기는 기판 및/또는 반응물 및/또는 전구체 중 하나 이상의 온도를 상승시킴으로써 반응을 활성화시키기 위한 히터가 제공될 수 있다.
단계(102) 동안, 반응 챔버(104) 내에 몰리브덴 전구체를 제공하고/제공하거나 반응 챔버(106) 내에 반응물을 제공하기 위해 기판을 원하는 온도 및 압력으로 만들 수 있다. 반응 챔버 내의 (예를 들어, 기판 또는 기판 지지부의) 온도는, 예를 들어 약 150°C 내지 약 400°C, 또는 약 250°C 내지 약 350°C일 수 있다. 또 다른 예시로서, 반응 챔버 내의 온도는 약 275°C 내지 약 325°C, 또는 약 280°C 내지 약 320°C일 수 있다. 반응 챔버 내의 예시적인 온도는 225°C, 250°C, 275°C, 285°C, 300°C, 310°C, 320°C 및 330°C이다.
반응 챔버 내의 압력은 760 토르 미만, 예를 들어 400 토르, 100 토르, 50 토르 또는 20 토르, 5 토르, 토르 또는 0.1 토르일 수 있다. 상이한 압력이 상이한 공정 단계에 사용될 수 있다.
몰리브덴 전구체가 기판을 함유하는 반응 챔버(104) 내에 제공된다. 임의의 특정 이론으로 본 개시를 제한하지 않는다면, 몰리브덴 전구체는, 반응 챔버 내에 몰리브덴 전구체를 제공하는 동안에 기판 상에 화학 흡착될 수 있다. 반응 챔버 내에 몰리브덴 전구체를 제공하는 지속 시간(몰리브덴 전구체 펄스 시간)은 0.01초, 0.5초, 1초, 1.5초, 2초, 2.5초, 3초, 3.5초, 4초, 4.5초, 또는 5초일 수 있다. 일부 구현예에서, 반응 챔버 내에 몰리브덴 전구체를 제공하는 지속 시간(몰리브덴 전구체 펄스 시간)은 5초 초과 또는 10초 초과 또는 약 20초일 수 있다.
반응물이 반응 챔버(106) 내에 제공되는 경우에, 이는 화학 흡착된 몰리브덴 전구체 또는 이의 유도체 종과 반응하여 몰리브덴을 형성할 수 있다. 반응 챔버 내에 반응물을 제공하는 지속시간(반응 펄스 시간)은, 예를 들어 0.5초, 1초, 2초, 3초, 3.5초, 4초, 5초, 6초, 7초, 8초, 10초, 12초, 15초, 30초, 40초, 50초 또는 약 60초일 수 있다. 예를 들어, 반응 챔버 내에 반응물을 제공하는 지속 시간은 약 15초 미만 또는 10초 미만 또는 약 3초이다.
일부 구현예에서, 몰리브덴 전구체는 반응 챔버 내에 제공하기 전에 가열될 수 있다. 일부 구현예에서, 반응물은 반응 챔버에 제공하기 전에 가열될 수 있다. 일부 구현예에서, 반응물은 반응 챔버에 제공하기 전에 주변 온도에서 유지될 수 있다.
임의의 순서로 수행되는 단계(104 및 106)는, 몰리브덴의 증착을 초래하는 증착 사이클을 형성할 수 있다. 일부 구현예에서, 몰리브덴 증착의 두 개의 단계, 즉 몰리브덴 전구체 및 반응물을 반응 챔버에 제공하는 단계(104 및 106)가 반복(루프 108)될 수 있다. 이러한 구현예는 여러 증착 사이클을 포함한다. 증착된 몰리브덴의 두께는 증착 사이클의 수를 조절함으로써 조절될 수 있다. 증착 사이클(루프 108)은 원하는 몰리브덴 두께가 달성될 때까지 반복될 수 있다. 예를 들어, 약 50, 100, 150, 200, 250, 300, 400, 500, 600, 700, 800, 1,200 또는 1,500회의 증착 사이클이 수행될 수 있다.
하나의 사이클 동안 증착된 몰리브덴의 양(사이클 당 성장)은 공정 조건에 따라 달라지며, 예를 들어 약 0.3 Å/사이클 내지 약 4.5 Å/사이클, 예컨대 약 0.5 Å/사이클 내지 약 3.5 Å/사이클, 또는 약 1.2 Å/사이클 내지 약 3.0 Å/사이클일 수 있다. 예를 들어, 성장 속도는 약 1.0 Å/사이클, 1.2 Å/사이클, 1.4 Å/사이클, 1.6 Å/사이클, 1.8 Å/사이클, 2 Å/사이클, 2.2 Å/사이클, 2.4 Å/사이클일 수 있다. 증착 조건, 증착 사이클 수 등에 따라, 가변 두께의 몰리브덴 층이 증착될 수 있다. 예를 들어, 몰리브덴 또는 몰리브덴 함유 층은 약 0.2 nm 내지 60 nm, 또는 약 1 nm 내지 50 nm, 또는 약 0.5 nm 내지 25 nm, 또는 약 1 nm 내지 50 nm, 또는 약 10 nm 내지 60 nm의 두께를 가질 수 있다. 몰리브덴 층은, 예를 들어 대략 0.2 nm, 0.3 nm, 0.5 nm, 1 nm, 1.5 nm, 2 nm, 2.5 nm, 3 nm, 3.5 nm, 4 nm, 4.5 nm, 5 nm, 6 nm, 8 nm, 10 nm, 15 nm, 20 nm, 25 nm, 30 nm, 35 nm, 40 nm, 50 nm, 70 nm, 85 nm 또는 100 nm의 두께를 가질 수 있다. 원하는 두께는 문제의 응용예에 따라 선택될 수 있다.
몰리브덴 전구체 및 반응물은 별도의 단계(104 및 106)로 반응 챔버 내에 제공될 수 있다. 도 1b는 현재 개시에 따른 구현예를 나타내며, 여기서 단계(104 및 106)는 퍼지 단계(105 및 107)에 의해 분리된다. 이러한 구현예에서, 증착 사이클은 하나 이상의 퍼지 단계(103, 105)를 포함한다. 퍼지 단계 동안에, 전구체 및/또는 반응물은 아르곤(Ar), 질소(N2) 또는 헬륨(He)과 같은 불활성 가스에 의해 서로 일시적으로 분리될 수 있다. 몰리브덴 전구체와 반응물의 분리는 대안적으로 공간적일 수 있다.
반응 챔버를 퍼지하는 단계(103, 105)는 몰리브덴 전구체와 반응물 사이의 기상 반응을 방지하거나 완화시킬 수 있고, 자기 포화 표면 반응을 가능하게 한다. 잉여 화학 물질 및 반응 부산물이 존재하는 경우, 기판이 다음 반응 화학 물질과 접촉하기 전에 이들은, 예를 들어 반응 공간을 퍼지하거나 기판을 이동함으로써 기판 표면으로부터 제거될 수 있다. 그러나, 일부 구현예에서, 기판은 몰리브덴 전구체 및 반응물과 별도로 접촉하도록 이동될 수 있다. 일부 구현예에서 반응은 자기 포화될 수 있기 때문에, 기판의 엄격한 온도 제어 및 전구체의 정확한 주입양 제어는 요구되지 않을 수 있다. 그러나, 기판 온도는 바람직하게는 입사 가스 종이 단분자층 또는 다수의 단분자층으로 응축되지 않거나 표면 상에서 열적으로 분해되지 않도록 하는 것이다.
방법(100)을 수행하는 경우에, 몰리브덴이 기판 상에 증착된다. 증착 공정은 주기적 증착 공정일 수 있고, 주기적 CVD, ALD, 또는 하이브리드 주기적 CVD/ALD 공정을 포함할 수 있다. 예를 들어, 일부 구현예에서, 특정 ALD 공정의 성장 속도는 CVD 공정에 비해 낮을 수 있다. 성장 속도를 증가시키는 하나의 접근법은 ALD 공정에서 통상적으로 사용되는 것보다 높은 증착 온도에서 작동시켜, 일부 부분에 화학 기상 증착 공정을 유발할 수 있으나, 몰리브덴 전구체 및 반응물의 순차적 도입의 장점을 여전히 가질 수 있다. 이러한 공정은 주기적 CVD로 지칭될 수 있다. 일부 구현예에서, 주기적 CVD 공정은 두 개 이상의 전구체를 반응 챔버에 도입하는 단계를 포함할 수 있으며, 반응 챔버에서 두 개 이상의 전구체 사이의 중첩 시간이 있을 수 있어서 ALD 증착 성분 및 CVD 증착 성분 양쪽을 생성한다. 이를 하이브리드 공정으로 지칭한다. 추가 예시에 따라, 주기적 증착 공정은 하나의 반응물 또는 전구체의 연속적인 흐름 및 다른 화학 물질 성분의 반응 챔버 내로의 주기적 펄스화를 포함할 수 있다. 단계(104) 동안에 반응 챔버 내 압력 및/또는 온도는 단계(102)와 연결되어 위에 언급된 압력 및/또는 온도와 동일하거나 유사할 수 있다.
일부 구현예에서, 몰리브덴 전구체는 기판 표면(104)과 접촉하게 되고, 과량의 몰리브덴 전구체는 불활성 가스 또는 진공(105)에 의해 부분적으로 또는 실질적으로 완전히 제거되고, 반응물은 몰리브덴 전구체를 포함하는 기판 표면과 접촉한다. 몰리브덴 전구체는 하나 이상의 펄스(104)에서 기판 표면과 접촉하게 될 수 있다. 즉, 몰리브덴 전구체(104)의 펄스화가 반복될 수 있다. 기판 표면 상의 몰리브덴 전구체는 반응물과 반응하여 기판 표면 상에 몰리브덴을 형성할 수 있다. 반응물(106)의 펄스화도 반복될 수 있다. 일부 구현예에서, 반응물은 반응기 챔버(106)에 먼저 제공될 수 있다. 그 후, 반응 챔버는 퍼지될 수 있고(105), 몰리브덴 전구체가 하나 이상의 펄스로 반응 챔버 내에 제공된다(104).
예를 들어, 몰리브덴층이 270 내지 310°C의 온도에서 증착되고, 증착 사이클(퍼지에 의해 분리된 몰리브덴 전구체 및 반응물 제공)이 100 내지 200회 반복되는 경우, 대략 10 nm 내지 40 nm, 예를 들어 20 nm 또는 30 nm의 두께를 갖는 몰리브덴 층을 수득하는 것이 가능할 수 있다.
일부 구현예에서, 본 개시에 따른 몰리브덴 층은 약 5 μΩ cm 내지 약 300 μΩ cm의 비저항을 가질 수 있다. 예를 들어, 본 개시에 따른 몰리브덴 층의 비저항은 10 μΩ cm, 15 μΩ cm, 20 μΩ cm, 50 μΩ cm, 100 μΩ cm, 150 μΩ cm 또는 200 μΩ cm일 수 있다. 상기 비저항을 갖는 층의 두께는, 예를 들어 10 nm, 20 nm, 30 nm, 40 nm, 50 nm 또는 60 nm일 수 있다.
몰리브덴 층의 비저항은 증착후 어닐링을 사용함으로써 감소될 수 있다. 어닐링은 몰리브덴 층의 증착 직후, 즉 추가의 층이 증착되지 않은 상태에서 수행될 수 있다. 대안적으로, 어닐링은 추가 층이 증착된 후에 수행될 수 있다. 몰리브덴 층은 어닐링 전에 캡핑될 수 있다. 캡 층은 실리콘 질화물을 포함하거나 본질적으로 구성되거나 이로 구성될 수 있다. 약 320 °C 내지 약 470 °C의 어닐링 온도가 사용될 수 있다. 예를 들어, 어닐링 온도는 330°C, 350°C, 380°C, 400 °C, 430°C 또는 450°C일 수 있다. 어닐링은 아르곤, 아르곤-수소 혼합물, 수소, 질소 또는 질소-수소 혼합물을 포함하거나, 이로 필수적으로 구성되거나, 이로 구성되는 가스 분위기에서 수행될 수 있다. 어닐링의 지속 시간은 약 1분 내지 약 60분, 예를 들어 5분, 20분, 30분 또는 45분일 수 있다. 어닐링은 0.05 내지 760 토르의 압력에서 수행될 수 있다. 예를 들어, 어닐링 동안의 압력은 약 1 토르, 약 10 토르, 약 100 토르 또는 약 500 토르일 수 있다.
도 1c는 도 1b의 구현예와 유사한 본 개시의 구현예를 도시하며, 상기 방법은 상이한 구성의 증착 사이클을 포함한다. 방법은 전술한 바와 같이 기판을 반응 챔버(102) 내에 제공함으로써 시작된다. 제1 구성의 단계("개시제 사이클"), 104a 내지 107a는 전술한 바와 같이 수행될 수 있지만, 반응 챔버(106a) 내에 반응물을 제공하는 데 걸리는 펄스 시간은 연장될 수 있다. 개시제 사이클에서 반응물 펄스의 길이는, 다음 증착 사이클에서 증착 속도를 개선하기 위해 선택된다. 일부 구현예에서, 단계(106a)에서 반응물 펄스 시간은 적어도 3초, 또는 약 3초 내지 약 60초, 예를 들어 약 5초, 약 10초, 약 15초, 약 30초 또는 약 45초이다. 개시제 사이클은 반복될 수 있다(루프 108a). 일부 구현예에서, 개시제 사이클은 적어도 약 5회, 예를 들어 약 10회, 약 20회, 약 25회 또는 약 30회 수행된다. 일부 구현예에서, 반응물 펄스(106a)는 약 10초의 지속시간을 가지며, 개시제 사이클은 약 20회 수행된다. 문제의 응용 분야를 위해 개시제 사이클을 충분히 여러 번 수행한 후, 단계(104 내지 107)를 전술한 바와 같이 수행하고, 반복한다(108). 그러나, 일부 구현예에서, 타겟 몰리브덴 층 두께를 달성하는 데 필요한 증착 사이클의 수는 개시제 사이클의 사용에 의해 적어도 10%만큼, 또는 적어도 50%만큼 또는 적어도 60%만큼 감소될 수 있다. 비교를 위해, 개시제 사이클(108a) 후의 증착 사이클(108)에서의 반응물 펄스 시간은 약 3초 미만으로 짧을 수 있고, 예를 들어 약 1초 또는 약 2초일 수 있다. 따라서, 일부 구현예에서, 본 개시에 따른 방법은 두 개의 상이한 길이의 반응물 펄스를 포함한다.
도 2는 본 개시에 따른 예시적인 구조체/소자 부분(200)을 나타낸다. 소자 부분 또는 구조체(200)는 기판(202), 몰리브덴 층(204), 및 (예를 들어, 하나 또는 둘 모두와 접촉하는) 기판(202)과 몰리브덴 층(204) 사이의 선택적 하부층(206)을 포함한다. 기판(202)은 유전체 또는 절연 층과 같은, 본원에 설명된 기판 재료 중 임의의 재료이거나 이를 포함할 수 있다. 예로서, 유전체 또는 절연 층은, 예를 들어 금속성 산화물과 같은 고-유전율 재료일 수 있다. 일부 구현예에서, 고-유전율 재료는 실리콘 산화물의 유전 상수보다 높은 유전 상수를 포함한다. 예시적인 고-유전율 재료는 하프늄 산화물(HfO2), 탄탈륨 산화물(Ta2O5), 지르코늄 산화물(ZrO2), 티타늄 산화물(TiO2), 하프늄 실리케이트(HfSiOx), 알루미늄 산화물(Al2O3), 란타늄 산화물(La2O3), 티타늄 질화물 및 하나 이상의 이러한 층을 포함한 혼합물/라미네이트를 포함한다. 대안적으로, 기판 재료는 금속을 포함할 수 있다.
몰리브덴 층(204)은 본원에 설명된 방법에 따라 형성될 수 있다. 하부 층(206)이 형성되는 구현예에서, 하부 층은 주기적 증착 공정을 사용하여 형성될 수 있다. 일부 구현예에서, 몰리브덴 층(204)은 몰리브덴 금속일 수 있다. 일부 구현예에서, 몰리브덴 층은 기판 상에 직접 증착될 수 있다. 이러한 구현예에서, 하부 층은 없다. 또 다른 대안으로서, 본 개시에 따른 구조체 또는 소자는 기판과 몰리브덴 층 사이에 추가 층을 포함할 수 있다.
도 3은 본 개시에 따른 증착 어셈블리(300)를 개략적인 방식으로 나타낸다. 증착 어셈블리(300)는, 본원에 설명된 바와 같은 방법을 수행하고/수행하거나 본원에 설명된 바와 같은 구조체 또는 소자, 또는 이의 일부를 형성하기 위해 사용될 수 있다.
나타낸 예에서, 증착 어셈블리(300)는 하나 이상의 반응 챔버(302), 전구체 인젝터 시스템(301), 몰리브덴 전구체 용기(304), 반응물 용기(306), 퍼지 가스 공급원(308), 배기 공급원(310), 및 제어기(312)를 포함한다.
반응 챔버(302)는 임의의 적합한 반응 챔버, 예컨대 ALD 또는 CVD 반응 챔버를 포함할 수 있다.
몰리브덴 전구체 용기(304)는, 용기 및 본원에 설명된 바와 같은 하나 이상의 몰리브덴 전구체를 단독으로 또는 하나 이상의 캐리어(예를 들어, 불활성) 가스와 혼합하여 포함할 수 있다. 반응물 용기(306)은, 용기 및 본원에 설명된 바와 같은 하나 이상의 반응물을 단독으로 또는 하나 이상의 캐리어 가스와 혼합하여 포함할 수 있다. 퍼지 가스 공급원(308)은 본원에 설명된 바와 같이 하나 이상의 불활성 가스를 포함할 수 있다. 세 개의 공급원 용기(304-308)로 나타냈지만, 증착 어셈블리(300)는 적절한 임의 갯수의 가스 공급원을 포함할 수 있다. 공급원 용기(304-308)는 라인(314-318)을 통해 반응 챔버(302)에 결합될 수 있으며, 이들 각각은 흐름 제어기, 밸브, 히터 등을 포함할 수 있다. 일부 구현예에서, 전구체 용기 내의 몰리브덴 전구체는 가열될 수 있다. 일부 구현예에서, 몰리브덴 전구체가 약 60°C 내지 약 160°C, 예컨대 약 100°C 내지 약 145°C, 예를 들어 85°C, 100°C, 110°C, 120°C, 130°C 또는 140°C의 온도에 도달하도록 용기가 가열된다.
진공원(310)은 하나 이상의 진공 펌프를 포함할 수 있다.
제어기(312)는 밸브, 매니폴드, 히터, 펌프 및 증착 어셈블리(300)에 포함된 다른 구성 요소를 선택적으로 작동시키기 위한, 전자 회로 및 소프트웨어를 포함한다. 이러한 회로 및 구성 요소는, 전구체, 퍼지 가스를 각각의 공급원(304-308)으로부터 도입하기 위해 작동한다. 제어기(312)는 가스 펄스 순서의 시점, 기판 및/또는 반응 챔버(302)의 온도, 반응 챔버(302)의 압력, 및 증착 어셈블리(300)의 적절한 작동을 제공하는데 다양한 기타 작동을 제어할 수 있다. 제어기(312)는, 반응 챔버(302) 내로 그리고 반응 챔버로부터의 전구체, 반응물 및 퍼지 가스의 흐름을 제어하기 위한 밸브를 전기식 혹은 공압식으로 제어하는 제어 소프트웨어를 포함할 수 있다. 제어기(312)는, 특정 작업을 수행하는 소프트웨어 또는 하드웨어 구성 요소와 같은 모듈을 포함할 수 있다. 모듈은 제어 시스템의 어드레스 가능한 저장 매체에 탑재되도록 구성되고, 하나 이상의 공정을 실행하도록 구성될 수 있다.
상이한 갯수 및 종류의 전구체 및 반응물 공급원 및 퍼지 가스 공급원을 포함하는 증착 어셈블리(300)의 다른 구성이 가능하다. 또한, 가스를 반응 챔버(302) 내로 선택적으로 그리고 연동 방식으로 공급하는 목적을 달성하는데 사용될 수 있는 밸브, 도관, 전구체 공급원, 퍼지 가스 공급원의 다수의 배열이 존재함을 이해할 것이다. 또한, 증착 어셈블리를 개략적으로 표현하면서, 많은 구성 요소가 예시의 단순화를 위해 생략되었는데, 이러한 구성 요소는, 예를 들어 다양한 밸브, 매니폴드, 정화기, 히터, 용기, 벤트, 및/또는 바이패스를 포함할 수 있다.
증착 어셈블리(300)의 작동 중에, 반도체 웨이퍼(미도시)와 같은 기판은, 예를 들어 기판 취급 시스템에서 반응 챔버(302)로 이송된다. 일단 기판(들)이 반응 챔버(302)로 이송되면, 전구체, 반응물, 캐리어 가스, 및/또는 퍼지 가스와 같이, 가스 공급원(304 내지 308)으로부터 하나 이상의 가스가 반응 챔버(302) 내로 유입된다.
도 4는 반도체 소자(400) 내의 라인(406) 및 비아(404)를 나타낸다. 소자는 반도체 기판(402) 상에 위치한다. 기판은 본 개시에서 설명된 기판 재료 중 어느 하나를 함유할 수 있다. 추가의 기능성 층(도면에 미도시)이 기판(402) 상에 존재할 수 있다. 비아(404)는 기판 및 라인(406)과 접촉한다. 비아(404)는 본 개시에 따라 증착된 몰리브덴을 포함하거나, 이로 필수적으로 구성되거나, 이로 구성될 수 있다. 라인(406)은 본 개시에 따라 증착된 몰리브덴으로 필수적으로 구성되거나, 이로 구성될 수 있거나, 구리와 같은 다른 금속을 포함하거나, 필수적으로 구성되거나, 이로 구성될 수 있다. 비아(404) 및 라인(406)은 저 유전율 재료에 의해 둘러싸인다.
도 5의 패널 A 내지 D는, 상이한 컨택 응용에서 본 개시에 따라 증착된 몰리브덴을 예시한다. 모든 패널에서, 기판은 숫자 502로 표시되어 있고, 소스는 숫자 504로 표시되어 있고, 드레인은 숫자 506으로 표시되어 있고, 게이트는 숫자 508로 표시되어 있고, 컨택은 숫자 512로 표시되어 있다. 패널 A에서, 본 개시에 따라 증착된 몰리브덴은 소스 컨택(510) 및 드레인 컨택(514)에 사용된다. 패널 B에서, 본 개시에 따라 증착된 몰리브덴은 게이트 컨택(510)으로 사용되고, 패널 C에서, 게이트(508)와 소스(504) 사이의 로컬 상호 연결(510)에서 사용된다. 패널 D에서, 몰리브덴은 비아와 컨택(512) 사이의 연결부(510)에 사용된다.
도 6은 본 개시에 따라 증착된 몰리브덴을 포함하는 매립 파워 레일(602), 및 FinFET 구조체(604)를 도시한다.
도 7은, 일함수 층(704)이 도 5에 도시된 바와 같이 유사한 소자에서 본 개시에 따라 증착된 몰리브덴을 포함하거나, 이로 필수적으로 구성되거나, 이로 구성되는 게이트(702)를 나타낸다.
도 8은 3D NAND(800)의 예시이며, 여기서 워드 라인(804)은 본 개시에 따라 증착된 몰리브덴을 포함하거나, 이로 필수적으로 구성되거나, 이로 구성된다. 도면은 채널(806), 터널 산화물(808), 전하 트랩 층(810) 및 차단 산화물(812)의 예시적인 구현예를 참조용으로 디스플레이한다.
도 9는 매립된 워드 라인(906)을 갖는 DRAM(900)의 구현 예시를 나타낸다. 도면에서, 902는 소스, 904는 게이트, 910은 비트라인으로 나타낸다. 매립 워드 라인(906)은 본 개시에 따라 증착된 몰리브덴을 포함하거나, 이로 필수적으로 구성되거나, 이로 구성된다.
전술한 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이며, 이는 첨부된 청구범위 및 그의 법적 균등물에 의해 정의된다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 본원에 나타내고 설명된 것 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경예 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (21)

  1. 주기적 증착 공정에 의해 기판 상에 몰리브덴을 증착하는 방법으로서, 상기 방법은,
    반응 챔버에 기판을 제공하는 단계;
    몰리브덴 전구체를 상기 반응 챔버에 기상으로 제공하는 단계; 및
    반응물을 상기 반응 챔버에 기상으로 제공하여 상기 기판 상에 몰리브덴을 형성하는 단계를 포함하되,
    상기 몰리브덴 전구체는 몰리브덴 원자 및 탄화수소 리간드를 포함하고, 상기 반응물은 두 개 이상의 할로겐 원자들을 포함한 할로겐화 탄화수소를 포함하며, 적어도 두 개의 할로겐 원자들은 상이한 탄소 원자들에 부착되는, 방법.
  2. 제1항에 있어서, 상기 몰리브덴 전구체는 몰리브덴, 탄소 및 수소만을 포함한 유기금속 화합물을 포함하는, 방법.
  3. 제2항에 있어서, 상기 몰리브덴 전구체는 비스(에틸벤젠)몰리브덴을 포함하는, 방법.
  4. 제1항에 있어서, 상기 반응물 내의 상기 두 개의 할로겐 원자들은 상기 탄화수소의 인접한 탄소 원자들에 부착되는, 방법.
  5. 제1항에 있어서, 상기 반응물은 1,2-디할로알칸 또는 1,2-디할로알켄 또는 1,2-디할로알킨 또는 1,2-디할로아렌을 포함하는, 방법.
  6. 제1항에 있어서, 상기 반응물은 일반 조성식 XaRbC―(CXcR''d)n―CXaR'b를 갖되, X는 할로겐이고, R, R' 및 R''은 독립적으로 H 또는 알킬기이고, a 및 b는 독립적으로 1 또는 2이므로, 각각의 탄소 원자에 대해 a +b = 3, n은 0, 1, 2, 3, 4 또는 5이고, c 및 d는 독립적으로 0, 1, 또는 2이어서, 각각의 탄소 원자에 대해 c + d = 2인, 방법.
  7. 제1항에 있어서, 상기 반응물은 일반 조성식 XaRbCCXaR'b를 갖되, X는 할로겐이고, R 및 R'는 독립적으로 H 또는 알킬기이고, a 및 b는 독립적으로 1 또는 2이어서 각각의 탄소 원자에 대해 a + b = 3인, 방법.
  8. 제1항에 있어서, 상기 반응물의 상기 두 개의 할로겐 원자들은 동일한 할로겐인, 방법.
  9. 제1항에 있어서, 상기 반응물의 상기 두 개의 할로겐 원자들은 요오드인, 방법.
  10. 제1항에 있어서, 상기 반응물은 1,2-디요오드에탄을 포함하는, 방법.
  11. 제1항에 있어서, 상기 몰리브덴 전구체는 펄스들로 공급되고, 반응물은 펄스들로 공급되고, 상기 반응 챔버는 몰리브덴 전구체와 반응물의 연속적 펄스들 사이에서 퍼지되는, 방법.
  12. 제1항에 있어서, 상기 방법은 두 개의 상이한 길이들의 반응물 펄스들을 포함하는, 방법.
  13. 제1항에 있어서, 상기 반응 챔버 내의 압력은 0.1 내지 100 토르인, 방법.
  14. 제1항에 있어서, 상기 공정 온도는 200°C 내지 400°C인, 방법.
  15. 제1항에 있어서, 상기 주기적 증착 공정은 원자층 증착 공정 또는 화학 기상 증착 공정을 포함하는, 방법.
  16. 제1항에 있어서, 상기 주기적 증착 공정은 열 증착 공정을 포함하는, 방법.
  17. 제1항의 방법으로 제조된 몰리브덴 층.
  18. 제17항에 있어서, 15 μΩ cm 내지 300 μΩ cm, 예컨대 20 μΩ cm, 50 μΩ cm, 100 μΩ cm, 150 μΩ cm 또는 200 μΩ cm의 비저항을 갖는 층.
  19. 제1항에 의해 증착된 몰리브덴을 포함하는 반도체 구조체.
  20. 제1항에 의해 증착된 몰리브덴을 포함하는 반도체 소자.
  21. 기판 상에 몰리브덴을 증착하기 위한 증착 어셈블리로서,
    상기 기판을 유지하도록 구성되고 배열된 하나 이상의 반응 챔버들;
    몰리브덴 전구체 및/또는 반응물을 상기 반응 챔버 내에 기상으로 제공하도록 구성되고 배열된 전구체 인젝터 시스템;
    몰리브덴 원자 및 탄화수소 리간드를 포함하는 몰리브덴 전구체를 함유 및 증발시키도록 구성되고 배열되는 전구체 용기; 및
    상이한 탄소 원자들에 부착된 적어도 두 개의 할로겐 원자들을 포함한 할로겐화 탄화수소를 포함하는 반응물을 함유 및 증발시키도록 구성되고 배열된 반응물 용기를 포함하며,
    상기 어셈블리는, 상기 전구체 인젝터 시스템을 통해 상기 반응 챔버에 상기 몰리브덴 전구체 및/또는 상기 반응물을 제공하여 상기 기판 상에 몰리브덴을 증착하도록 구성되고 배열되는, 증착 어셈블리.
KR1020210141397A 2020-10-30 2021-10-21 몰리브덴 증착 방법 KR20220058434A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063108043P 2020-10-30 2020-10-30
US63/108,043 2020-10-30

Publications (1)

Publication Number Publication Date
KR20220058434A true KR20220058434A (ko) 2022-05-09

Family

ID=81362732

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210141397A KR20220058434A (ko) 2020-10-30 2021-10-21 몰리브덴 증착 방법

Country Status (4)

Country Link
US (1) US20220139713A1 (ko)
KR (1) KR20220058434A (ko)
CN (1) CN114438471A (ko)
TW (1) TW202233876A (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
KR20230002069A (ko) 2021-06-29 2023-01-05 에이에스엠 아이피 홀딩 비.브이. 전이금속 질화물 증착 방법
WO2024030729A1 (en) 2022-08-05 2024-02-08 Versum Materials Us, Llc Liquid molybdenum bis(arene) compositions for deposition of molybdenum-containing films
US20240074162A1 (en) * 2022-08-30 2024-02-29 Applied Materials, Inc. Lanthanum nitride as a dram molybdenum liner

Also Published As

Publication number Publication date
TW202233876A (zh) 2022-09-01
US20220139713A1 (en) 2022-05-05
CN114438471A (zh) 2022-05-06

Similar Documents

Publication Publication Date Title
US20210066080A1 (en) Methods and apparatus for depositing a chalcogenide film and structures including the film
US11501973B2 (en) Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US20220186364A1 (en) Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR102657269B1 (ko) 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR20220058434A (ko) 몰리브덴 증착 방법
KR20190009245A (ko) 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
JP2018133569A (ja) 周期的堆積により基材上に金属性膜を形成する方法及び関連する半導体デバイス構造
US20230250534A1 (en) Atomic layer deposition and etching of transition metal dichalcogenide thin films
KR20220011093A (ko) 몰리브덴층을 증착하기 위한 방법 및 시스템
US20230139917A1 (en) Selective deposition using thermal and plasma-enhanced process
US11996286B2 (en) Silicon precursors for silicon nitride deposition
KR20210024421A (ko) 주기적 증착 공정에 의해 기판 표면 상에 몰리브덴 질화물 막을 증착하는 방법 및 이와 관련된 몰리브덴 질화물 막을 포함한 반도체 소자 구조
US11885020B2 (en) Transition metal deposition method
US20240096632A1 (en) Transition metal deposition processes and a deposition assembly
US20240096633A1 (en) Methods and assemblies for selectively depositing transition metals
US11873557B2 (en) Method of depositing vanadium metal
US20220254628A1 (en) Method and system for forming boron nitride on a surface of a substrate
US11885014B2 (en) Transition metal nitride deposition method
JP2022020585A (ja) 遷移金属層を備える構造を形成するための方法およびシステム
KR20230166934A (ko) 기판 상에 13족 원소를 포함한 층을 형성하기 위한 방법 및 시스템