KR102521375B1 - 증착 및 제거를 이용한 선택적 층 형성 - Google Patents

증착 및 제거를 이용한 선택적 층 형성 Download PDF

Info

Publication number
KR102521375B1
KR102521375B1 KR1020190051858A KR20190051858A KR102521375B1 KR 102521375 B1 KR102521375 B1 KR 102521375B1 KR 1020190051858 A KR1020190051858 A KR 1020190051858A KR 20190051858 A KR20190051858 A KR 20190051858A KR 102521375 B1 KR102521375 B1 KR 102521375B1
Authority
KR
South Korea
Prior art keywords
passivation layer
deposition
reactant
substrate
dielectric
Prior art date
Application number
KR1020190051858A
Other languages
English (en)
Other versions
KR20190127578A (ko
Inventor
에바 토이스
빌야미 포레
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20190127578A publication Critical patent/KR20190127578A/ko
Priority to KR1020230046707A priority Critical patent/KR20230051653A/ko
Application granted granted Critical
Publication of KR102521375B1 publication Critical patent/KR102521375B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)

Abstract

제2 표면 상에 미리 증착된 패시베이션층에 대해 기판의 제1 표면 상에 유전체 막을 선택적으로 증착하기 위한 방법 및 시스템이 제공된다. 상기 방법은 제1 표면 상에 재료를 증착하면서 패시베이션층이 제거됨으로써 패시베이션층 위에 증착을 방지하기 위해 사용되는 적어도 하나의 주기적 증착 공정을 포함할 수 있다.

Description

증착 및 제거를 이용한 선택적 층 형성{SELECTIVE LAYER FORMATION USING DEPOSITION AND REMOVING}
관련 출원의 상호 참조
본 출원은 2018년 5월 2일에 출원된 미국 가출원 번호 제62/666,039호의 우선권을 주장하며, 모든 목적상 본원에 그 개시 내용은 참조로서 전체 원용된다.
기술분야
본 개시는 일반적으로 반도체 소자 제조 분야에 관한 것으로, 보다 상세하게는 막의 증착 및 제거를 이용한 층의 선택적 형성에 관한 것이다.
반도체 산업에서, 선택적 공정에 대한 필요성이 증가하고 있다. 예를 들어, 막 성장은 하나의 표면 상에 원할 수 있지만 상이한 제2 표면 상에는 원하지 않을 수 있다. 이러한 상이한 두 표면은 상이한 물질, 예를 들어 금속과 유전체를 포함할 수 있다. 양호한 선택적 공정은 포토리소그래피 마스킹과 패터닝과 같이 증착 재료의 별도의 패터닝에 대한 복잡한 공정을 회피함으로써 여러 공정 단계를 감소시킬 수 있어서, 시간과 비용을 절약한다.
일 양태에서, 패터닝된 기판의 제1 표면 상에 유전체 재료를 선택적으로 형성하기 위해 원자층 증착(ALD) 공정에 대한 방법이 제공된다. 방법은 제1 표면 및 제2 표면을 포함하는 기판을 제공하는 단계를 포함하며, 상기 제2 표면은 패시베이션층을 위에 포함한다. 방법은 기판을 제1 전구체, 및 산소를 포함하는 제2 반응물과 교대 순차적으로 접촉시키는 단계를 포함하는 적어도 하나의 증착 사이클을 수행하는 단계를 추가로 포함한다. 방법은, 제2 반응물이 제1 전구체와 반응하여 제1 표면 상에 유전체 재료를 형성하는 단계를 추가로 포함하며, 패시베이션층은 각각의 증착 사이클 동안에 제2 반응물에 의해 애싱된다.
일부 구현예에서, ALD 공정에 대한 방법은 제1 표면이 유전체 표면인 것을 추가로 포함한다. 일부 구현예에서, 유전체 표면은 실리콘 산화물을 포함한다. 일부 구현예에서, 제1 표면은 저-유전율(low-k) 재료를 포함한다. 일부 구현예에서, 제2 표면은 금속 표면이다. 일부 구현예에서, 금속 표면은 Co, Cu 또는 W 중 적어도 하나를 포함한다. 일부 구현예에서, 유전체 재료는 산화물이다. 일부 구현예에서, 산화물은 실리콘 산화물이다. 일부 구현예에서, 산화물은 금속 산화물이다.
일부 구현예에서, 제1 전구체는 금속 전구체, 실리콘 전구체, 또는 이들의 혼합물을 포함한다. 일부 구현예에서, 제1 전구체는 알킬아미노실란이다.
일부 구현예에서, 패시베이션층은 유기 재료를 포함한다. 일부 구현예에서, 제1 증착 사이클을 시작하기 전에, 패시베이션층은 제1 표면에 대해 제2 표면 상에 선택적으로 증착된다. 일부 구현예에서, 증착 사이클은 복수의 횟수로 반복되어 유전체 표면 상에 원하는 두께의 산화물 막을 형성한다. 일부 구현예에서, 각각의 증착 사이클의 시작과 종료 사이에 패시베이션층이 선택적으로 패시베이션층 상에 추가 증착된다.
일부 구현예에서, ALD 공정은 플라즈마 강화 원자층 증착(PEALD) 공정이다. 일부 구현예에서, 적어도 하나의 증착 사이클은 기판을 제1 전구체와 접촉하기 전에 제2 반응물과 접촉시키는 단계로 시작한다. 일부 구현예에서, 적어도 하나의 증착 사이클은 각 사이클에서 기판을 적어도 하나의 추가 반응물과 접촉시키는 단계를 추가로 포함한다. 일부 구현예에서, 제2 반응물은 플라즈마를 추가로 포함한다. 일부 구현예에서, 기판을 제2 반응물과 접촉시키는 단계는 제2 반응물을 플라즈마로 활성화시키는 단계를 추가로 포함한다.
일부 구현예에서, 유전체 재료는 패시베이션층에 대해 제1 표면 상에 선택적으로 형성된다. 일부 구현예에서, 유전체 재료는 패시베이션층 상에 형성되고, 유전체 재료는 패시베이션층의 애싱으로 패시베이션층으로부터 제거됨으로써 제1 표면 상에 유전체 재료를 선택적으로 형성한다.
다른 양태에서, 패터닝된 기판의 표면 상에 재료를 선택적으로 형성하기 위한 주기적 증착 공정이 제공된다. 방법은 제1 표면 및 제2 표면을 포함하는 기판을 제공하는 단계를 포함하며, 상기 제2 표면은 패시베이션층을 위에 포함한다. 방법은 기판을 제1 전구체와 제2 반응물과 교대 순차적으로 접촉시키는 단계를 포함하는 적어도 하나의 증착 사이클을 수행하는 단계를 추가로 포함한다. 제2 반응물은 제1 전구체와 반응하여 제1 표면 상에 유전체 재료를 형성하고, 패시베이션층은 각각의 증착 사이클 동안에 제2 반응물에 의해 애칭된다.
일부 구현예에서, 공정은 원자층 증착(ALD) 공정을 포함한다. 일부 구현예에서, 공정은 플라즈마 강화 ALD(PEALD)를 포함한다. 일부 구현예에서, 제2 반응물은 플라즈마 여기 종을 포함한다. 일부 구현예에서, 제2 반응물은 산소를 포함하고, 패시베이션층은 유기층을 포함하고, 에칭은 애싱을 포함한다. 일부 구현예에서, 패시베이션층은 고분자를 포함한다.
일부 구현예에서, 패시베이션층의 에칭이 제2 표면을 노출시키기 전에 증착은 정지된다. 일부 구현예에서, 방법은 증착을 정지한 후에 그리고 증착을 계속하기 전에 제2 표면 위에 추가 패시베이션층을 추가로 증착하는 단계를 포함한다.
또 다른 양태에서, 패터닝된 기판의 제1 유전체 표면 상에 산화물 재료를 선택적으로 형성하기 위한 플라즈마 강화 원자층 증착(PEALD) 공정이 제공된다. 방법은 제1 유전체 표면 및 제2 금속성 표면을 포함하는 기판을 제공하는 단계를 포함하며, 상기 제2 금속성 표면은 유기 패시베이션층을 위에 포함한다. 방법은 기판을 제1 전구체, 및 산소와 플라즈마를 포함하는 제2 반응물과 교대 순차적으로 접촉시키는 단계를 포함하는 적어도 하나의 증착 사이클을 수행하는 단계를 추가로 포함한다. 제2 반응물은 제1 전구체와 반응하여 제1 유전체 표면 상에 산화물 재료를 형성하고, 유기 패시베이션층은 각각의 증착 사이클 동안에 제2 반응물에 의해 애싱된다.
도 1a는 제2 표면 위의 패시베이션층 두께를 감소시키면서 제1 표면 상에 재료를 증착하기 위한 선택적 증착 공정을 도시하는 흐름도이다.
도 1b는 제2 표면 위에 선택적으로 증착된 패시베이션층 두께를 감소시키면서 제1 표면 상에 재료를 증착하기 위한 선택적 증착 공정을 도시하는 흐름도이다.
도 2는 폴리이미드층의 두께 대 산화를 수행한 사이클 횟수를 도시하는 그래프로, 패시베이션의 애싱 속도가 계산된다.
도 3은 주기적 증착 공정에 의해 기판의 제1 표면 상에 재료를 선택적으로 증착하면서 주기적 증착 공정은 제2 표면 위에 패시베이션층을 제거하는 것을 도시한다.
금속 산화물 또는 실리콘 산화물(예, SiO) 막과 같은 유전체 막은, 예를 들어 집적 회로 제조 분야와 같이 당업자에게 명백할 정도로 광범위하게 다양한 응용을 갖는다. 본 개시의 일부 구현예에 따르면, 다양한 유전체 막, 특히 산화물 막, 전구체, 및 이러한 막을 증착하기 위한 방법이 제공된다.
일부 구현예에서, 재료는 선택적 증착 공정에 의해서 제2 표면에 대해 기판의 제1 표면 상에 형성된다. 일부 구현예에서, 재료는 산화물 재료이다. 일부 구현예에서, 유전체 막은 주기적 증착 공정을 사용하여 금속 표면 상의 패시베이션층에 대해 기판의 유전체 표면 상에 선택적으로 형성된다.
예를 들어, 도 1a는 제2 표면 위의 패시베이션층 두께를 감소시키면서 제1 표면 상에 재료를 증착하는 선택적 증착 공정을 도시하는 흐름도(100)이다. 도시된 제1 블록(102)에서, 제1 표면 및 제2 표면을 갖는 기판이 제공되며, 상기 제2 표면은 패시베이션층을 위에 포함한다. 블록(104)에서, 기판은 제1 반응물과 접촉되고 블록(106)에서, 기판은 제2 반응물과 접촉된다. 일부 구현예에서, 블록(104 및 106)은 교대 순차적으로 수행된다. 도시된 결정 블록(108)에서, 충분한 두께의 재료가 형성될 때까지 기판은 블록(104 및 106)에서 제1 및 제2 반응물에 반복 노출될 수 있다. 일부 구현예에서, 충분히 두꺼운 재료가 형성되어서 블록(104 및 106)은 반복되지 않는다. 일부 구현예에서, 충분히 두꺼운 재료가 형성되지 않아서 블록(104 및 106)이 반복되고, 블록(104 및 106)의 반복은 주기적 증착 공정으로서 지칭된다. 블록(104 및 106)은 동일한 시퀀스일 필요는 없으며, 각 반복마다 동일하게 수행될 필요가 없다. 일부 구현예에서, 블록(104 및 106)은 연속적으로 수행된다. 일부 구현예에서, 블록(104 및 106)은 하나 이상의 간헐적인 공정에 의해 분리된다. 일부 구현예에서, 블록(104 및 106)의 반복은 연속적으로 수행된다. 일부 구현예에서, 블록(104 및 106)의 반복은 하나 이상의 간헐적인 공정에 의해 분리된다. 일부 구현예에서, 간헐적인 공정은, 과잉의 반응물 및 부산물 제거(예, 진공 및/또는 불활성 가스 퍼지), 추가 패시베이션층의 선택적 증착, 추가 세정 에칭, 일부 또는 모든 사이클에서 추가적인 반응물에 노출 및/또는 다른 반응물의 노출 이전에 동일한 반응물의 반복 노출로부터 적어도 하나 선택될 수 있다. 과잉의 반응물 및 부산물의 중간 제거는, 상이한 반응물의 공급을 분리하여 기상 상호 작용 위험을 최소화하고 표면 반응에 대한 증착 반응을 제한할 수 있도록 보조한다. 당업자는 중간 제거(예, 퍼지) 단계의 지속 시간을 최소화하기 위해 잔류 가스와의 일부 상호 작용이 용납될 수 있음을 이해할 것이다. 반응 공간으로 반응물 공급이 중첩되는 것을 피하면, 일반적으로 기상 반응을 충분히 감소시키고, 중간 퍼지와 함께 흐름 경로가 최적화되어 잔류 가스의 상호 작용을 더욱 최소화할 수 있다. 일단 원하는 재료 두께가 형성되면 선택적 증착 공정은 블록(110)에서 완료되고, 여기서 재료는 제1 표면 상에 선택적으로 얻어지고, 두께가 감소된 패시베이션층이 제2 표면 상에 얻어진다. 반응물은 증착된 막 내에 하나 이상의 요소를 남기는 전구체일 수 있다. 일부 구현예에서, 하나 이상의 반응물은 증착된 재료의 생성물을 화학적으로 환원시키거나, 산화시키거나, 또는 제거시키는 역할을 할 수 있다.
도 1a와 유사하게, 도 1b는 패시베이션층 두께를 감소시키면서 제1 표면 상에 재료의 선택적 증착 공정을 도시하는 흐름도(200)이지만 도 1b는 제2 표면 상에 패시베이션층을 선택적으로 형성하는 것을 포함한다. 도 1a와 관련하여 논의된 동일한 또는 유사한 특징부 또는 기능 중 어느 것도 또한 도 1b의 동일한 또는 유사한 특징부 또는 기능에 적용될 수도 있다는 점을 이해해야 한다. 예시된 제1 블록(202)에서, 패시베이션층은 제1 표면에 대해 기판의 제2 표면 상에 선택적으로 형성된다. 블록(204)에서, 기판은 제1 반응물과 접촉되고 블록(206)에서, 기판은 제2 반응물과 접촉된다. 예시된 결정 블록(208)에서, 충분히 두꺼운 재료가 형성될 수 있고, 따라서, 블록(212)에 도시된 바와 같이 패시베이션 두께가 감소된 제1 표면 상에 재료가 선택적으로 얻어진다. 충분히 두꺼운 재료가 아직 형성되지 않고, 패시베이션층이 반응물에 노출됨으로써 완전히 소비될 위험이 없는 경우, 결정 블록(210)은 기판이 블록(204 및 206) 내의 제1 및 제2 반응물에 반복적으로 그리고 교대로 노출될 수 있음을 도시한다. 대안적으로, 패시베이션층이 반응물에 노출됨으로써 완전히 소모될 위험이 있는 경우, 결정 블록(210)은 기판이 블록(204 및 206) 내에서 제1 및 제2 반응물에 노출되기 전에 패시베이션층이 제1 표면에 대해 기판의 제2 표면 상에 선택적으로 형성되는 제1 예시 블록(202)이 반복될 수 있음을 도시한다.
일부 구현예에서, 주기적 증착 공정은 원자층 증착(ALD)이다. 일부 구현예에서, 주기적 증착 공정은 주기적 화학 기상 증착(CVD)이다. 일부 구현예에서, 패시베이션층은 이미 제2 표면(예, 금속 표면) 상에 증착되었다. 일부 구현예에서, 패시베이션층은 주기적 증착 공정 중에 부분적으로 제거된다. ALD 공정 중에, 예를 들어 패시베이션층은 ALD 페이즈 동안에 에칭에 의해 서서히 제거될 수 있다. 예를 들어, 유기(예, 고분자) 패시베이션층의 경우, 에칭(예, 애싱)은 산화제가 공급되는 증착 페이즈 동안에 달성될 수 있고 동시에 유전체 막은 유전체 표면 상에 증착된다. 다른 예에서, ALD 공정에서 기판을 제2 반응물에 노출시키는 동안에 산화물 재료가 유전체 표면 상에 증착되면서, 패시베이션층은 동시에 제거된다. 패시베이션층의 느린 에칭은 패시베이션층 및 금속 상에 유전체의 증착을 방지할 수 있다.
일부 구현예에서, ALD 공정은 플라즈마 강화 원자층 증착(PEALD) 공정이다. 일부 구현예에서, 플라즈마 전력이 산소를 함유하는 반응물로부터 더 많은 반응 종을 생성시키도록 제공된다. 일부 구현예에서, 산소를 함유하는 반응물은 산소(O2) 가스를 포함하고 플라즈마 생성 전력에 종속된다. 일부 구현예에서, 플라즈마는 증착 챔버 및 증착 챔버에 공급되는 플라즈마 생성물로부터 원격식으로 생성될 수 있다. 일부 원격식 플라즈마 구현예에서, 전달 경로는 기판으로의 이온 전달을 최소화하면서 중성 O 종의 전달을 최적화한다. 일부 구현예에서, 플라즈마는 인시츄로 증착 챔버 내에서 생성될 수 있다.
일부 구현예에서, 기판의 제1 표면은 유전체 표면을 포함한다. 일부 구현예에서, 기판의 유전체 표면은 실리콘 산화물(예, SiO2)을 포함한다. 일부 구현예에서, 기판의 유전체 표면은 저-유전율 재료를 포함한다.
일부 구현예에서, 제2 표면은 금속 표면을 포함한다. 달리 표시하지 않는 한, 본원에서 표면이 금속 표면으로서 지칭된 경우에는 금속 표면 또는 금속성 표면일 수 있다. 일부 구현예에서, 금속 또는 금속성 표면은 금속, 금속 산화물, 및/또는 이들의 혼합물을 포함할 수 있다. 일부 구현예에서, 금속 또는 금속성 표면은 표면 산화를 포함할 수 있다. 일부 구현예에서, 금속 또는 금속성 표면의 금속 또는 금속성 재료는 표면 산화 유무에 상관없이 전기적으로 전도성이다. 일부 구현예에서, 금속 또는 금속성 표면은 하나 이상의 전이금속을 포함한다. 일부 구현예에서, 금속 표면 또는 금속성 표면은 Al, Cu, Co, Ni, W, Nb, Fe 중 하나 이상을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 Co, Cu, 또는 W 중 적어도 하나를 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 루테늄과 같이 하나 이상의 귀금속을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 전도성 금속 산화물, 질화물, 탄화물, 붕화물, 또는 이들의 조합을 포함한다. 예를 들어, 금속 또는 금속성 표면은 RuOx, NbCx, NbBx, NiOx, CoOx, NbOx, 및 WNCx 중 하나 이상을 포함할 수 있다. 일부 구현예에서, 기판은 TiN 및/또는 TaN을 포함하나 이에 제한되지 않는 금속 질화물을 포함할 수 있다. 일부 구현예에서, 금속 표면은 TiC 및/또는 TaC를 포함하나 이에 제한되지 않는 금속 탄화물을 포함할 수 있다. 일부 구현예에서, 금속 표면은 MoS2, Sb2Te3 및/또는 GeTe를 포함하나 이에 제한되지 않는 금속 칼코겐화물을 포함한다. 일부 구현예에서, 금속 표면은 TiN 표면이다. 일부 구현예에서, 금속 표면은 W 표면이다.
선택도
선택도는 [(제1 표면 상의 증착)-(제2 표면 상의 증착)]/(제1 표면 상의 증착)에 의해 계산되는 백분율로서 제공될 수 있다. 증착은 임의의 다양한 방식으로 측정될 수 있다. 일부 구현예에서, 증착은 증착된 재료의 측정된 두께로서 제공될 수 있다. 일부 구현예에서, 증착은 증착된 재료의 측정된 양으로서 제공될 수 있다.
일부 구현예에서, 선택도는 약 10% 초과, 약 50% 초과, 약 75% 초과, 약 85% 초과, 약 90% 초과, 약 93% 초과, 약 95% 초과, 약 98% 초과, 약 99% 초과하거나 심지어 약 99.5%를 초과한다. 본원에 기술된 구현예에서, 선택도는 증착 지속시간 또는 두께에 따라 변할 수 있다.
일부 구현예에서, 산화물과 같은 유전체의 증착은 제1 유전체 표면에서만 일어나고 제2 금속 표면 위의 패시베이션층 상에서는 일어나지 않는다. 일부 구현예에서, 패시베이션층에 대한 기판의 제1 표면 상의 증착은 적어도 약 80% 선택적인데, 이는 일부 특별한 응용에서 충분히 선택적일 수 있다. 일부 구현예에서, 패시베이션층에 대한 기판의 제1 표면 상의 증착은 적어도 약 50% 선택적인데, 이는 일부 특별한 응용에서 충분히 선택적일 수 있다. 일부 구현예에서, 패시베이션층에 대한 기판의 제1 표면 상의 증착은 적어도 약 10% 선택적인데, 이는 일부 특별한 응용에서 충분히 선택적일 수 있다.
일부 구현예에서, 패시베이션층은 유전체 표면 상에 유전체 재료를 선택적으로 증착하기 전에 금속 표면 상에 선택적으로 형성될 수 있다. 예를 들어, 일부 구현예에서 패시베이션층은 패터닝된 기판 상에 전통적인 공정에 의해 증착되고, 패터닝되고, 에칭되는 블랭킷일 수 있어서, 패시베이션층을 후속 증착이 피해질 곳, 예를 들어 금속 표면 위에 선택적으로 남긴다. 다른 구현예에서, 패시베이션층은 금속층 상에 선택적으로 증착될 수 있다. 패시베이션층의 선택적 증착은, 예를 들어 후술하는 바와 같이 미국 특허 공개 번호 제2017-0352533 A1호(출원 번호 15/170,769) 또는 미국 특허 공개 번호 제2017-0352550 A1호(출원 번호 15/486,124)에 기술된 바와 같이 수행될 수 있고, 이들 문헌 각각의 전체 개시가 본원에 참조로 전체 포함된다.
전술한 바와 같이, 금속 표면 위로 패시베이션층의 선택적 형성은 100% 선택도를 달성하기 위해 100% 선택적일 필요는 없다. 예를 들어, 패시베이션층 증착은 부분적으로 선택적일 수 있어서 유전체 표면 위보다는 금속 표면 위에 더 두껍게 형성되도록 한다. 패시베이션 재료를 짧은 시간 후속하는 에칭은, 금속 표면을 덮는 일부 패시베이션층을 남기면서 유전체 표면을 노출시키기 위한 지속시간 동안에 수행될 수 있다.
ALD 공정
일부 구현예에 따르면, 유전체 막은 산소 기반 ALD 공정을 갖는 기판의 제1 표면 상에 증착된다. 일부 구현예에서, 증착된 유전체막은, 예를 들어 실리콘 산화물(예, SiO2) 또는 PEALD 공정으로 성장될 수 있는 다른 금속 산화물을 포함할 수 있다. 일부 구현예에서, 증착된 유전체 막은 SiO2, TiO2, ZrO2, HfO2, Nb2O5, Ta2O5, WO3, NiO 및 이들의 조합을 포함할 수 있다. 기판의 제2 표면은 패시베이션층에 의해 덮일 수 있다. 일부 구현예에서, 패시베이션층은 유기 재료이다. 유기 패시베이션층은 폴리이미드 또는 폴리아미드와 같은 고분자일 수 있다.
일부 구현예에서, 산화물 막은 산소 기반 ALD 공정을 갖는 기판의 제1 표면 상에 증착된다. 일부 구현예에서, 증착된 산화물 재료 막은 전도성 산화물 막일 수 있다. 예를 들어, 일부 구현예에서, 전도성 산화물 막은 인듐 주석 산화물(ITO) 막이다. 일부 구현예에서, 증착된 산화물 재료 막은 본원에 기술된 유전체 막일 수 있다.
일부 구현예에서, 상기 패시베이션층은 그곳에서 산화물 재료 막 및/또는 유전체 막 증착을 억제한다. 그러나, 일부 구현예에서, 패시베이션층은 산화물 재료 막 또는 유전체 막의 증착을 억제하지 않으며, 즉, 산화물 재료 막 또는 유전체 막 화학물질은 하부 유전체 기판 표면과 패시베이션층 사이에서처럼 선택적이지 않을 수 있다. 이는 ALD 공정 동안 패시베이션층의 일부 제거를 보장하기 위해 조건이 선택되기 때문이고, 따라서 패시베이션층 위의 유전체 막 또는 산화물 재료 막의 임의의 증착을 언더커팅하고 제거한다. 패시베이션층이 희생적이기 때문에, 패시베이션층은 하나의 주기적인 증착 페이즈 동안에 서서히 제거(예, 에칭)된다. 예를 들어, ALD 시퀀스에서, 유기(예, 고분자) 패시베이션층은, 패시베이션층 상의 산화물 재료 막 또는 유전체 막 성장을 방지하는, ALD 시퀀스의 산화제 페이즈에 의해 천천히 애싱될 수 있다. 또 다른 예로 ALD 시퀀스에서, 패시베이션층은 ALD 공정에서 기판을 제2 반응물에 노출하는 동안에 제거되고, 이는 패시베이션층 상의 산화물 재료 막 또는 유전체 막 성장을 방지한다. 패시베이션층 위로의 성장에 대해, 산화물 재료 막 또는 유전체 막은 유전체 표면 상에 정상적으로(비선택적으로, 또는 낮은 선택도로) 증착된다. 산화물 재료막 또는 유전체 재료가 유전체 표면 상에 정상적으로 또는 선택적으로 증착되는지 여부에 관계없이, ALD 시퀀스의 산화제 페이즈에 의해 패시베이션 희생층을 천천히 에칭(예, 애싱)하는 단계는 패시베이션층에 대해 유전체 기판 상에 산화물 재료 막 또는 유전체 재료를 선택적으로 형성하는 최종 효과를 갖는다. 따라서, 도 1a 또는 도 1b의 공정을 사용하면 산화물 재료 막 또는 유전체 막 형성의 선택도를 효과적으로 증가시킬 수 있다.
일부 구현예에서, 산화물 재료 막 또는 유전체 막의 증착이 수행되기 전이지만 패시베이션층이 형성된 후에, 유전체 표면 상에 남아있는 임의의 패시베이션층은 적절한 파라미터를 갖는 플라즈마 전처리로 제거될 수 있다. 일부 구현예에서, 유전체 표면 위로부터 임의의 패시베이션 재료를 제거하는 별도의 공정보다는, 전체 ALD 공정을 시작하기 전에 유전체 표면 상에 남아있는 임의의 패시베이션층이 산화제 페이즈를 포함하는 ALD 공정의 초기 페이즈 또는 단순히 ALD 공정의 산화제 페이즈를 수행함으로써 제거되고, 유전체 상의 더 얇은 패시베이션층이 완전히 애싱된 후에 산화물 재료 또는 유전체 막 증착 공정이 시작된다. 따라서, ALD 시퀀스는 제1 표면 상의 선택적 증착 이전에, 제2 표면으로부터 모든 패시베이션 재료를 제거하지 않고서 제1 표면으로부터 원치 않는 패시베이션 재료를 짧은 시간 동안 에칭하기 위해 산화제를 포함하는 제2 반응물로 시작될 수 있다.
일부 구현예에서, ALD 공정의 산화 페이즈는 PEALD 시퀀스의 플라즈마 공정이다. 일부 구현예에서, 플라즈마는 산소 기반이다. 예를 들어, 플라즈마는 O2 가스, 또는 O2 가스 및 Ar과 같은 희귀 가스의 혼합물에서 생성될 수 있다. 일부 구현예에서, 플라즈마는 산소를 포함하는 가스에서 생성될 수 있거나, 달리 여기된 산소 종을 포함할 수 있다. 일부 구현예에서, ALD 공정의 산화 페이즈는 비 플라즈마 산화 공정(예, H2O 또는 O3)이다.
일부 구현예에서, 플라즈마, 예를 들어 산소를 함유하는 플라즈마는 약 10 W 내지 약 2000 W, 약 50 W 내지 약 1000 W, 약 100 W 내지 약 500 W, 약 30 W 내지 100 W, 또는 일부 구현예에서 약 100W의 RF 전력을 인가함으로써 생성될 수 있다. 일부 구현예에서, RF 전력 밀도는 약 0.02 W/cm2 내지 약 2.0 W/cm2, 또는 약 0.05 W/cm2 내지 약 1.5 W/cm2일 수 있다. RF 전력은, 플라즈마 접촉 시간 동안 유동하고, 반응 챔버를 통해 연속적으로 유동하고/하거나 원격식 플라즈마 발생기를 통해 유동하는 반응물에 인가될 수 있다. 따라서, 일부 구현예에서 플라즈마는 인시츄로 생성되는 반면, 다른 구현예에서 플라즈마는 원격식으로 생성된다. 일부 구현예에서, 샤워헤드 반응기가 활용되고, 플라즈마는 서셉터(그 상부에 기판이 위치함)와 샤워헤드 플레이트 사이에서 인시츄로 생성된다. 일부 구현예에서, 서셉터와 샤워헤드 플레이트 사이의 간격은 약 0.1 cm 내지 약 20 cm, 약 0.5 cm 내지 약 5 cm, 또는 약 0.8 cm 내지 약 3.0 cm이다.
패시베이션층이 완전히 제거되기 전에 유전체 표면 상에 형성될 수 있는 산화물 막의 두께는 패시베이션층의 초기 두께, 패시베이션층의 애싱 속도, 및 산화물 증착 공정의 성장 속도에 의존한다. 예를 들어, 도 2는 ~0.2 Å/사이클의 애싱 속도, 금속 표면 상의 20 nm 폴리이미드 희생층, 및 1 Å/사이클의 사이클당 성장 두께(GPC)로 50 nm의 SiO2를 산소 기반 PEALD 공정의 500 사이클을 적용함으로써 유전체 표면 상에 증착시킬 수 있다. 도 2는 아르곤이 700 sccm으로 공급되고, O2가 100 sccm으로 공급되고, 압력은 2 Torr에서 유지되고, 플라즈마 전력은 100 W로 설정되고, 기판 온도는 100℃에서 유지되고, 각 산화제 페이즈는 1초의 O2 플라즈마와 1 초의 퍼지를 포함하는 산화제 페이즈에 노출되는 경우에 폴리이미드의 애싱 속도를 나타낸다. PEALD는 실리콘 전구체의 공급과 퍼지와 함께 교번하는 상기 산화제 페이즈의 한 페이즈를 포함하며, 여기서 실리콘 전구체는 유전체 상에 또는 성장 중인 실리콘 산화막 상에 흡착하고 산화제 페이즈와 반응하여 실리콘 산화물을 형성하기 위해 선택된다. 다른 구현예에서, 산화제 페이즈는 하나 이상의 금속 전구체 페이즈(들) 및 보조 퍼지 페이즈(들)의 공급과 교번될 수 있으며, 여기서 금속 전구체는 유전체 표면 상에 또는 성장중인 금속 산화물 막 상에 흡착되고 산화제 페이즈와 반응하여 원하는 산화물을 형성하기 위해 선택된다.
일부 구현예에서, 패시베이션 희생층의 에칭율의 최적화는 산화물 재료 막 또는 유전체 막의 성장이 ALD 공정 동안에 패시베이션층 상에 순 증착을 초래하지 않도록 조정될 수 있다. 일부 구현예에서, 패시베이션층 상의 증착에 대한 인큐베이션 시간은 원하는 산화물층 두께가 유전체 표면 상에 증착될 정도로 충분히 길다. 일부 구현예에서, 충분히 두꺼운 패시베이션층이 금속 표면 위에 형성되어, 다른 패시베이션 막을 추가로 증착하지 않고, 즉 초기 패시베이션층을 완전히 소모하지 않고서 ALD 공정을 이용하여 유전체 표면 위에 충분히 두꺼운 산화물 막이 증착될 수 있다.
일부 구현예에서, 선택적 패시베이션층 증착 및 선택적 ALD 공정은, 예를 들어 도 1b에 기술된 공정을 사용하여 반복되는 방식으로 수행된다. 이러한 반복 공정은, ALD 공정이 수행된 후에 패시베이션층 두께를 보충할 수 있게 하여서 후속 ALD 공정을 수행시킬 수 있다. 예를 들어, 패시베이션층이 100회의 사이클에서 애싱되어 없어지는 경우 또는 패시베이션층이 언터커팅에 의해 제거될 수 있는 것보다 더 빨리 패시베이션층 상에 증착 산화물이 형성되기 이전에 패시배이션층 상의 인큐베이션이 100회의 사이클인 경우, 제1 ALD 공정의 90회 사이클이 유전체 표면 상에 선택적으로 산화물을 증착하도록 수행될 수 있고, 후속의 패시베이션층이 이전 패시베이션층 위에 증착이 수행될 수 있고, 제2 ALD 공정의 90회 사이클이 수행될 수 있다. 일부 구현예에서, 이러한 반복 공정은 유전체 표면 상에 원하는 산화물층 두께를 얻기 위해 원하는 만큼 많이 반복될 수 있다. 당업자는 필요한 반복 공정의 수가, 예를 들어 원하는 산화물의 두께, 패시베이션층의 두께, 및 패시베이션층의 애싱 속도 또는 인큐베이션 기간과 같은 다수의 인자에 따라 가변되는 점을 이해할 것이다.
일부 구현예에서, PEALD 증착은 본질적으로 전술한 바와 같이 수행될 수 있다. 다른 구현예에서, 기판은 금속 또는 실리콘과 같이 증착된 재료에 포함될 요소를 포함하는 제1 반응물, 산소를 포함하는 제2 반응물, 및 제2 플라즈마 반응물과 교대 순차적으로 접촉된다. 일부 구현예에서, 제2 플라즈마 반응물은 산소 종을 포함하지 않는다. 일부 구현예에서, 산소 종을 포함하는 반응물은 제2 반응물에만 사용된다. 플라즈마 및 전구체(즉, 제1 및 제2 반응물)는 과잉의 반응물 및 반응 부산물이 존재하는 경우 반응 공간으로부터 제거되는 제거 공정(예, 퍼지)에 의해 분리된 펄스로 제공될 수 있다. 일부 구현예에서, PEALD 증착 공정은 플라즈마 펄스 다음에 전구체로 시작하고, 반응 시퀀스나 증착 사이클은 원하는 횟수(A)를 반복할 수 있다.
A x (플라즈마 펄스/퍼지/전구체/퍼지)
일부 구현예에서, 증착 사이클은 비 플라즈마 펄스로 시작하고 다음에 플라즈마 펄스가 뒤를 따른다.
일부 구현예에 따르면, 이용된 PEALD 공정은 임의의 적합한 산소 기반 플라즈마 공정일 수 있다. 일부 구현예에서, 증착된 유전체 막은 산화물 막이다. 일부 구현예에서, 증착된 유전체 막은 금속 산화물 막이다. 일부 구현예에서, 증착된 유전체 막은 SiO2, TiO2, ZrO2, HfO2, Nb2O5, Ta2O5, WO3 및 NiO로 이루어진 군으로부터 선택될 수 있다. 일부 구현예에서, 증착된 유전체 막은 실리콘 산화물이다. 일부 구현예에서, 산화물 전구체는 알킬아미노실란으로 실리콘 산화물 막을 증착하기 위해 사용된다.
일부 구현예에서, 산화물 막은 기판의 제2 상이한 금속 또는 금속성 표면에 대해 기판의 제1 유전체 표면 상에 산소계 PEALD 공정에 의해 선택적으로 형성된다. 예를 들어, 실리콘 산화물은 금속 표면에 대해 저-유전율 유전체 표면(예, 실리콘 산화물계 표면) 상에 산소계 PEALD에 의해 선택적으로 증착될 수 있다.
도 3은 일부 구현예의 개략도를 도시하며, 기판(302)은 제1 구조체(304)(예, 유전체층의 유전체 표면)의 제1 표면 및 상이한 재료 조성(예, 코발트, 구리 또는 텅스텐면과 같은 금속층의 금속 표면)을 갖는 제2 구조체(306)의 제2 표면을 포함하고, 추가적으로 제1 두께(예, 20 nm의 폴리이미드 유기층)를 갖는 제1 패시베이션층(308a)을 포함하고, 여기서 재료(312)는 제2 표면에 대해 제1 표면 상에 선택적으로 증착된다(위에 놓인 초기 패시베이션층(308a)에 대해 선택적이기 때문임). 일부 구현예에서, 선택적으로 증착된 재료(312)는 산화물 재료이다. 일부 구현예에서, 산화물 재료는 유전체 재료이다. 본원에 기술된 예에서, 상기 유전체 산화물은 실리콘 산화물 막이다. 도 3에서 알 수 있는 바와 같이, 선택적 증착 공정(310)의 하나 이상의 사이클(예, 산소계 PEALD 실리콘 산화물 증착 공정의 500 사이클) 이후, 재료(312)(예, 50 nm SiO)는 제1 구조체(304)의 제1 표면 위에 증착되고, 나머지 패시베이션층(308b)은 제2 두께(예, 폴리이미드 유기층의 10 nm)까지 감소된다. 일부 구현예에서, 선택적 증착 공정은 초기 패시베이션층이 모두 제거되기 전에 멈춘다. 일부 구현예에서, 선택적 증착 공정이 완료된 후, 두께가 감소된 나머지 패시베이션층(308b)은 증착 없이 (예를 들어, 애싱에 의해) 제거되어 제2 구조체(306)의 제2 표면을 노출시킬 수 있다.
일부 구현예에서, 개시된 PEALD 공정은 유전체 표면 상의 실리콘 산화물 또는 다른 산화물의 선택적 형성을 달성할 수 있다. 일부 구현예에서, 개시된 PEALD 공정은 종래의 패터닝 공정에 비해, 다양한 장치 제조 공정 흐름에서 원하는 패턴을 형성하기 위한 단계의 수를 감소시킬 수 있다.
당업자는 본 발명의 사상을 벗어나지 않고, 다수의 그리고 다양한 변형이 이루어질 수 있음을 이해할 것이다. 설명된 형상, 구조물, 특징 및 전구체는 임의의 적합한 방식으로 결합될 수 있다. 따라서, 본 발명의 형태들은 단지 예시적인 것이며 본 발명의 범위를 한정하도록 의도된 것이 아니라는 것을 분명히 이해해야 한다. 모든 변형 및 변경은 첨부된 청구범위에 의해 정의된 본 발명의 범주에 속하는 것으로 의도된다.

Claims (30)

  1. 패터닝된 기판의 제1 표면 상에 유전체 재료를 선택적으로 형성하기 위한 원자층 증착(ALD) 공정으로서,
    제1 표면, 및 패시베이션층을 위에 포함하는 제2 표면을 포함하는 기판을 제공하는 단계;
    상기 기판을 제1 전구체, 및 산소를 포함하는 제2 반응물과 교대 순차적으로 접촉시키는 단계를 포함하는 적어도 하나의 증착 사이클을 수행하는 단계를 포함하되,
    상기 제2 반응물은 상기 제1 전구체와 반응하여 상기 제1 표면 상에 유전체 재료를 형성하고,
    상기 패시베이션층은 각각의 증착 사이클 중에 상기 제2 반응물에 의해 애싱되는 방법.
  2. 제1항에 있어서, 상기 제1 표면은 유전체 표면인 방법.
  3. 제2항에 있어서, 상기 유전체 표면은 실리콘 산화물을 포함하는 방법.
  4. 제1항에 있어서, 상기 제1 표면은 저-유전율 재료를 포함하는 방법.
  5. 제1항에 있어서, 상기 제2 표면은 금속 표면을 포함하는 방법.
  6. 제5항에 있어서, 상기 금속 표면은 Co, Cu, 또는 W 중 적어도 하나를 포함하는 방법.
  7. 제1항에 있어서, 상기 유전체 재료는 산화물인 방법.
  8. 제7항에 있어서, 상기 산화물은 실리콘 산화물인 방법.
  9. 제7항에 있어서, 상기 산화물은 금속 산화물인 방법.
  10. 제1항에 있어서, 상기 제1 전구체는 금속 전구체, 실리콘 전구체, 또는 이들의 혼합물을 포함하는 방법.
  11. 제1항에 있어서, 상기 제1 전구체는 알킬아미노실란인 방법.
  12. 제1항에 있어서, 상기 패시베이션층은 유기 재료를 포함하는 방법.
  13. 제1항에 있어서, 상기 패시베이션층은 제1 증착 사이클을 시작하기 이전에, 상기 제1 표면에 대해 상기 제2 표면 상에 선택적으로 증착되는 방법.
  14. 제1항에 있어서, 상기 증착 사이클은 복수의 횟수로 반복되어 상기 제1 표면 상에 원하는 두께의 산화물 막을 형성하는 방법.
  15. 제14항에 있어서, 각각의 증착 사이클의 시작과 종료 사이에서 상기 패시베이션층에 추가적인 패시베이션층의 선택적 증착을 추가로 포함하는 방법.
  16. 제1항에 있어서, 상기 원자층 증착 공정은 플라즈마 강화 원자층 증착(PEALD) 공정인 방법.
  17. 제1항에 있어서, 상기 적어도 하나의 증착 사이클은 상기 기판을 상기 제1 전구체와 접촉하기 전에 상기 제2 반응물과 접촉시키는 단계로 시작하는 방법.
  18. 제1항에 있어서, 상기 적어도 하나의 증착 사이클은 각 사이클에서 상기 기판을 적어도 하나의 추가적인 반응물과 접촉시키는 단계를 추가로 포함하는 방법.
  19. 제1항에 있어서, 상기 기판을 상기 제2 반응물과 접촉시키는 단계는 상기 제2 반응물을 플라즈마로 활성화시키는 단계를 추가로 포함하는 방법.
  20. 제1항에 있어서, 상기 유전체 재료는 상기 패시베이션층에 대해 상기 제1 표면 상에 선택적으로 형성되는 방법.
  21. 제1항에 있어서, 상기 유전체 재료는 상기 패시베이션층 상에 형성되고, 상기 유전체 재료는 상기 패시베이션층의 애싱으로 상기 패시베이션층으로부터 제거됨으로써 상기 제1 표면 상에 상기 유전체 재료를 선택적으로 형성하는 방법.
  22. 패터닝된 기판의 표면 상에 재료를 선택적으로 형성하기 위한 주기적 증착 공정으로서,
    제1 표면, 및 패시베이션층을 위에 포함하는 제2 표면을 포함하는 기판을 제공하는 단계로서, 상기 패시베이션층은 유기층을 포함하는, 단계;
    상기 기판을 제1 전구체 및 제2 반응물과 교대 순차적으로 접촉시키는 단계를 포함하는 적어도 하나의 증착 사이클을 수행하는 단계를 포함하되, 상기 제2 반응물은 산소를 포함하며,
    상기 제2 반응물은 상기 제1 전구체와 반응하여 상기 제1 표면 상에 상기 재료를 형성하고,
    상기 패시베이션층은 각각의 증착 사이클 중에 상기 제2 반응물에 의해 에칭되며, 에칭은 애싱을 포함하는, 주기적 증착 공정.
  23. 제22항에 있어서, 상기 공정은 원자층 증착(ALD)을 포함하는 주기적 증착 공정.
  24. 제23항에 있어서, 상기 공정은 플라즈마 강화 원자층 증착(PEALD)을 포함하는 주기적 증착 공정.
  25. 제22항에 있어서, 상기 제2 반응물은 플라즈마로 활성화된 종을 포함하는 주기적 증착 공정.
  26. 삭제
  27. 제22항에 있어서, 상기 패시베이션층은 고분자를 포함하는 주기적 증착 공정.
  28. 제22항에 있어서, 상기 패시베이션층의 에칭이 상기 제2 표면을 노출시키기 전에 증착이 정지되는 주기적 증착 공정.
  29. 제28항에 있어서, 상기 증착을 정지한 후 그리고 상기 증착을 계속하기 전에 상기 제2 표면 위에 추가적인 패시베이션층을 더 증착하는 단계를 추가로 포함하는 주기적 증착 공정.
  30. 패터닝된 기판의 제1 표면 상에 산화물 재료를 선택적으로 형성하기 위한 플라즈마 강화 원자층 증착(PEALD) 공정으로서,
    제1 유전체 표면, 및 유기 패시베이션층을 위에 포함하는 제2 금속성 표면을 포함하는 기판을 제공하는 단계;
    상기 기판을 제1 전구체, 및 산소와 플라즈마를 포함하는 제2 반응물과 교대 순차적으로 접촉시키는 단계를 포함하는 적어도 하나의 증착 사이클을 수행하는 단계를 포함하되,
    상기 제2 반응물은 상기 제1 전구체와 반응하여 상기 제1 유전체 표면 상에 산화물 재료를 형성하고,
    상기 유기 패시베이션층은 각각의 증착 사이클 중에 상기 제2 반응물에 의해 애싱되는 플라즈마 강화 원자층 증착 공정.
KR1020190051858A 2018-05-02 2019-05-02 증착 및 제거를 이용한 선택적 층 형성 KR102521375B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230046707A KR20230051653A (ko) 2018-05-02 2023-04-10 증착 및 제거를 이용한 선택적 층 형성

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862666039P 2018-05-02 2018-05-02
US62/666,039 2018-05-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230046707A Division KR20230051653A (ko) 2018-05-02 2023-04-10 증착 및 제거를 이용한 선택적 층 형성

Publications (2)

Publication Number Publication Date
KR20190127578A KR20190127578A (ko) 2019-11-13
KR102521375B1 true KR102521375B1 (ko) 2023-04-14

Family

ID=68383895

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020190051858A KR102521375B1 (ko) 2018-05-02 2019-05-02 증착 및 제거를 이용한 선택적 층 형성
KR1020230046707A KR20230051653A (ko) 2018-05-02 2023-04-10 증착 및 제거를 이용한 선택적 층 형성

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230046707A KR20230051653A (ko) 2018-05-02 2023-04-10 증착 및 제거를 이용한 선택적 층 형성

Country Status (5)

Country Link
US (3) US10872765B2 (ko)
JP (3) JP7146690B2 (ko)
KR (2) KR102521375B1 (ko)
CN (2) CN110444476B (ko)
TW (3) TWI820967B (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP7166431B2 (ja) * 2019-03-20 2022-11-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
KR20210132606A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 반도체 기판 상의 3차원 구조에 갭을 충진하는 방법
US11694903B2 (en) 2020-06-24 2023-07-04 Asm Ip Holding B.V. Area selective organic material removal
CN115868007A (zh) * 2020-09-10 2023-03-28 株式会社国际电气 半导体器件的制造方法、衬底处理装置、及程序
US11621161B2 (en) * 2020-10-27 2023-04-04 Applied Materials, Inc. Selective deposition of a passivation film on a metal surface
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
JP2022135709A (ja) * 2021-03-05 2022-09-15 東京エレクトロン株式会社 成膜方法及び成膜装置

Family Cites Families (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
AU2003290956A1 (en) 2002-11-15 2004-06-15 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
JP2004281479A (ja) 2003-03-13 2004-10-07 Rikogaku Shinkokai 薄膜形成方法
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
JP2009539237A (ja) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US8084087B2 (en) 2007-02-14 2011-12-27 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
JP2011503876A (ja) 2007-11-06 2011-01-27 エイチシーエフ パートナーズ リミテッド パートナーシップ 原子層堆積プロセス
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
TWI390756B (zh) 2008-07-16 2013-03-21 Applied Materials Inc 使用摻質層遮罩之混合異接面太陽能電池製造
TW201027766A (en) 2008-08-27 2010-07-16 Applied Materials Inc Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
EP2361445A4 (en) 2008-12-01 2012-07-04 Du Pont ANODE FOR AN ORGANIC ELECTRONIC DEVICE
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
JP2010232316A (ja) 2009-03-26 2010-10-14 Fujifilm Corp 酸化亜鉛系半導体薄膜の成膜方法、及び成膜装置
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
CN102471882A (zh) 2009-07-31 2012-05-23 阿克佐诺贝尔化学国际公司 制备涂覆基材的方法、涂覆的基材及其用途
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
WO2012001848A1 (ja) 2010-07-01 2012-01-05 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
US9640622B2 (en) 2013-06-28 2017-05-02 Intel Corporation Selective epitaxially grown III-V materials based devices
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US9562188B2 (en) 2013-09-20 2017-02-07 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
KR102138719B1 (ko) * 2013-09-27 2020-07-28 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9831306B2 (en) 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) * 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR102287787B1 (ko) 2014-03-27 2021-08-10 인텔 코포레이션 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
EP3123497A4 (en) 2014-03-28 2017-11-01 Intel Corporation Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
TWI627192B (zh) 2015-03-13 2018-06-21 村田製作所股份有限公司 Atomic layer deposition inhibiting material
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US10316406B2 (en) 2015-10-21 2019-06-11 Ultratech, Inc. Methods of forming an ALD-inhibiting layer using a self-assembled monolayer
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US9754779B1 (en) * 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
KR101822577B1 (ko) 2017-10-31 2018-03-08 나정균 분리배출이 용이한 친환경 아이스팩
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成

Also Published As

Publication number Publication date
CN110444476A (zh) 2019-11-12
US20230016537A1 (en) 2023-01-19
US11501966B2 (en) 2022-11-15
KR20230051653A (ko) 2023-04-18
US20210118669A1 (en) 2021-04-22
JP7146690B2 (ja) 2022-10-04
TWI783909B (zh) 2022-11-11
TW202242172A (zh) 2022-11-01
CN110444476B (zh) 2022-04-19
TW202307245A (zh) 2023-02-16
TWI820967B (zh) 2023-11-01
TWI773897B (zh) 2022-08-11
KR20190127578A (ko) 2019-11-13
TW201947054A (zh) 2019-12-16
US11804373B2 (en) 2023-10-31
JP2022177198A (ja) 2022-11-30
JP7240549B2 (ja) 2023-03-15
CN114678271A (zh) 2022-06-28
US20190341245A1 (en) 2019-11-07
US10872765B2 (en) 2020-12-22
JP2019195059A (ja) 2019-11-07
JP2023065574A (ja) 2023-05-12

Similar Documents

Publication Publication Date Title
KR102521375B1 (ko) 증착 및 제거를 이용한 선택적 층 형성
US10991573B2 (en) Uniform deposition of SiOC on dielectric and metal surfaces
US11728175B2 (en) Deposition of organic films
US20210358739A1 (en) Selective passivation and selective deposition
KR101540077B1 (ko) 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
KR20190039642A (ko) 기판 상에 금속막을 선택적으로 증착하는 방법
CN110993482A (zh) 选择性钝化和选择性沉积
CN118280833A (zh) 相对于电介质表面在金属表面上选择性形成介电层方法
KR20240108268A (ko) 유전체 표면에 대한 금속 표면 상에 유전체 층을 선택적으로 형성하는 방법
KR20240074924A (ko) 루테늄 함유 층의 형성 방법 및 적층체

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant