CN110993482A - 选择性钝化和选择性沉积 - Google Patents

选择性钝化和选择性沉积 Download PDF

Info

Publication number
CN110993482A
CN110993482A CN201910938338.4A CN201910938338A CN110993482A CN 110993482 A CN110993482 A CN 110993482A CN 201910938338 A CN201910938338 A CN 201910938338A CN 110993482 A CN110993482 A CN 110993482A
Authority
CN
China
Prior art keywords
substrate
layer
metal
deposition
selectively
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910938338.4A
Other languages
English (en)
Inventor
J·W·梅斯
M·吉文斯
S·豪卡
V·帕鲁丘里
I·拉伊梅克斯
S·邓
A·伊利贝里
E·托伊斯
D·朗格里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN110993482A publication Critical patent/CN110993482A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02669Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation inhibiting elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02425Conductive materials, e.g. metallic silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明的发明名称为选择性钝化和选择性沉积。提供了用于选择性沉积的方法。材料相对于不同材料组成的第二表面被选择性沉积在衬底的第一表面上。将抑制剂(如聚酰亚胺层)相对于第二表面由气相反应物选择性地形成在第一表面上。将目标层相对于第一表面由气相反应物选择性地沉积在第二表面上。第一表面可以是金属的,而第二表面是介电的。因此,可利用本文所述技术将材料(如介电过渡金属氧化物和氮化物)相对于电介质表面选择性地沉积在金属表面上。

Description

选择性钝化和选择性沉积
技术领域
本公开总体上涉及材料相对于不同材料组成的第二表面在衬底的第一表面上的选择 性沉积。
背景技术
在半导体制造中,装置尺寸的缩小要求新式创新的加工方法。常规地,半导体加工中 的图案化涉及减成法,其中毯式层(blanket layers)被沉积、通过光刻技术进行掩膜(masked)、然后通过掩膜(mask)中的开口进行蚀刻。增材图案化(Additive patterning)也是已知的,其中掩膜步骤先于目标材料的沉积,如使用剥离技术或镶嵌加工进行图案化。在多数情况下,昂贵的多步平版印刷技术被用于图案化。
选择性沉积可以简化图案化,选择性沉积已在半导体制造商中引起了越来越多的兴 趣。选择性沉积将以各种方式带来极大的好处。重要的是,它可以减少平版印刷步骤,从而降低加工成本。选择性沉积还能够诸如通过使自下而上填充成为可能,从而在狭窄的结构中增强缩放比例。电化学沉积是选择性沉积的一种形式,因为可以在传导性元件上选择性地形成金属。化学气相沉积(CVD)和原子层沉积(ALD)是表面敏感技术,气相沉积 技术,因此已作为选择性沉积的优良候选者而被研究。例如,在美国6,391,785中,建议 选择性ALD。
选择性沉积的挑战之一是对沉积过程的选择性通常不够高,无法实现选择性的目标。 有时可以使用表面预处理来抑制或促进在一个或两个表面上的沉积,但是通常这样的处理 自身要求平版印刷仅将处理施加或保留在待处理的表面上。
因此,存在对用于完成选择性沉积的更实际的方法的需求。
发明内容
一方面,提供了用于相对于衬底的第一表面在衬底的第二表面上选择性沉积的方法, 其中第一和第二表面具有不同组成。方法按顺序地包括:相对于第二表面将来自气相反应 物的抑制剂层选择性地形成在第一表面上;烘烤抑制剂层;和将来自气相反应物的目标层 相对于钝化层选择性地沉积在第二表面上。
在一些实施方式中,方法还包括在选择性地形成抑制剂层之前处理第一和第二表面。 在一些实施方式中,方法包括:其中处理包括使衬底暴露于等离子体。在一些实施方式中,处理包括使衬底暴露于硅烷,如烷基氨基硅烷。在一些实施方式中,处理包括使衬 底暴露于N-(三甲基甲硅烷基)二甲胺(TMSDMA)或三甲基氯硅烷。在一些实施方式中, 方法进一步包括在选择性地形成抑制剂层之后清洁第二表面以去除任何抑制剂。在一些 实施方式中,方法包括:其中清洁包括用氢等离子体处理。在一些实施方式中,烘烤包括 将衬底加热至约300至400℃的温度。
在一些实施方式中,方法进一步包括在选择性地沉积目标层之后清洁第一和第二表 面。在一些实施方式中,清洁包括用氢等离子体处理表面。在一些实施方式中,方法进一步包括:其中选择性地形成抑制剂层包括选择性地将有机层气相沉积在第一表面上。在一些实施方式中,有机层是聚酰亚胺层。
在一些实施方式中,通过原子层沉积过程选择性地沉积目标层。在一些实施方式中, 第一表面包括金属或含金属的材料,而第二表面包括电介质材料。
在一些实施方式中,其中目标层包括金属氧化物。在一些实施方式中,金属氧化物包 括氧化锆、氧化铪、氧化铝、氧化钛、氧化钽、氧化钇、氧化镧、或其它过渡金属氧化物 或其混合物。在一些实施方式中,金属氧化物包括介电过渡金属氧化物。在一些实施方式 中,金属氧化物包括氧化铝。在一些实施方式中,利用包括三甲基铝(TMA)、二甲基氯 化铝、三氯化铝(AlCl3)、异丙氧基二甲基铝(DMAI)或三乙基铝(TEA)的铝前体沉 积氧化铝。在一些实施方式中,利用铝前体沉积氧化铝,铝前体包括含有烷基和诸如卤化 物(卤基,halide)例如Cl的其它配体的杂配体(heteroleptic)铝化合物。在一些实施方 式中,利用铝前体沉积氧化铝,所述铝前体包括含有两种不同烷基作为配体的铝烷基化合 物。在一些实施方式中,利用包括金属有机铝化合物或有机金属铝化合物的铝前体沉积铝 化合物。
在一些实施方式中,目标层包括金属氮化物。在一些实施方式中,金属氮化物是氮化 钛。在一些实施方式中,通过气相沉积过程由TiCl4和NH3沉积氮化钛.
另一方面,提供了用于将目标层相对于衬底的第一表面选择性沉积在衬底的第二表 面上的集群工具(模块化组合设备,cluster tool),其中第一和第二表面具有不同组成。集 群工具包括:被配置以预处理衬底的第一模块;被配置以用等离子体处理衬底的第二模 块;被配置以将抑制剂相对于衬底的第二表面气相沉积在衬底的第一表面上的第三模 块;和被配置以气相沉积目标层的第四模块。
另一方面,提供了用于将电介质相对于衬底的第一表面选择性沉积在衬底的第二表 面上的系统。系统包括:被配置以选择性沉积有机钝化层和部分回蚀有机钝化层的第一室;和被配置以将电介质相对于衬底的第一表面选择性沉积在第二表面上的第二室。
另一方面,提供了用于将目标膜相对于衬底的第一表面选择性沉积在衬底的第二表 面上的系统。系统包括:被配置以预处理衬底和蚀刻加工的第一室;被配置以选择性沉积 有机钝化层的第二室;和被配置以将目标膜相对于衬底的第一表面选择性沉积在衬底的 第二表面上的第三室。
在一些实施方式中,第三室被进一步配置用于烘烤过程。在一些实施方式中,系统进 一步包括被配置用于烘烤过程的第四室。
附图说明
图1A是根据第一实施方式的具有不同组成的第一和第二表面的衬底的部分的示意性 横截面。
图1B是在选择性钝化第一表面之后图1A的衬底的示意性横截面。
图1C是在选择性沉积在第二表面之后图1B的衬底的示意性横截面。
图1D是在从第一表面去除钝化材料之后图1C的衬底的示意性横截面。
图2A是根据第二实施方式的具有不同组成的第一和第二表面的衬底的部分的示意性 横截面,其中钝化阻挡材料形成在第二表面上。
图2B是在选择性钝化第一表面之后图2A的衬底的示意性横截面。
图2C是在从第二表面去除钝化阻挡材料之后图2B的衬底的示意性横截面。
图2D是在选择性沉积在第二表面之后图2C的衬底的示意性横截面。
图2E是在从第一表面去除钝化材料之后图2D的衬底的示意性横截面。
图3A是根据第三实施方式的在选择性沉积其它材料于第二表面上方之后图2D的衬底 的示意性横截面。
图3B是在从第一表面去除钝化材料之后图3A的衬底的示意性横截面。
图4A是总体上示例了用于选择性沉积有机钝化层的过程的流程图。
图4B是总体上示例了用于选择性沉积有机层的原子层沉积(ALD)过程的流程图。
图5是被配置用于选择性沉积聚合物层和从不期望的表面原位回蚀的设备的示意性 图示。
图6是总体上示例了根据实施方式的用于在用有机材料选择性钝化第一表面之后将电 介质层选择性沉积在第二表面上的过程的流程图。
图7是利用具有不同组成的第一和第二表面的衬底的部分的示意性横截面的流程图, 并且总体上示例了钝化材料上回蚀的程度对所形成的电介质层与第一和第二表面的界面 的关系的影响。
图8是利用具有不同组成的第一和第二表面的衬底的部分的示意性横截面的流程图, 并且总体上示例了钝化层厚度对所形成的电介质层与第一和第二表面的界面的关系的影 响。
图9是利用具有不同组成的第一和第二表面的衬底的部分的示意性横截面的流程图, 并且总体上示例了电介质厚度对所形成的电介质层与第一和第二表面界面的关系的影响。
图10A是具有不同组成的齐平式(flush)第一和第二表面的衬底的部分的示意性横截 面,其中钝化层和电介质层分别选择性沉积在其上方。
图10B是具有不同组成的第一和第二表面的衬底的部分的示意性横截面,其中第一表 面相对于第二表面凹陷,并且钝化层和电介质层分别选择性沉积其上方。
图10C是具有不同组成的第一和第二表面的衬底的部分的示意性横截面,其中第一表 面相对于第二表面升高,并且其中钝化层和电介质层分别选择性沉积其上方。
图10D是具有不同组成的第一和第二表面的衬底的部分的示意性横截面,其中第一表 面相对于第二表面凹陷,并且其中钝化层和电介质层分别选择性沉积其上方。
图11A是具有嵌入式金属部件(feature)的衬底的部分的示意性横截面。
图11B是在形成限定第一表面的金属盖帽之后图11A的衬底的示意性横截面。
图11C是在选择性钝化沉积和回蚀之后图11B的衬底的示意性横截面,钝化膜留在金 属盖帽上方,其中金属盖帽的边缘被暴露。
图11D是在电介质材料选择性沉积在衬底的低k表面上方之后图11C的衬底的示意性 横截面,其中沉积的电介质抵抗低k材料的蚀刻并与金属盖帽重叠。
图11E是在去除钝化层之后图11D的衬底的示意性横截面。
图12A是显示了具有不同组成的第一和第二表面的衬底的部分的示意性横截面的流程 图,并且总体上示例了第一表面的选择性钝化、以使钝化与第二表面重叠的方式进行回蚀、 和在第二表面的其余部分上电介质蚀刻掩膜的选择性沉积。
图12B是在去除钝化层、在第一表面与电介质蚀刻掩膜之间留下间隙、选择性蚀刻暴 露在间隙中的低k材料、和进行沉积以在衬底内留下空气间隙之后的图12A的衬底的示意 性横截面。
图13是示例了用于选择性沉积的工艺流程的流程图。
图14是示例了用于在诸如沟槽或通孔(沟道,via)的三维结构上选择性沉积的工艺 流程的流程图。
具体实施方式
公开了用于相对于第一表面在第二表面上方选择性沉积材料的方法和设备,其中第一 和第二表面具有材料差异。例如,表面中的一个可包括金属材料,而另一表面可包括无机 电介质材料。在本文所述实施方式中,将有机钝化层相对于第二表面选择性沉积在第一表 面上。在一些实施方式中,第一表面是金属的,而第二表面是介电的;在其它实施方式中, 第一表面是介电的,而第二表面是金属的。随后,将目标层相对于有机钝化层选择性沉积 在第二表面上。在一些实施方式中,目标层可以是Al2O3层。在一些实施方式中,目标层可以是TiN层。可将其它层相对于有机钝化层选择性沉积在目标层上,位于第二表面上方。
在一个实施方式中,第一表面包括金属表面,如元素金属(elemental metal)或金属合 金,而第二表面包括无机电介质表面,如低k材料。低k材料的实例包括硅氧化物基材料, 包括生成的或沉积的二氧化硅、掺杂的和/或多孔氧化物、硅上的天然氧化物等。将聚合物 钝化层相对于无机电介质表面选择性沉积在金属表面上。随后,将目标层选择性沉积在无 机电介质表面上。目标层可包括金属元素。目标层的实例包括电介质,如氧化锆(例如, ZrO2)、氧化铪(例如,HfO2)、氧化铝(例如,Al2O3)、氮化钛(例如,TiN)和氧化 钛(例如,TiO2)。提供了将这种材料相对于聚合物表面选择性沉积在硅氧化物基表面上 的过程。
在第二实施方式中,第一表面包括无机电介质表面,如低k材料,而第二表面包括金 属表面,如元素金属或金属合金。低k材料的实例包括硅氧化物基材料,包括生成的或沉积的二氧化硅、掺杂的和/或多孔氧化物、硅上的天然氧化物等。将聚合物钝化层相对于金属表面选择性沉积在无机电介质表面上。在将聚合物钝化层沉积之前,金属表面可被提供有钝化阻挡层,如自组装的单层(SAM)。钝化阻挡层促进了聚合物在无机电介质表面上 沉积的选择性,并且可在其后去除,从而允许目标层相对于聚合物钝化层选择性沉积在金 属表面上。目标层可包括金属元素。目标层的实例包括金属层(例如,参见于2015年2 月17日授权的美国专利号8,956,971和于2015年8月18日授权的美国专利号9,112,003)、 金属氮化物层(例如,氮化钛)和金属氧化物层(例如,氧化锆、氧化铪、氧化钛和氧化 铝)。提供了将这种材料相对于聚合物表面选择性沉积在金属表面上的过程。
在第三实施方式中,实施第二实施方式的过程以提供相对于聚合物钝化的无机电介质 表面选择性位于金属表面上方的目标层。其后,其它目标层被选择性沉积在目标层上方, 而聚合物保持钝化无机电介质表面。例如,目标层可包括金属层,而其它目标层包括金属 氧化物层(例如,氧化锆、氧化铪、氧化钛)。提供了将这种材料相对于聚合物表面选择性沉积在金属表面上的过程。
在将目标层(一个或多个)选择性沉积在第二表面上方之后可将聚合物钝化层从第一 表面去除。例如,氧化过程可以选择性地去除聚合物材料。选择条件以避免对衬底上的周 围材料造成损伤。
还提供了用于相对于衬底上的其它部件控制选择性沉积的层的边缘轮廓(profiles)和 边缘位置的实施方式,如下层(underlying)金属表面和电介质表面之间的边界。因此,提 供了对选择性层边缘的相对定位的控制而无需昂贵的平版印刷图案化。实例示例了对于这 种控制的应用,包括这种实例:其中选择性层重叠材料,所述材料上的沉积是最小化的; 这种实例:其中选择性层形成有将所述层与材料间隔的间隙,所述材料上的沉积是最小化 的;以及这种实例:其中选择性层的边缘与两种不同的下层材料之间的边界对齐。
衬底表面
根据本公开的一些方面,选择性沉积可用于将目标膜相对于第一表面沉积在第二表面 上。这两个表面可具有不同的材料特性——允许在其上选择性形成有机材料,如相对于第 二表面在第一表面上选择性沉积聚合物层,其进而允许随后相对于有机的钝化的第一层在 第二表面上选择性沉积目标层。
例如,在本文所述实施方式中,表面中的一个可以是衬底的传导性(例如,金属或含 金属的)表面,而另一表面可以是衬底的非传导性(例如,无机电介质的)表面。在一些实施方式中,非传导性表面包括–OH基团,如硅氧化物基表面(例如,低k材料,包括生 成的和沉积的硅氧化物材料以及硅上方的天然氧化物)。在一些实施方式中,非传导性表 面可另外包括–H末端(terminations),如HF浸渍的Si或HF浸渍的Ge表面。在这种实 施方式中,表面目标将被认为包括–H末端和–H末端下方的材料两者。
对于上述的任意实例,两个表面之间的材料差异在于使得气相沉积法能够选择性地将 有机钝化层相对于第二表面沉积在第一表面上。在一些实施方式中,周期(cyclical)气相 沉积被使用,例如,周期CVD或原子层沉积(ALD)过程被使用。在一些实施方式中, 有机钝化层的选择性可在表面上无钝化/阻挡剂的情况下实现,以接收较少的有机层;和/ 或在表面上无催化剂的情况下实现,以接收较多的有机层。例如,在这种实施方式中,其 中第一表面是金属的而第二表面是介电的,聚合物可相对于无机电介质表面被直接选择性 沉积在金属表面上。在其它实施方式中,其中第一表面是介电的而第二表面是金属的,第 二表面先被处理以抑制在其上方沉积的聚合物。例如,钝化阻挡性自组装单层(SAM)可 在金属表面相对物(relative)上方先形成,促进聚合物钝化层相对于SAM覆盖的第二金 属表面在电介质表面(如无机电介质表面)上的选择性沉积。在有机钝化的选择性沉积完 成之后,其它目标材料(如金属氧化物层或金属层)的选择性沉积可相对于钝化的第一表 面在非钝化的第二表面上实施。
对于一个表面包括金属而另一表面不包括金属的实施方式,除非另有说明,否则如果 在本文中表面被称为金属表面,则其可以是金属表面或含金属的(metallic)表面。在一些 实施方式中,金属或含金属的表面可包括金属、金属氧化物、和/或其混合物。在一些实施 方式中,金属或含金属的表面可包括表面氧化。在一些实施方式中,金属或含金属的表面 的金属或含金属的材料在表面氧化的情况下或无表面氧化的情况下具有传导性。在一些实 施方式中,金属或含金属的表面包括一种或多种过渡金属。在一些实施方式中,金属或含 金属的表面包括Al、Cu、Co、Ni、W、Nb、Fe、或Mo中的一种或多种。在一些实施方 式中,金属表面包括氮化钛。在一些实施方式中,金属或含金属的表面包括一种或多种贵 金属,如Ru。在一些实施方式中,金属或含金属的表面包括导电金属氧化物、氮化物、碳 化物、硼化物、或其组合。例如,金属或含金属的表面可包括RuOx、NbCx、NbBx、NiOx、 CoOx、NbOx、MoOx、WOx、WNCx、TaN、或TiN中的一种或多种。
在一些实施方式中,金属或含金属的表面包括钴(Co)、铜(Cu)、钨(W)或钼(Mo)。在一些实施方式中,金属或含金属的表面可以是能够接受用于如本文所述有机钝化层或目标层的选择性沉积过程的第一或第二前体或与所述第一或第二前体配合的任意表面,取决于实施方式。
在一些实施方式中,有机钝化材料,如聚酰亚胺,被选择性沉积在金属表面上,如Co、 Cu、W、或Mo表面。在一些实施方式中,有机钝化材料在金属表面上选择性沉积以如下生长率(growth rate)发生:约
Figure BDA0002222190570000061
周期(循环,cycle)至约
Figure BDA0002222190570000062
周期、约
Figure BDA0002222190570000063
周期至 约
Figure BDA0002222190570000064
周期、约
Figure BDA0002222190570000065
周期至约
Figure BDA0002222190570000066
周期、或约
Figure BDA0002222190570000067
周期至约
Figure BDA0002222190570000068
周期。在一些实施方 式中,有机钝化材料在金属表面上的生长率为多于约
Figure BDA0002222190570000069
周期、多于约
Figure BDA00022221905700000610
周期、多于 约
Figure BDA00022221905700000611
周期、多于约
Figure BDA00022221905700000612
周期,而在上限方面(while on the upper end),在一些实施方式中的生长率为小于约
Figure BDA00022221905700000613
周期、小于约
Figure BDA00022221905700000614
周期、小于约
Figure BDA00022221905700000615
周期或小于约
Figure BDA00022221905700000616
周期。在一些实施方式中,金属表面相对于第二表面的选择性以这些生长率得以保持。
在一些实施方式中,有机钝化材料相对于其它表面被选择性沉积在金属氧化物表面 上。金属氧化物表面可以是,例如WOx、TiOx表面。在一些实施方式中,金属氧化物表面 是金属材料的被氧化的表面。在一些实施方式中,通过使用氧化合物(如包括O3、H2O、 H2O2、O2、氧原子、氧等离子体或氧自由基、或其混合物的化合物)来氧化至少金属材料 的表面从而产生金属氧化物表面。在一些实施方式中,金属氧化物表面是在金属材料上形 成的天然氧化物。
在一些实施方式中,第二表面可包括金属表面,所述金属表面包括其上方的钝化阻挡 层。也就是说,在一些实施方式中,第二表面可包括金属表面,所述金属表面包括抑制钝 化层在其上方沉积的材料,例如自组装的单层(SAM)。
在一些实施方式中,有机钝化材料相对于第二电介质表面被选择性沉积在第一金属氧 化物表面上,所述第一金属氧化物表面是金属材料的被氧化的表面。
在一些实施方式中,第一和第二表面中的一个是衬底的金属或含金属的表面,而另一 表面是衬底的电介质表面。术语介电(的)在本文出于简洁的目的被用于描述与另一表面 (即,金属或含金属的表面)相区别。技术人员将理解并非所有非传导性表面都是电介质 表面,并且相反地,并非所有金属表面都是具有传导性的。例如,金属或含金属的表面可包括被氧化的金属表面——其在电学上不具有传导性或具有非常高的电阻率。本文所教导的选择性沉积过程能够在钝化的电介质表面上具有最小的沉积的情况下在这种非传导性金属表面上沉积,并且类似地,选择性沉积过程能够在钝化的非传导性金属表面上具有最小的沉积的情况下在电介质表面上沉积。
在一些实施方式中,衬底在选择性沉积过程之前或其开始时被预处理或清洁。在一些 实施方式中,衬底在选择性沉积过程之前或其开始时可经历等离子体清洁过程。在一些实 施方式中,等离子体清洁过程可以不包括离子轰击,或者可以包括相对少量的离子轰击。 例如,在一些实施方式中,衬底表面可在选择性钝化层沉积过程之前或其开始时暴露于等 离子体、自由基、受激态物质(excited species)、和/或原子态物质(atomicspecies)。在 一些实施方式中,衬底表面可在选择性钝化层沉积过程之前或其开始时暴露于氢等离子 体、自由基、或原子物质。
在一些实施方式中,可实施非等离子体预处理过程。例如,在一些实施方式中,衬底 表面可暴露于硅反应物,如N-(三甲基甲硅烷基)二甲胺(TMSDMA)或三甲基氯硅烷。可以单个长脉冲、或按一定顺序的多个较短脉冲来提供反应物。在一些实施方式中,反应物以约1至约60秒1至25个脉冲被提供。在脉冲之间,反应室可用惰性气体清洗。清洗可 以例如持续约1至30秒。
在一些实施方式中,表面与具有式(RI)3Si(NRIIRIII)的烷基氨基硅烷接触,其中RI是 直链或支链的C1-C5烷基或直链或支链的C1-C4烷基,RII是直链或支链的C1-C5烷基、 直链或支链的C1-C4烷基、或氢,以及RIII是直链或支链的C1-C5烷基或直链或支链的 C1-C4烷基。
在一些实施方式中,表面与具有通式(RI)3SiA的硅烷接触,其中RI是直链或支链的C1-C5烷基或直链或支链的C1-C4烷基,并且A是与含硅表面反应的任意配体。也就是说, 硅烷通过配体A连接至表面,或配体A形成与表面的连接,但配体然后可从表面和/或硅 烷迁移开。
预处理过程的温度可为,例如,约100至约300℃。预处理过程中的压力可为,例如,约10-5至约760托,或者在一些实施方式中约1至10托或约0.1至约10托。在一些实施 方式中,预处理或清洁过程可原位实施,即在与选择性沉积过程相同的反应室中。然而在 一些实施方式中,预处理或清洁过程可在分开的反应室中实施。在一些实施方式中,实施 预处理过程的反应室是集群工具(cluster tool)的部分,包括一个或多个另外的反应室。例如,这种集群工具可包括用于沉积抑制剂、蚀刻和/或沉积目标膜的另外的反应室。在一些实施方式中,集群工具包括用于预处理、抑制剂沉积、抑制剂的等离子体清洁(蚀刻)后 沉积、目标层的沉积和等离子体后沉积清洁的分开的模块。在一些实施方式中,相同模块 可用于两种或更多种过程。例如,相同模块可用于预处理、抑制剂沉积之后和目标层沉积 之后的等离子体清洁。在一些实施方式中,集群工具包括第一预处理模块、等离子体清洁 模块、抑制剂沉积模块、和用于沉积目标层的模块。
选择性
技术人员将理解选择性沉积可以是完全选择性的或部分选择性的。部分选择性过程可 通过后沉积蚀刻导致完全选择性层,后沉积蚀刻从表面B上方去除所有沉积的材料而不从 表面A上方去除所有沉积的材料。由于简单的回蚀过程可以留下完全选择性结构而无需昂 贵的掩膜过程,因此选择性沉积不需要具有完全选择性来获得期望的益处。
相对于表面B,在表面A上沉积的选择性可作为通过[(表面A上的沉积)-(表面B上的 沉积)]/(表面A上的沉积)计算的百分率来给出。可以以任意多种方式来测量沉积。例如, 沉积可作为所沉积材料的经测量的厚度给出,或者可作为所沉积材料的经测量的量给出。 在本文所述实施方式中,有机钝化层的选择性沉积可相对于第二表面(B)在第一表面(A) 上实施。随后,目标层相对于第一表面上方的有机钝化层(B)被选择性沉积在第二表面(A)上。
在一些实施方式中,钝化层在第一表面(相对于第二表面)上选择性沉积的选择性和 /或目标层在第二表面(相对于第一表面上的钝化层)上的选择性为大于约10%、大于约50%、大于约75%、大于约85%、大于约90%、大于约93%、大于约95%、大于约98%、 大于约99%或甚至大于约99.5%。在本文所述实施方式中,有机钝化层沉积的选择性可随 沉积的持续时间或厚度变化。令人惊讶的是,发现本文所述的气相聚合物层沉积的选择性 随沉积持续时间而增加。相比之下,基于不同的成核作用(differential nucleation)在不同表面上的一般的选择性沉积倾向于随沉积持续时间或厚度的变大而选择性变小。
在一些实施方式中,沉积只发生在第一表面上而不发生在第二表面上。在一些实施方 式中,相对于衬底的表面B在衬底的表面A上的沉积具有至少约80%选择性,其可以是足 以用于某些特定应用的选择性。在一些实施方式中,相对于衬底的表面B在衬底的表面A上的沉积具有至少约50%选择性,其可以是足以用于某些特定应用的选择性。在一些实施方式中,相对于衬底的表面B在衬底的表面A上的沉积具有至少约10%的选择性,其可以 是足以用于某些特定应用的选择性。技术人员将理解部分选择性过程可通过后沉积蚀刻导致完全选择性结构层,后沉积蚀刻从表面B上方去除所有沉积的材料而不从表面A上方去除所有沉积的材料。并且,后沉积蚀刻还能够协助调节(tailoring)选择性沉积的层的位置和/或轮廓。
在一些实施方式中,沉积在衬底的第一表面上的有机层的厚度可小于约50nm、小于 约20nm、小于约10nm、小于约5nm、小于约3nm、小于约2nm、或小于约1nm,而 相对于衬底的第二表面沉积在衬底的第一表面上的材料比可为大于或等于约200:1、大于 或等于约100:1、大于或等于约50:1、大于或等于约25:1、大于或等于约20:1、大 于或等于约15:1、大于或等于约10:1、大于或等于约5:1、大于或等于约3:1、或大 于或等于约2:1。
在一些实施方式中,本文所述的选择性沉积过程的选择性可取决于限定衬底的第一和 /或第二表面的材料的材料组成。例如,在一些实施方式中,在第一表面包括BTA钝化的 Cu表面,而第二表面包括天然或化学的二氧化硅表面的情况下,选择性可为大于约8:1或大于约15:1。在一些实施方式中,在第一表面包括金属或金属氧化物,而第二表面包 括天然或化学的二氧化硅表面的情况下,选择性可为大于约5:1或大于约10:1。
电介质上的选择性沉积
图1A-1D示意性示例了第一实施方式:相对于第二表面选择性钝化第一表面,随后相 对于钝化的第一表面在第二表面上选择性沉积。在所示例实施方式中,第一表面包括金属 材料;第二表面包括无机电介质材料;以及沉积在第二表面上的目标材料包括电介质材料。
图1A示例了具有材料上不同的被暴露的表面的衬底。例如,第一表面可包括金属或 由金属限定,如钴(Co)、铜(Cu)、钨(W)或钼(Mo)。第二表面可包括无机电介 质或由无机电介质限定,如低k层(一般地硅氧化物基层)或具有在其上方形成的天然氧 化物(还以硅氧化物的形式)的硅表面。
图1B显示了在钝化层选择性沉积在第一表面上方之后图1A的衬底。例如,钝化层可 以是选择性沉积在第一层的金属表面上的聚合物层。通过气相沉积技术选择性沉积聚合物 层的方法公开在2016年6月1日提交的美国专利申请号15/170,769中,其全部公开内容 出于所有目的通过引用而并入本文。下文提供了用来充当钝化层的聚合物层的选择性沉积 的信息和实例。
在一些实施方式中,选择性沉积的聚合物是聚酰亚胺。在一些实施方式中,所沉积的 聚合物是聚酰胺。所沉积的聚合物的其它实例包括二聚物、三聚物、聚脲层、聚噻吩聚氨酯、聚硫脲、聚酯、聚亚胺、其它聚合物形式或上述材料的混合物。气相沉积的有机材 料包括聚酰胺酸,其可以是聚合物形成的前体。选择性沉积的层可以是包括聚合物和聚酰 胺酸的混合物,其出于本公开的目的而将被认为是聚合物。
在一些实施方式中,聚合物(如聚酰亚胺)在包括第一金属的表面(如Cu表面)上的选择性沉积以约
Figure BDA0002222190570000101
周期至约
Figure BDA0002222190570000102
周期、约
Figure BDA0002222190570000103
周期至约
Figure BDA0002222190570000104
周期、约
Figure BDA0002222190570000105
周期 至约
Figure BDA0002222190570000106
周期、或约
Figure BDA0002222190570000107
周期至约
Figure BDA0002222190570000108
周期的生长率发生。在一些实施方式中,聚合物 (如聚酰亚胺)在包括第一金属(如Cu)的表面上的生长率为多于约
Figure BDA0002222190570000109
周期、多于约
Figure BDA00022221905700001010
周期、多于约
Figure BDA00022221905700001011
周期、多于约
Figure BDA00022221905700001012
周期,而在上限方面,在一些实施方式中的生长 率为小于约
Figure BDA00022221905700001013
周期、小于约
Figure BDA00022221905700001014
周期、小于约
Figure BDA00022221905700001015
周期或小于约
Figure BDA00022221905700001016
周期。在一些 实施方式中,选择性在这些生长率下得以保持。
在一些实施方式中,聚酰亚胺在Cu表面上的选择性沉积以约
Figure BDA00022221905700001017
周期至约
Figure BDA00022221905700001018
周 期、约
Figure BDA00022221905700001019
周期至约
Figure BDA00022221905700001020
周期、约
Figure BDA00022221905700001021
周期至约
Figure BDA00022221905700001022
周期、或约
Figure BDA00022221905700001023
周期至约
Figure BDA00022221905700001024
周期的生长率发生。在一些实施方式中,聚酰亚胺在Cu表面上的生长率为多于约
Figure BDA00022221905700001025
周期、多于约
Figure BDA00022221905700001026
周期、多于约
Figure BDA00022221905700001027
周期、多于约
Figure BDA00022221905700001028
周期,而在上限方面,在一些实施方式中 的生长率为小于约
Figure BDA00022221905700001029
周期、小于约
Figure BDA00022221905700001030
周期、小于约
Figure BDA00022221905700001031
周期或小于约
Figure BDA00022221905700001032
周期。 在一些实施方式中,选择性在这些生长率下得以保持。
如上所述,在第二表面(本实例中是无机电介质表面)上沉积的任意有机材料可通过 回蚀过程去除。在一些实施方式中,继选择性沉积有机层之后的蚀刻过程可从衬底的第一 表面和第二表面去除所沉积的有机材料。在一些实施方式中,蚀刻过程可以是各向同性的。
在一些实施方式中,蚀刻过程可从第一和第二表面去除相同量或厚度的材料。也就是 说,在一些实施方式中,沉积在第一表面上的有机材料的蚀刻率可基本上与沉积在第二表 面上的有机材料的蚀刻率相似。由于本文所述的沉积过程的选择性属性,沉积在衬底的第 二表面上的有机材料的量大大小于沉积在衬底的第一表面上的材料的量。因此,蚀刻过程 可以从衬底的第二表面完全去除所沉积的有机材料,而所沉积的有机材料可以保留在衬底 的第一表面上。下文关于图1D描述了用于蚀刻聚合物的适当过程。
图1C显示了在目标层X相对于第一表面(本实例中是金属表面)上的钝化层选择性沉积在第二表面(本实例中是无机电介质表面)上之后图1B的衬底。目标层X可以是电 介质材料,如金属氧化物,如氧化锆、氧化铪、氧化铝、氧化钛、氧化钽、氧化钇、氧化 镧、或其它过渡金属氧化物或其混合物。在一些实施方式中,金属氧化物是介电过渡金属 氧化物、或介电过渡金属氧化物的混合物。在一些实施方式中,目标层X可以是金属氮化 物,如氮化钛。用于利用疏水前体协助相对于有机钝化层的选择性通过气相沉积技术选择 性沉积这种金属氧化物层的方法公开在2016年5月5日提交的美国临时专利申请号 62/332,396中,其全部公开内容出于所有目的通过引用而并入本文。下文提供了金属氧化 物和其它目标层的选择性沉积的信息和实例。
如上所述,沉积在第一表面上方的钝化层上的任何X材料可通过回蚀过程去除。由于 目标层被选择性沉积在第二表面上,因此留在钝化表面上的任何X材料比在金属表面上形 成的钝化层更薄。因此,可控制回蚀过程以去除钝化层上方的所有X材料而不从电介质表 面上方去除所有的目标层。随着各沉积和蚀刻周期,以这种方式重复地选择性沉积和回蚀 可导致电介质上X材料的厚度增加。以这种方式重复地选择性沉积和回蚀还可导致电介质 上X材料的总体选择性增加,因为各沉积和蚀刻周期留下了清洁的钝化层,在清洁的钝化 层上方选择性的X沉积成核较差。可选地,可在随后钝化层的去除期间于剥离过程中去除 任何X材料,下文关于图1D描述了其示例性条件。如本领域已知的,剥离过程通过底蚀(底部咬蚀,undercutting)去除下层材料而将上层材料去除。在钝化层上形成的任何X材料在短暂的选择性沉积过程中倾向于是非连续的,从而允许蚀刻剂进入待去除的下层材料。剥离蚀刻不必完全去除钝化层以从钝化层表面去除所有不期望的X材料,使得直接蚀刻或剥离方法可用于在周期选择性沉积和去除中从钝化层表面去除X材料。
图1D显示了在从第一表面去除钝化层之后图1C的衬底。在一些实施方式中,蚀刻过 程可包括将衬底暴露于等离子体。在一些实施方式中,等离子体可包括氧原子、氧自由基、 氧等离子体、或其组合。在一些实施方式中,等离子体可包括氢原子、氢自由基、氢等离子体、或其组合(参见,例如,下文钝化层的选择性沉积的实施例2)。在一些实施方式 中,等离子体还可包括稀有气体物质,例如Ar或He物质。在一些实施方式中,等离子体 可基本上由稀有气体物质构成。在一些情况下,等离子体可包括其它物质,例如氮原子、 氮自由基、氮等离子体、或其组合。在一些实施方式中,蚀刻过程可包括将衬底暴露于包 括氧例如O3的蚀刻剂。在一些实施方式中,衬底可在约30℃与约500℃之间的温度下、或 在约100℃与约400℃的温度下暴露于蚀刻剂。在一些实施方式中,蚀刻剂可以一个连续 脉冲来供给或可以多个较短脉冲来供给。如上所述,在周期选择性沉积和去除中,钝化层 去除可用于在完全去除钝化层中或在部分去除钝化层中从钝化层上方剥离任何剩余的X材 料。
如上所述,在一些实施方式中,O3(例如O3/N2)可用于蚀刻过程中以去除有机钝化层。在一些实施方式中,可在约20℃至约500℃的衬底温度下进行蚀刻过程。在一些实施 方式中,可在约50℃至约300℃的衬底温度下进行蚀刻过程。在一些实施方式中,可在约 100℃至约250℃的衬底温度下进行蚀刻过程。在一些实施方式中,可在约125℃至约200℃ 的衬底温度下进行蚀刻过程。在一些实施方式中,可以约0.05nm/min至约50.0nm/min的 速率进行蚀刻过程。在一些实施方式中,可以约0.1nm/min至约5.0nm/min的速率进行蚀 刻过程。在一些实施方式中,可以约0.2nm/min至约2.5nm/min的速率进行蚀刻过程。在 一些实施方式中,对于单一晶片(wafer)或小批量(例如,5个晶片或更少)加工,可利 用低O3浓度蚀刻过程,其中在0.01托(Torr)至200托、或约0.1托至100托(例如,2 托)下进行低O3浓度蚀刻过程。蚀刻剂脉冲可在0.01sec与20秒之间、在0.05sec与10sec 之间、或在0.1sec与2秒之间(例如,0.5sec脉冲/0.5sec O3清洗)。O3流的范围可在0.01 slm至1slm、或0.01slm至0.250slm的范围中。惰性(例如,N2)载体气体流的范围可 为0.1slm至20slm、或0.5slm至5slm(例如,1.2slm)。在一些实施方式中,可利用高 O3浓度蚀刻过程,其中在每周期暴露较长的情况下,在1-100托、或5-20托(例如,9托) 下进行高O3浓度蚀刻过程。例如,O3暴露时间可在0.1sec与20s之间、或在0.5sec与5 秒之间(例如,1sec脉冲/1sec O3清洗)。用于这种高O3浓度过程的O3流可在0.1slm与 2.0slm之间、或在0.5slm与1.5slm之间(例如,750sccm),其中惰性(例如,N2)稀 释流为0.1slm至20slm、或0.5slm至5slm(例如,1.2slm)。
在一些实施方式中,烘烤步骤可在蚀刻之后实施。烘烤可在与沉积有机材料相同的反 应器中实施、可在与蚀刻过程相同的反应器中实施、可在与将在随后沉积目标层相同的反 应器中实施、或者可在与该过程的那些方面中的一个或多个分开的反应器中实施。在一些 实施方式中,烘烤过程在作为集群工具的部分的反应室中实施,并且衬底被移动至集群工 具的一个或多个不同的反应室以在烘烤之后进行另外的加工。
在一些实施方式中,衬底被烘烤约1至约15分钟的时间。在一些实施方式中,衬底在约200至约500℃的温度下被烘烤。在一些实施方式中,烘烤步骤包括两个或更多个步骤,在所述步骤中,衬底在第一温度下被烘烤第一段时间,然后在第二温度下被烘烤第二时间段。
其它处理(如热或化学处理)可在前述过程之前、之后或之间实施。例如,处理可以修饰表面或去除暴露在该过程的各种阶段的金属、硅氧化物、聚合物钝化和金属氧化物表面的部分。在一些实施方式中,衬底可在选择性沉积过程之前或在其开始时被预处理或清洁。在一些实施方式中,衬底可在选择性沉积过程之前或其开始时经历等离子体清洁过程。在一些实施方式中,等离子体清洁过程可以不包括离子轰击,或者可以包括相对少量的离子轰击。例如,在一些实施方式中,衬底表面可在选择性沉积过程之前或在其开始时暴露于等离子体、自由基、受激态物质、和/或原子态物质。在一些实施方式中,衬底表面可在 选择性沉积过程之前或在其开始时暴露于氢等离子体、氢自由基、或氢原子态物质。在一 些实施方式中,预处理或清洁过程可在与选择性沉积过程相同的反应室中实施,然而在一 些实施方式中,预处理或清洁过程可在分开的反应室中实施。
金属上的选择性沉积
图2A-2E示意性示例了第二实施方式:用于相对于第二表面选择性钝化第一表面,随 后相对于钝化的第一表面在第二表面上选择性沉积。在所示例实施方式中,第一表面包括 无机电介质材料;第二表面包括金属表面;以及沉积在第二表面上的目标材料包括电介质 材料或金属。
图2A示例了与图1A的衬底相类似、具有材料上不同的表面的衬底。然而对于此实施 方式,以相反的术语学对表面进行描述。具体地,第二表面可包括金属材料或由金属材料 限定,如钴(Co)、铜(Cu)、钨(W)或钼(Mo)。第一表面可包括无机电介质,如 低k层(一般为硅氧化物基层)或具有其上方形成的天然氧化物(还以硅氧化物的形式) 的硅表面。钝化阻挡层在第二表面上方形成。注意术语“阻挡”不意为暗示随后钝化层的选 择性沉积被钝化阻挡层完全阻挡。确切地说,第二表面上方的钝化阻挡层仅需要抑制钝化 层的沉积以相对于第一表面上方的生长率具有更低的生长率。
在一个实施方式中,钝化阻挡层包括自组装的单层(SAM)。SAM可在第二(金属 的)表面上方选择性地形成而不在第一(电介质)表面上形成。有利地,发现含硫SAM 有效最小化钝化层在其上方的沉积。
图2B显示了钝化层(例如,有机钝化层)相对于第二表面上方的钝化阻挡层在第一表面(在这种情况下,无机电介质层)上方的选择性形成。如上文并入的于2016年6月1 日提交的专利申请号15/170,769中所述的,本文所述的气相沉积过程能够在无机电介质上 沉积聚合物,并且甚至能够在不同类型的硅氧化物上方选择性地(即,以有差别的沉积速 率)沉积。在本实施方式中,含硫SAM抑制在其上方的聚合物沉积,使得聚合物能够选 择性地在第一表面上方形成,并且能够充当钝化层抵抗随后沉积。
图2C显示了在从第二表面上方去除钝化阻挡层之后图2B的衬底。例如,含硫SAM材料可通过在比将会去除如同聚酰亚胺的聚合物层更低的温度下进行热处理来去除。因此,钝化层被选择性地留在第一表面上方,而第二表面被暴露。该结构与图1B中的结构 类似,不同的是,此实施方式中该第一钝化表面是无机电介质,而第二表面是金属表面。
图2D显示了在相对于第一表面上方的钝化层在第二表面上选择性沉积目标层X之后 图2C的衬底。如关于第一实施方式所述的和在于2016年5月5日提交的上述并入的临时专利申请号62/332,396中描述的,可利用气相沉积技术和协助相对于有机钝化层的选择性的疏水前体在多个不同表面上选择性沉积金属氧化物。下文提供了金属氧化物和其它目标层的选择性沉积的进一步信息和实例。
可选地,目标层X是金属层。2015年2月17日授权的美国专利号8,956,971和2015年8月18日授权的美国专利号9,112,003(其全部公开内容出于所有目的通过引用而并入本文)教导了用于相对于其它材料表面(包括有机表面)在金属表面上选择性沉积金属材料的过程。
图2E显示了在从第一表面去除钝化层之后图2D的衬底,在金属上留下了选择性形成 的电介质或在金属上留下了选择性形成的金属。钝化层可关于第一实施方式所述诸如通过 O3蚀刻被去除。
图3A-3B示例了第三实施方式:用于相对于第二表面选择性钝化第一表面,随后相对 于钝化的第一表面在第二表面上选择性沉积。在所示例实施方式中,图2A-2D的过程先被 实施。
图3A显示了在进一步选择性沉积之后图2D的衬底。在该事件中,目标层X是金属材料,进一步选择性沉积能够选择性地相对于有机钝化层形成电介质材料——作为第一目标层上方的第二目标层Y。如上文关于第一和第二实施方式所述的和在上文并入的于2016年5月5日提交的临时专利申请号62/332,396中描述的,可利用气相沉积技术和协助相对于有机钝化层的选择性的疏水前体在多个不同表面上选择性沉积金属氧化物。下文提供了金属氧化物和其它目标层的选择性沉积的进一步信息和实例。
图3B显示了在从第一表面去除钝化层之后图3A的衬底,在金属上留下了选择性形成 的电介质。钝化层可关于第一实施方式所述诸如通过O3蚀刻被去除。
如同第一实施方式,第二和第三实施方式可涉及在前述过程之前、之后或其之间实施 的其它处理,如热或化学处理。
钝化层的选择性沉积
如所并入的于2016年6月1人提交的美国专利申请号15/170,769中公开的,气相沉积技术可应用于有机钝化层和聚合物诸如聚酰亚胺层、聚酰胺层、聚脲层、聚氨酯层、聚 噻吩层等。聚合物层的CVD相比应用液体前体能够产生更大的厚度控制、机械柔性、保 形覆盖(conformal coverage)、和生物相容性。在小的研究规模的反应器中,聚合物的相 继沉积加工能够产生高生长率。与CVD相似,相继沉积过程能够产生较大的厚度控制、 机械柔性、和保形性。术语“相继沉积”和“周期沉积”在本文被用于适用这种过程:其中衬 底被交替地或相继地暴露于不同前体而不管反应机制是否像ALD、CVD、MLD或其混合。
参考图4A以及在一些实施方式中,框11提供了包括第一表面和第二表面的衬底。第 一和第二表面可具有本文所述的不同的材料特性。在一些实施方式中,第一表面可以是传 导性表面,例如金属或含金属的表面,而第二表面可以是电介质表面(参见,例如,图1A-1D)。在一些实施方式中,第一表面可以是电介质表面,而第二表面可以是第二、不 同的电介质表面。在一些实施方式中,第一表面可以是电介质表面,例如硅氧化物基材料, 而第二表面可以是钝化阻挡材料,如SAM(参见,例如,图2A-3B)。
在一些实施方式中,第一前体可在第一温度下被汽化以形成第一汽相前体。在一些实 施方式中,第一前体蒸气在第二温度下通过气体管线被运输到衬底。在一些实施方式中, 第二运输温度比第一汽化温度高。在一些实施方式中,在框12处,衬底与第一汽相前体、 或反应物接触持续第一暴露期。在一些实施方式中,衬底可在比第一温度高的第三温度下 与第一汽相前体接触。
在一些实施方式中,第一前体暴露期为约0.01秒至约60秒、约0.05秒至约30秒、约0.1秒至约10秒或约0.2秒至约5秒。技术人员可基于特定环境容易地确定最优暴露期。在一些实施方式中,可使用批料反应器,可利用大于60秒的暴露期。
在一些实施方式中,在框13处,衬底与第二汽相前体、或反应物接触持续第二暴露期。在一些实施方式中,第二前体可在第四温度下被汽化以形成第二汽相前体。在一些实施方式中,第二反应物蒸气在第二温度下通过气体管线被运输到衬底。在一些实施方式中,第五运输温度比第一汽化温度高。在一些实施方式中,衬底可在比第四温度高的第六温度下与第二汽相前体接触。在一些实施方式中,第六温度可基本上与第三温度相同,第一汽相前体在第三温度下接触衬底。
在一些实施方式中,第二前体暴露期为约0.01秒至约60秒、约0.05秒至约30秒、约0.1秒至约10秒或约0.2秒至约5秒。技术人员可基于特定环境容易地确定最优暴露期。在一些实施方式中,可使用批料反应器,可利用大于60秒的暴露期。
在框14中,有机层相对于第二表面被选择性沉积在第一表面上。技术人员将理解有 机层的选择性沉积是上述接触行为12-13的结果,而非单独行为的结果。在一些实施方式 中,上述接触行为(框12-13)可被认为是沉积周期。这种选择性沉积周期可被重复,直到足够厚度的层留在衬底上(框15),并且沉积被终止(框16)。选择性沉积周期可包 括其它行为,不必在每次重复中以相同顺序进行也不必在每次重复中同一地进行,并且可 以容易地延伸至更加复杂的气相沉积技术。例如,选择性沉积周期可包括其它反应物供给 过程,如在各周期中或在所选周期中对其它反应物进行供给和去除(相对于衬底)。尽管 未显示,但是该过程可另外包括处理所沉积的层以形成聚合物(例如,UV处理、退火等)。 如上所述,选择性形成的有机层能够充当钝化层以抑制在其上方的沉积,并且增加随后目 标层选择性沉积的选择性。
参考图4B,图4A的气相沉积过程在一些实施方式中可包括原子层沉积过程。在框21 处,提供了包括第一表面和第二表面的衬底。第一和第二表面可具有不同的材料特性。在 一些实施方式中,第一表面可以是传导性表面,例如金属或含金属的表面,而第二表面可 以是电介质表面(参见,例如,图1A-1D)。在一些实施方式中,第一表面可以是电介质 表面,而第二表面可以是第二、不同电介质表面。在一些实施方式中,第一表面可以是电 介质表面,例如硅氧化物基材料,而第二表面可以是钝化阻挡材料,如SAM(参见,例如, 图2A-3B)。
在一些实施方式中,在框22处,用于选择性气相沉积有机钝化层的相继沉积方法包 括在第一温度下使第一有机前体汽化以形成第一前体蒸气。在一些实施方式中,第一前体 蒸气在第二温度下通过气体管线被运输至衬底。在一些实施方式中,第二运输温度比第一 汽化温度高。在一些实施方式中,在框23处,衬底与气相第一前体接触持续第一暴露期。在一些实施方式中,第一前体、或其物质以自饱和或自限制的方式化学地吸附在衬底上。气体管线可以是将第一前体蒸气从源头运输到衬底的任意导管。在一些实施方式中,衬底可在高于第一温度的第三温度下被暴露于第一前体蒸气。
在一些实施方式中,第一前体暴露期为约0.01秒至约60秒、约0.05秒至约30秒、约0.1秒至约10秒或约0.2秒至约5秒。技术人员可基于特定环境容易地确定最优暴露期。在一些实施方式中,可使用批料反应器,可利用大于60秒的暴露期。
在框24处,过量的第一前体蒸气(和任意挥发性反应副产物)然后可从与衬底的接触去除。这种去除可通过以下来完成:例如,对衬底进行清洗、抽气、从室或区域中移走, 衬底在所述室或区域中被暴露于第一反应物、或其组合。在一些实施方式中,第一前体去 除期(例如清洗期)为约0.01秒至约60秒、约0.05秒至约30秒、约0.1秒至约10秒或 约0.2秒至约5秒。技术人员可基于特定环境容易地确定最优暴露期。在一些实施方式中, 可使用批料反应器,可利用大于60秒的暴露期。
在一些实施方式中,在框25处,第二前体可在第四温度下被汽化以形成第二汽相前 体。在一些实施方式中,第二反应物蒸气在第二温度下通过气体管线被运输到衬底。在一 些实施方式中,第五运输温度高于第一汽化温度。在一些实施方式中,衬底可在高于第四 温度的第六温度下与第二汽相前体接触。在一些实施方式中,第六温度可基本上与第三温 度相同,第一汽相前体在第三温度下接触衬底。在一些实施方式中,在框26处,衬底可被暴露于第二前体蒸气持续第二暴露期。在一些实施方式中,第二反应物可与衬底上被吸收的第一反应物的物质反应。
在一些实施方式中,第一前体暴露期为约0.01秒至约60秒、约0.05秒至约30秒、约0.1秒至约10秒或约0.2秒至约5秒。技术人员可基于特定环境容易地确定最优暴露期。在一些实施方式中,可使用批料反应器,可利用大于60秒的暴露期。
在一些实施方式中,在框27处,过量的第二前体蒸气(和任意挥发性反应副产物)然后可从与衬底的接触去除,使得第一反应物蒸气不与第二反应物蒸气混合。在一些实施方式中,有机层的气相沉积过程不使用等离子体和/或自由基,并且可被认为是热气相沉积过程。在一些实施方式中,第二前体去除期(例如清洗期)为约0.01秒至约60秒、约0.05 秒至约30秒、约0.1秒至约10秒或约0.2秒至约5秒。技术人员可基于特定环境容易地 确定最优暴露期。在一些实施方式中,可使用批料反应器,可利用大于60秒的暴露期。
在框28中,有机层相对于第二表面被选择性沉积在第一表面上。技术人员将理解有 机层的选择性沉积是上述接触行为的结果,而非单独行为的结果。在一些实施方式中,上 述接触和去除(和/或停止供给)行为(框23-27)可被认为是沉积周期。在一些实施方式中,沉积周期可被重复直到期望厚度的有机层被选择性沉积。这种选择性沉积周期可被重复(框29)直到充足厚度的层留在衬底上,并且沉积被终止(框30)。选择性沉积周期 可包括其它行为,不必在每次重复中以相同顺序进行也不必在每次重复中同一地进行,并 且可以容易地延伸至更加复杂的气相沉积技术。例如,选择性沉积周期可包括其它反应物 供给过程,如在各周期中或在所选周期中对其它反应物进行供给和去除。尽管未显示,但 是该过程可另外包括处理所沉积的层以形成聚合物(例如,UV处理、退火等)。
各种反应物可用于上述过程。例如,在一些实施方式中,第一前体或反应物是有机反 应物,如二胺(例如,1,6-己二胺(DAH))、或具有两个活性基团的任意其它单体。在 一些实施方式中,第二反应物或前体也是能够在沉积条件下与所吸收的第一反应物的物质 反应的有机反应物。例如,第二反应物可以是酸酐,如呋喃-2,5-二酮(马来酸酸酐)。酸 酐可包括二酐(例如,均苯四甲酸二酐(PMDA))、或具有将与第一反应物反应的两个 活性基团的任意其它单体。
在一些实施方式中,衬底在与第二前体接触前与第一前体接触。因此,在一些实施方 式中,衬底在与另一前体接触前与胺(如二胺,例如1,6-己二胺(DAH))接触。然而, 在一些实施方式中,衬底可在与第一前体接触前与第二前体接触。因此,在一些实施方式 中,衬底在与另一前体接触前与酸酐(如呋喃-2,5-二酮(马来酸酸酐))、或二酐(例如, 均苯四甲酸二酐(PMDA))接触。
在一些实施方式中,不同反应物可用于调节(tune)层特性。例如,可利用4,4’-氧基 双苯胺(4,4’-oxydianiline)或1,4-苯二胺而非1,6-己二胺来沉积聚酰亚胺层,以获得更具 芳香性和干燥蚀刻抗性提高的更加刚性的结构。
在一些实施方式中,反应物不含有金属原子。在一些实施方式中,反应物不含有半金 属原子。在一些实施方式中,反应物中的一种包括金属或半金属原子。在一些实施方式中, 反应物包含碳和氢以及以下元素中的一种或多种:N、O、S、P或卤基(halide),如Cl 或F。在一些实施方式中,第一反应物可包括,例如,己二酰二氯(AC)。
沉积条件可根据所选择的反应物而不同,并且可在选择后被优化。在一些实施方式中, 反应温度的范围可被选择为约80℃至约250℃。在一些实施方式中,反应室压力可为约1 毫托(mTorr)至约1000托、约10-5至约760托,或者在一些实施方式中为约1至10托。 在一些实施方式中,例如在选择性沉积的有机层包括聚酰胺的情况下,反应温度的范围可 被选择为约80℃至约150℃。在一些实施方式中,在选择性沉积的有机层包括聚酰胺的情 况下,反应温度可为大于约80℃、90℃、100℃、110℃、120℃、130℃、140℃、或150℃。 在一些实施方式中,在选择性沉积的有机层包括聚酰亚胺的情况下,反应温度可为大于约 160℃、180℃、190℃、200℃、或210℃。
例如,对于在单个晶片沉积工具中利用PMDA和DAH来相继沉积聚酰亚胺,衬底温度的范围可被选择为约150℃至约250℃、或约170℃至约210℃,并且压力的范围可被选 择为约1毫托至约760托,或在约100m托至约100托之间。
在一些实施方式中,用于本文所述选择性沉积过程中的反应物可具有通式:
(1) R1(NH2)2
其中R1可以是包括1-5个碳原子、2-5个碳原子、2-4个碳原子、5个或更少碳原子、4个或更少碳原子、3个或更少碳原子、或2个碳原子的脂肪族碳链。在一些实施方式中, 在反应物或前体中碳原子之间的键可以是单键、双键、三键、或其的一些组合。因此,在 一些实施方式中,反应物可包括两个氨基。在一些实施方式中,反应物的氨基可占据脂肪 族碳链上的一个或两个末端位置。然而,在一些实施方式中,反应物的氨基可以不占据脂 肪族碳链上任一个末端位置。在一些实施方式中,反应物可包括二胺。在一些实施方式中, 反应物可包括选自以下的有机前体:1,2-乙二胺(l)、1,3-二氨基丙烷(l)、1,4-二氨基丁烷(l)、1,5-二氨基戊烷(l)、1,2-二氨基丙烷(l)、2,3-丁二胺、2,2-二甲基-1,3-丙二胺(l)。
在一些实施方式中,用于本文所述选择性沉积过程中的反应物可具有通式:
(2) R2(COCl)2
其中R2可以是包括1-3个碳原子、2-3个碳原子、或3个或更少碳原子的脂肪族碳链。 在一些实施方式中,在反应物或前体中碳原子之间的键可以是单键、双键、三键、或其的 一些组合。在一些实施方式中,反应物可包括氯化物。在一些实施方式中,反应物可包括二酰基氯。在一些实施方式中,反应物可包括选自以下的有机前体:草酰氯(I)、丙二酰氯、和富马酰氯。
在一些实施方式中,反应物包括选自1,4-二异氰酰基丁烷(1,4-diisocyanatobutane)或 1,4-二异氰酰基苯(1,4-diisocyanatobenzene)的有机前体。在一些实施方式中,反应物包 括选自以下的有机前体:对苯二甲酰二氯、烷基二酰基二氯(alkyldioyl dichlorides),如 己二酰二氯、辛二酰二氯、壬二酰二氯、癸二酰二氯、或对苯二甲酰二氯。在一些实施方 式中,反应物包括选自1,4-二异硫氰酰基苯(1,4-diisothiocyanatobenzene)或对苯二甲醛的 有机前体。在一些实施方式中,被汽化的反应物还可以是二胺,如1,4-苯二胺、癸烷-1,10- 二胺、4-硝基苯-1,3-二胺、4,4’-氧基双苯胺、或乙二胺。在一些实施方式中,反应物可以 是对苯二甲酸双(2-羟乙基)酯。在一些实施方式中,反应物可以是羧酸,例如烷基-、烯 基-、链二烯-(alkadienyl-)二羧酸或三羧酸——如乙二酸、丙二酸、丁二酸、戊二酸或丙 烷-1,2,3-三羧酸。在一些实施方式中,反应物可以是芳族羧酸或二羧酸,如苯甲酸、苯-1,2- 二羧酸、苯-1,4-二羧酸或苯-1,3-二羧酸。在一些实施方式中,反应物可包括与烃键合的一 种或多种OH-基团。在一些实施方式中,反应物可以是选自二醇、三醇、氨基酚诸如4-氨 基酚、苯-1,4-二醇或苯-1,3,5-三醇。在一些实施方式中,反应物可以是8-羟基喹啉。在一 些实施方式中,反应物可包括烯基氯硅烷,如同烯基三氯硅烷,如7-辛烯基三氯硅烷。
在一些实施方式中,反应物在约20℃或室温的温度下可具有大于约0.5托、0.1托、0.2托、0.5托、1托或更大的蒸气压。在一些实施方式中,反应物可具有小于约400℃、 小于300℃、小于约250℃、小于约200℃、小于约175℃、小于约150℃、或小于约100℃ 的沸点。
目标层相对于有机表面的选择性沉积
如所并入的于2016年5月5日提交的美国临时专利申请号62/332,396中公开的,金属材料和金属氧化物相对于有机材料(如本文公开的钝化层)的选择性沉积可通过使用疏水反应物来促进。在第一表面上选择性形成钝化层之后,在一些实施方式中,通过使衬底交替地和相继地与第一疏水反应物(包括金属氧化物的金属)和第二反应物(包括氧)接 触而在第二表面上选择性沉积金属氧化物。在一些实施方式中,第二反应物是水或H2O2。 在一些实施方式中,相继地将衬底与第一和第二反应物接触,与图4A的顺序相似,不同 之处在于非有机层被选择性沉积在第二表面上或其上方(参见,例如,图1A-3B)。
疏水反应物包括一种或多种疏水配体。在一些实施方式中,疏水反应物包括二至四种 疏水配体。在疏水反应物包括具有n价/氧化态的金属的情况下,在一些实施方式中,疏水 前体包括n-1或n-2种疏水配体。
在一些实施方式中,至少一种疏水配体只包括C和H。在一些实施方式中,至少一种疏水配体包括C、H和Si或Ge,而无其它元素。
在一些实施方式中,烃配体包括以下中的一种或多种:
●C1-C10烃(单键的、双键的或三键的)
○烷基
■C1-C5烷基
●Me、Et、Pr、iPr、Bu、tBu
○烯基
■C1-C6烯基
○环烃
■C3-C8
●环戊二烯基
●环庚二烯基
●环庚三烯基
●环己基
●这些的衍生物
○芳族
■C6芳环和这些的衍生物
在一些实施方式中,疏水反应物不包括亲水配体。然而,在一些实施方式中,疏水反应物可包括一种或两种亲水配体。在一些实施方式中,亲水配体包括氮、氧和/或卤素基团。
在一些实施方式中,亲水配体是烷基胺(-NR2,其中每一个R可以是烷基、氢)。在一些实施方式中,亲水配体可以是-NMe2、-NetMe、或-NEt2
在一些实施方式中,亲水配体是醇化物(醇盐,alkoxide),例如-Ome、-Oet、-OiPr、-OtBu。
在一些实施方式中,亲水配体包括卤化物,如氯化物、氟化物或其它卤化物。
在一些实施方式中,疏水前体包括下式:
○LnMXy,其中
■在一些实施方式中,n为1-6;
●在一些实施方式中,n为1-4或3-4。
■在一些实施方式中,y为0-2;
●在一些实施方式中,y为0-1。
■L是疏水配体;
●在一些实施方式中,L为Cp或C1-C4烷基配体。
■X是亲水配体;
●在一些实施方式中,X是烷基胺、醇化物或卤化物配体。
■M是金属(包括第13族元素,B、Ga);
●在一些实施方式中,M具有+I上至+VI的氧化态。
○在一些实施方式中,M具有+IV至+V的氧化态。
●在一些实施方式中,M可以是过渡金属。
○在一些实施方式中,M是Ti、Ta、Nb、W、Mo、Hf、 Zr、V、或Cr。
■在一些实施方式中,M是Hf、Zr、Ta或Nb。
●在一些实施方式中,M是Zr。
○在一些实施方式中,M是Co、Fe、Ni、Cu、或Zn。
○在一些实施方式中,金属不是W或Mo。
●在一些实施方式中,M可以是稀土金属。
○在一些实施方式中,M是La、Ce、或Y。
●在一些实施方式中,M可以是来自第2-13族的金属。
○在一些实施方式中,M是Ba、Sr、Mg、Ca、或Sc。
●在一些实施方式中,M不是贵金属。
更通常地,在一些实施方式中,选择性ALD过程使用金属前体。在一些实施方式中,金属前体的金属可选自Al、Ti、Ta、Nb、W、Mo、Hf、Zr、V、Cr、Co、Fe、Ni、Cu、 Zn、La、Ce、Y、Ba、Sr、Mg、Ca、或Sc、或其混合物。在一些实施方式中,金属可以 是Al。
在一些实施方式中,疏水反应物是双(甲基环戊二烯基)甲氧基甲基锆(IV) (Bis(methylcyclopentadienyl)methoxymethyl Zirconium(IV))((CpMe)2-Zr-(OMe)Me)。
在一些实施方式中,疏水反应物是双(甲基环戊二烯基)甲氧基甲基铪(IV) (bis(methylcyclopentadienyl)methoxymethyl Hafnium(IV))((CpMe)2-Hf-(OMe)Me)。
在其它实施方式中,选择性ALD过程使用Al前体。Al前体的实例包括三甲基铝(TMA)、三氯化铝(AlCl3)、异丙氧基二甲基铝(dimethylaluminum isopropoxide)(DMAI)和三乙基铝(TEA)。在一些实施方式中,铝前体是杂配体(heteroleptic)铝化合物。在 一些实施方式中,杂配体铝化合物包括烷基和其它配体,如卤基(halide),例如Cl。在 一些实施方式中,铝化合物是二甲基氯化铝。在一些实施方式中,铝前体是包括两种不同 烷基作为配体的烷基前体。在一些实施方式中,铝前体是金属有机化合物。在一些实施方 式中,铝前体是有机金属化合物。
在一些实施方式中,第二反应物向被选择性沉积的材料贡献了一种或多种元素。例 如,第二反应物可以是用于沉积金属氧化物的氧前体或是用于沉积金属氮化物的氮前体。
在一些实施方式中,第二反应物包括氧前体。
在一些实施方式中,第二反应物包括H2O。
在一些实施方式中,第二反应物包括O3
在一些实施方式中,第二反应物包括H2O2
在一些实施方式中,第二反应物包括氧等离子体、离子、自由基;原子O;或氧的受激态氧物质。
在一些实施方式中,第二反应物包括氮前体。
在一些实施方式中,第二反应物包括NH3
在一些实施方式中,第二反应物包括N2H4
在一些实施方式中,第二反应物包括含氮的等离子体、离子、自由基;原子N;或包括N的受激态物质。在一些实施方式中,氮反应物可包括与相应的氢物质的混合物。
在一些实施方式中,可以使用对所沉积材料贡献除了N或O之外的元素的其它反应物。这些反应物可以用于除了N或O的第二反应物,或者这些反应物本身可充当第二反应物。例如,在一些实施方式中,硫反应物可用于沉积硫化物、碳反应物可用于沉积碳,或 者硅反应物可用于沉积硅化物。
在一些实施方式中,可以使用有助于沉积金属或含金属的膜(如元素金属膜(elemental metal film))的第二(或其它的)反应物。例如,在一些实施方式中,可以使用氢反应物。
可选地,如关于图2D所述的,金属传导性目标膜可相对于有机钝化层被选择性沉积 在第二表面(金属表面)上。例如,2015年2月17日授权的美国专利号8,956,971和于2015年8月18日授权的美国专利号9,112,003(其全部公开内容出于所有目的通过引用而并入本文)教导了用于相对于非金属表面(包括有机材料)在金属表面上选择性沉积金属材料的过程。如上文还关于图3A所述的,其它电介质层、金属氧化物材料可在去除有机 钝化层之前被选择性地形成在选择性形成的金属材料层上方。
在一些实施方式中,包括目标材料(如氧化铝(例如,Al2O3)或氮化钛(例如,TiN))的薄膜相对于一个或多个第二金属表面(如铜、钴、钛氮化物或钨表面)被选择性沉积在 一个或多个第一电介质表面或低k表面上。图13中示例了示例性过程。
在图13的步骤1A中,预处理包括低k表面和金属表面的衬底。如上所述,在一些实施方式中,预处理可包括将衬底暴露于等离子体,如氢等离子体。在一些实施方式中,实 施非等离子体预处理过程。例如,在一些实施方式中,衬底表面可被暴露于硅反应物,如 N-(三甲基甲硅烷基)二甲胺(TMSDMA)或三甲基氯硅烷。可以单个长脉冲、或按一定顺 序的多个较短脉冲来提供反应物。在一些实施方式中,反应物以约1至约60秒1至25个 脉冲被提供。在脉冲之间,反应室可用惰性气体清洗。清洗可以例如持续约1至30秒。
在一些实施方式中,表面与具有通式(RI)3Si(NRIIRIII)的烷基氨基硅烷接触,其中RI是直链或支链的C1-C10烃基或直链或支链的C1-C5烷基或直链或支链的C1-C4烷基,RII是直链或支链的C1-C10烃基或直链或支链的C1-C5烷基、直链或支链的C1-C4烷基、或 氢,以及RIII是直链或支链的C1-C10烃基或直链或支链的C1-C5烷基或直链或支链的 C1-C4烷基。
在一些实施方式中,表面与具有通式(RI)3SiA的硅烷接触,其中RI是直链或支链的C1-C10烃基或直链或支链的C1-C5烷基或直链或支链的C1-C4烷基,以及A是与含硅表 面具有反应性的任意配体。也就是说,硅烷通过配体A键合至表面,或者配体A形成与表 面的键,但随后配体A可从表面和/或硅烷迁移开。
预处理过程的温度可为,例如,约100至约300℃。预处理过程中的压力可为,例如,约10-5至约760托,或者在一些实施方式中为约1至10托或者约0.1至约10托。在 一些实施方式中,预处理或清洁过程可原位(即在与选择性沉积过程相同的反应室中)实 施。
在步骤1B中,抑制剂(如有机材料,如同聚酰亚胺)被选择性沉积在金属表面上。可如本文所述来沉积抑制剂。在一些实施方式中,沉积温度为约160至约220℃。反应室 压力可为,例如,10-5至约760托,或者在一些实施方式中为约1至10托或约1至25托。 在一些实施方式中,用于沉积聚酰亚胺抑制剂的气相沉积周期被实施约1至1000次。
在一些实施方式中,通过交替地和相继地使衬底与DAH和PDMA接触来沉积聚酰亚胺抑制剂。可通过具有约0.1至10s的脉冲长度的脉冲、随后脉冲之间的约0.1至10s的清 洗将DAH和PDMA交替地和相继地提供至反应空间。
在步骤1C中,实施清除过程以去除存在于低k表面上的任何抑制剂。清除过程可包括H2等离子体处理。可如本文所述来实施清除过程。在一些实施方式中,在约室温至约 400℃的温度下实施清除过程。约10至1000W或约25至250W的等离子体功率可用于在 流动的H2(例如以约10至500sccm的流动速率)中产生等离子体。抑制剂沉积后的清洁 时间可以为,例如,约1至600秒。
在步骤1D中,实施烘烤。烘烤可以,例如,使抑制层致密并且使其更加强固(robust),例如抵抗随后较高的温度过程。在一些实施方式中,在约100至约800℃的温 度下实施烘烤,例如约300至约600℃。在一些实施方式中,在大于300℃的温度下实施 烘烤步骤。在一些实施方式中,在约400℃的温度下实施烘烤步骤。在一些实施方式中, 烘烤时间为约1至约15分钟。烘烤可包括两个步骤——较低温度下的第一步骤和较高温 度下的第二步骤。例如,烘烤可包括约250℃的温度下的第一步骤和约400℃的温度下的 第二步骤。在一些实施方式中,第一和第二步骤被实施的时间量是相同的。在其它实施 方式中,它们被实施的时间量是不同的。
在一些实施方式中,烘烤在与随后目标材料的选择性沉积相同的反应器中实施。在 一些实施方式中,烘烤在与抑制剂的沉积相同的反应器中实施。在一些实施方式中,烘烤在分开的反应室中实施。
在步骤1E中,材料通过气相沉积过程相对于包括抑制剂的金属表面被选择性沉积在 电介质表面上。选择性沉积可以是如本文所述的。
在一些实施方式中,在步骤1E中,通过交替地使衬底与铝反应物和氧反应物接触来 沉积氧化铝。铝反应物可包括,三甲基铝(TMA)、三氯化铝(AlCl3)、异丙氧基二甲 基铝(DMAI)和三乙基铝(TEA)。在一些实施方式中,铝前体是杂配体铝化合物。在 一些实施方式中,杂配体铝化合物包括烷基和其它配体,如卤基例如Cl。在一些实施方 式中,铝化合物是二甲基氯化铝。在一些实施方式中,铝前体是包括两种不同烷基作为 配体的烷基前体。在一些实施方式中,铝前体是金属有机化合物。在一些实施方式中, 铝前体是有机金属化合物。氧反应物可包括,例如,水或H2O2。在一些实施方式中,可 通过原子层沉积过程来沉积氧化铝,在原子层沉积过程中,使衬底交替地和相继地与异丙 氧基二甲基铝(DMAI)和水或H2O2接触。在一些实施方式中,氧化铝沉积期间反应室中 的温度为约150至约350℃。反应物的脉冲时间可为约0.1至约10秒,并且反应物脉冲之 间的清洗时间还可为约0.1至约10秒。反应室压力可为,例如,约10-5至约760托,或者 在一些实施方式中为约1至10托。
在一些实施方式中,在步骤1E中,通过使衬底与钛反应物和氮反应物交替地接触来 沉积氮化钛。钛反应物可包括,例如,TiCl4。氮反应物可包括,例如,NH3。在一些实施 方式中,可通过原子层沉积过程来沉积TiN,在原子层沉积过程中,使衬底与TiCl4和NH3交替地和相继地接触。在一些实施方式中,氮化钛沉积期间反应室中的温度为约250至约 500℃。钛反应物的脉冲时间可为约0.2至约10秒,并且氮反应物的脉冲时间可为约0.1 至约10秒。反应物脉冲之间的清洗时间还可为约0.1至约10秒。反应室压力可为,例 如,约10-5至约760托,或者在一些实施方式中为约1至10托。利用图13中示例的工艺 流程来沉积氮化钛层。
在步骤1F中,衬底经历后沉积清洁步骤以从金属表面去除抑制剂,如用H2等离子体 处理。清洁步骤可包括H2等离子体处理。可如本文所述来实施清洁过程。在一些实施方式中,在约室温至约400℃的温度下实施清洁步骤。约10至2000W、25至1000W或25 至250W的等离子体功率可用于在流动的H2(例如,以约10至500sccm的流动速率)中 产生等离子体。目标层沉积后的清洁时间可为,例如,约1至600秒。
在一些实施方式中,包括目标材料(如氧化铝(例如,Al2O3)或氮化钛(例如,TiN))的薄膜相对于一种或多种第二表面被选择性沉积在三维结构的第一表面上。三维结构可包括,例如,通孔(沟道,via)或沟槽。在一些实施方式中,抑制剂(如聚酰亚胺层)被 非选择性沉积在三维结构上。然后抑制剂被图案化以暴露期望进行选择性沉积的区域。 例如,各向异性蚀刻可用于从期望进行沉积的表面去除层。然后实施气相沉积以将目标 层沉积在未覆盖有抑制剂的区域上。
用于在三维结构上选择性沉积的示例性过程示例在图14中。示例了包括沟槽或通道 开口的结构。如步骤2A中所示,抑制剂被保形地沉积在部件上。可如本文所述来沉积抑制剂。例如,可如本文所述来气相沉积聚酰亚胺。在步骤2B中,通过各向异性蚀刻从沟 槽的底部去除抑制剂。如步骤2C中示例的,在蚀刻之后,抑制剂被烘烤并且目标层相对 于包括抑制剂的表面被选择性沉积在位于沟槽底部的被暴露的表面上。最终,如步骤2D 中示例的,抑制剂材料从剩余表面被去除。虽然未示例,但是可如本文所述来实施其它 预处理步骤。
钝化阻挡层
如上所述,自组装的单层(SAM)可用来抑制有机钝化层的沉积,从而促进有机钝化层在其它表面上的选择性沉积。术语“阻挡”因此仅为标签,并且不必暗示对有机钝化层沉积的100%的钝化作用(deactivation)。如本文其它地方所述,甚至不完美的选择性在回蚀过程后也可足以获得完全选择性结构。
在一个实施方式中,钝化阻挡层形成在第二表面上以抑制沉积,并且包括含硫的SAM。 在一个实施方式中,第二表面是金属表面。在一个实施方式中,金属表面在SAM形成前用酸处理进行预处理。
沉积装备
可用于本文所述选择性沉积过程中的适合的反应器的实例包括可商购的ALD装备。 除了ALD反应器以外,可以使用能够生长有机钝化层的许多其它种类的反应器,包括CVD 反应器、VDP反应器和MLD反应器。
本文中关于图1A-1D描述的有关选择性电介质在电介质上的沉积(selectivedielectric on dielectric deposition)可在多至五个过程中来进行。(1)预处理,(2)在第一表面上的 选择性有机钝化层沉积;(3)部分回蚀,也被称为从第二表面上方对任意有机材料的“清 除”蚀刻,(4)在第二表面上的选择性电介质沉积;和(5)从第一表面上方去除有机钝化 层。
在一个实施方式中,用于这种顺序的工具可通过以下来最小化:在一个室中将(2)选择性有机钝化层沉积与(3)部分回蚀进行组合并且利用所集群的室以在第二表面上实施(4)选择性电介质沉积。预处理可在其它平台(例如,湿式清洗台(wet bench))上 进行或者通过调整某些配方(recipes)而被省略。可在诸如那些经常用于去除光敏抗蚀剂 和其它有机材料的分开的灰化工具中,或在利用用于部分回蚀有机材料的相同或相似的化 学作用(chemistry)的沉积室中进行有机钝化层去除。因此,沉积阶段和介于中间的(intervening)回蚀可在这样的平台中进行:所述平台包括2个反应器,包括4或8个加 工站,用于聚酰亚胺沉积和回蚀;以及包括2个反应器,包括4或8个加工站,用于选择 性电介质沉积。
在一些实施方式中,集群工具包括三个或更多个反应室。例如,第一室可用于预处理 和蚀刻加工中的一种或两种。第二室可用于有机层的沉积,而第三室可用于目标膜的选择 性沉积。可在与选择性沉积目标膜相同的室中原位实施烘烤过程,或者可在不同的室中实 施。
在一些实施方式中,如图13中示例的,本文所述的选择性沉积过程可在至少六个过 程中进行。(步骤1A)预处理,(步骤1B)选择性抑制剂沉积,如在第一表面上有机层 沉积的沉积;(步骤1C)部分回蚀,也被称为从第二表面上方对任意有机材料的“清除” 蚀刻,(步骤1D)有机层的烘烤;(步骤1E)第二表面上的选择性沉积;和(步骤1F) 从第一表面上方去除有机层。
参考图5,设备100被提供用于实施聚合物沉积和有机材料原位回蚀。设备100包括限定了被配置以容纳至少一个衬底120的反应空间115的反应室。设备100还包括被配置 用于使第一有机反应物110汽化以形成第一反应物蒸气的第一反应物容器105。气体管线 130将第一反应物容器105流体地连接至反应空间115,衬底120可容纳在反应空间115 内。气体管线130被配置以选择性地将第一反应物蒸气从第一反应物容器105运输至进气 歧管135达到反应空间115。设备100还包括容纳第二反应物145的第二反应物容器140。 在一些实施方式中,第二反应物145天然地处于气态;在其它实施方式中,第二反应物容 器140还被配置以使第二反应物145从天然的液态或固态汽化。第二反应物容器140与进 气歧管135处于选择性流体连通。进气歧管135可包括横跨室宽度、喷头或交叉流动构型 的共享的分配送气装置(distribution plenum),或者可将通往分开的反应物的反应空间120 的路径保持分开。对于相继沉积的实施方式,期望将反应物进气路径保持分开,直到向反 应空间115引入,以避免沿多反应物的共同流动路径的表面的反应,这种反应可导致颗粒 产生。在一些实施方式中,设备可包括用于供给其它反应物的其它容器。
示例设备100还包括等离子体源147。虽然示意性示例了好像附接至反应空间115,但是技术人员将理解等离子体源可以是位于反应空间115外部的远程等离子体源,或者可以是在反应空间115内的用于直接等离子体产生的(例如,电容耦接的)原位等离子体发 生器。可选地或另外地,臭氧发生器可用于去除有机材料。
一个或多个其它的气体源150与第一反应物容器105、反应空间115和等离子体源147 处于选择性流体连通(达到与反应空间115分开的程度)。气体源(一个或多个)150可包括充当清洗气体和载体气体的惰性气体,以及用于等离子体回蚀的其它气体(例如, Ar/H2)。来自气体源(一个或多个)的惰性气体供给还可与如所示第二反应物容器140 和充当载体气体的任意其它期望的反应物容器处于选择性流体连通。
根据本文所述的有机钝化层沉积和回蚀方法,控制系统125与气体分配系统的阀门连 通。控制系统125一般包括至少一个处理器和用于编程用于期望加工的存储器。对于相继 沉积加工,以将衬底交替地和重复地暴露于反应物的方式操作阀门,然而对于在常规CVD 过程中同时供给反应物,可以操作阀门以同时将衬底暴露于相互反应的反应物。
反应空间115的排气口155通过排气管线160与真空泵165连通。控制系统125被配置以操作真空泵165,从而保持期望的操作压力并通过排气口155排出过量反应物蒸气和副产物。
控制系统125还可控制设备100的各种构件中的压力和温度。例如,可对控制系统编 程以将衬底120保持在适于进行该过程的温度。在一个实施方式中,控制系统125还被配置以将第一反应物容器105中的第一反应物110维持在温度A,并且被配置以将反应空间115中的衬底120维持在温度B,其中温度B低于温度A。在一个实施方式中,控制系统 125或单独的温度控制还被配置以将气体管线130维持在温度C,其中温度C高于温度A。
因此,设备100包括源容器105、140,用于汽化和供给上述反应物用于聚合物沉积(例 如,一个容器用于二胺,一个容器用于二酐前体)。等离子体源147与包括H2源和惰性气体源(例如,稀有气体,如氩气或氦气)的气体源(一个或多个)150连通。另外,设备 100包括被编程以供给气体并以进行本文所述聚合物沉积以及氢等离子体回蚀的方式操作 等离子体源的控制系统。控制系统125将衬底120维持在180℃至220℃、或约190℃至 210℃的范围内,使得聚合物沉积和回蚀可在相同温度下相继地实施,而不从反应空间115 移除衬底120。回蚀可为0.5-600秒、1-120秒、1-60秒、1-20秒、2-15秒、和5-15秒。 作为另一实例,脉冲臭氧(O3)蚀刻过程可用于回蚀过程。如技术人员将理解的,出于部 分回蚀以最小化对第一表面上期望的钝化层进行蚀刻的目的,可修改过程条件用于较缓慢 且更受控的蚀刻。确实,蚀刻率极大地取决于对聚合物进行O3蚀刻的蚀刻温度。钝化层的 选择性沉积与部分回蚀的组合不会大为提高单个室的过程时间,因为蚀刻过程一般非常 短。还可利用相同的装备和蚀刻剂来去除钝化层。
被配置用于聚合物沉积和回蚀的设备100可以是具有针对DAH(其中汽化温度为约40℃)和PMDA(其中汽化温度为约170℃)的固态源容器的喷头反应器。在一个实施方 式中,等离子体源147包括具有用于原位回蚀的氩气和H2供给的原位直接等离子体(例如, 电容耦接的)设备。在另一实施方式中,设备100可以是交叉流动反应器而不是喷头反应 器,但仍具有上述的固态源容器105、140和直接等离子体能力。在另一实施方式中,等 离子体源147包括耦接至反应空间115以供给产生自Ar/H2等离子体的等离子体的远程等 离子体。在另一实施方式中,等离子体源147可用耦接至反应空间115的臭氧发生器替换。 远程等离子体或臭氧发生器可以,例如,连接至喷头反应器。
聚合物沉积设备100期望地包括自清洁能力以在多重沉积之后使反应空间115和排气 管线160保持清洁。在一些实施方式中,用于回蚀的上述原位或远程Ar/H2等离子体源147 可适用于周期性室清洁(可能在较高功率或温度下),因为其能够在不产生衬底并且只是 周期性地(而非每个晶片)的情况下操作。可选地,聚合物沉积室可被提供有供给有NF3蚀刻的远程等离子体、或臭氧供给以实施周期性室清洁。在一些实施方式中,O3/N2供给 可适用于周期性室清洁(相比聚合物部分回蚀或去除过程,可能在较高功率或温度下), 因为室清洁过程在不产生衬底并且只是周期性地(而非每个晶片)的情况下操作。
管线边缘位置
参考图6以及在一些实施方式中,如上所述,第二表面上的选择性沉积可通过在第二 表面上选择性沉积电介质(如ZrO2)之后对第一表面进行选择性钝化来实现。在所示例的 流程图中,第一表面可以是金属的(例如,集成电路层间电介质、或ILD中的嵌入式金属部件),而第二表面可以是电介质(例如,ILD)。钝化可包括相对于步骤1中的部分的 第二表面选择性沉积在第一表面上的聚合物或其它有机材料。随后,在步骤2中进行聚合 物回蚀——有时被称为用于使选择性完美的“清除”蚀刻——以去除可能已沉积第二表面上 的聚合物,而不从第一表面去除所有聚合物。由于聚合物充当钝化层,因此在步骤3中电 介质材料被选择性沉积第二表面上。任意数量的适合的电介质材料可用于步骤3中。在一 些实施方式中,电介质材料可选自ZrO2和其它金属氧化物,如过渡金属氧化物或氧化铝, 或包括相对于SiO2基材料具有蚀刻选择性或在SiO2基材料被蚀刻的条件下具有缓慢蚀刻 率的混合物的其它电介质氧化物。虽然一些这样的金属氧化物可具有高于5或甚至高于10 的高k值,但是它们是薄的、位于避免金属化结构中显著的寄生电容并且有利地允许抵抗 氧化硅材料的选择性蚀刻对表面掩膜的位置。在其它实施方式中,电介质可以是硅氧化物 基材料,但可以更厚以充当本文所述的蚀刻掩膜述。在图6的步骤4中,从第一表面去除 聚合物钝化。
图7示例了用于从第二表面去除钝化(例如,聚合物或其它有机层)的回蚀时间对所 形成的的电介质层的影响。更具体地,选择性形成的电介质层的边缘的位置可通过选择中 间聚合物回蚀过程的程度,相对于下层金属与电介质表面之间的边界来控制。在一个实施 方式中,聚合物相对于先前在图6的步骤1中描述的部分的第二表面在第一表面上沉积,如图7中第一排图示所见。如在所沉积的聚合物示例中所见,聚合物在第一表面上的沉积在第一表面上方产生了较厚的聚合物层表面,同时在第二表面上方产生相对薄的聚合物层,因此在第一-第二表面边界处具有从第一表面向下倾斜至第二表面的聚合物厚度。随后, 如先前在图6的步骤2中所述的聚合物回蚀的进行可持续变化的持续时间(或以不同的蚀 刻率持续相同的持续时间——如以不同的温度或蚀刻剂浓度——或持续不同的持续时间 和不同的蚀刻率)以控制聚合物层的厚度和形状,如图7中图示的第一栏的第二至六排中 所见。回蚀可以是各向同性的或各向异性的。在一些实施方式中,聚合物蚀刻时间是最短 的,并且聚合物蚀刻不去除足够的聚合物以暴露第二表面,如图7中图示的第二排中所见。 在这种情况下,后续选择性电介质沉积不进行工作,因为第一和第二表面两者都被覆盖有 钝化层,并且即使少量的电介质进行了沉积,它也会被去除钝化层的剥离过程去除。在一 些实施方式中,选择聚合物蚀刻时间以从第二表面去除所形成的大部分聚合物,而留下在 第一-第二表面边界上方延伸到第二表面上的聚合物层前沿,如图7中图示的第三排中所 见。在这种情况下,电介质后续选择性沉积和聚合物的去除在所沉积的电介质边缘与第一 -第二表面边界之间留下间隙。在一些实施方式中,选择聚合物蚀刻时间以从第二表面去除 聚合物,并且聚合物层边缘留与第一-第二表面边界对齐。在这种情况下,电介质后续选择 性沉积和聚合物的去除使所沉积的电介质的底部表面边缘与第一-第二表面边界对齐。在一 些实施方式中,选择聚合物蚀刻时间以从第二表面去除聚合物和从第一表面去除聚合物的 一部分,并且第一间隙存在于聚合物层前沿和第一-第二表面边界之间,如图7中图示的第 五排中所见。在这种情况下,电介质后续选择性沉积和聚合物的去除使所沉积的电介质在 第一-第二表面边界上方延伸并与第一表面重叠。如果将聚合物蚀刻时间进行延长的时段并 且聚合物蚀刻将聚合物从第一表面和第二表面两者完全去除(如图7中图示的第六排中所 见),则后续电介质沉积不具选择性。
因此,可进行如先前在图6的步骤3和4中所述的选择性的电介质选择性沉积和部分 聚合物回蚀以在第二表面上选择性沉积的电介质层的边缘与第一和第二表面之间的界面 之间产生各种关系,这取决于在其选择性沉积之后钝化回蚀的程度,如图6中图示的第三 栏中第二至六排的最右侧图像所见。在一些实施方式中,不形成电介质层,因为聚合物层 钝化了第二表面,如图7中图示的第二排中所见。在一些实施方式中,间隙存在于第二表面上的电介质与第一表面之间,如图7中图示的第三排中所见。在一些实施方式中,电介 质层边缘与第一-第二表面边界对齐,如图7中图示的第四排中所见。在一些实施方式中, 电介质层重叠第一表面,如图7中图示的第五排中所见。在一些布置中,电介质层在第一 表面和第二表面两者上形成,因为无聚合物层钝化第一表面。
图8示例了钝化层沉积厚度对所形成的电介质层的影响。更具体地,选择性形成的电 介质层的边缘的位置可通过选择中间聚合物钝化层的厚度,相对于下层金属与电介质表面 之间的边界来控制。随着钝化层沉积厚度增加,第一表面与第二表面两者上的钝化层厚度 也增加。然而,由于钝化层被选择性沉积在第一表面上,因此第二表面上方的钝化厚度增 加小于第一表面上方的钝化层厚度增加。因此,钝化回蚀、电介质沉积和钝化去除将以相 对于第一-第二表面边界的变化的位置产生选择性电介质层。在一些实施方式中,钝化层被 沉积,其在选择性沉积的电介质层边缘与第一-第二表面边界之间产生了间隙,如图8中图 示的第一栏中所见。在一些实施方式中,较厚的聚合物层被沉积,其在选择性沉积的电介 质层边缘与第一表面之间产生了较大的间隙,如图8中图示的第二栏中所见。
图9示例了选择性沉积的电介质厚度对所形成的电介质层和第一-第二表面边界的相 对位置的影响。更具体地,选择性形成的电介质层的边缘的位置可通过选择选择性电介质 层的厚度,相对于下层金属与电介质表面之间的边界来控制。随着第二表面上选择性沉积 的电介质沉积厚度增加,电介质外悬边缘经过第一-第二表面边界逐渐向远侧延伸。在一些 实施方式中,电介质层被沉积,其产生了某种外悬结构,如图9中图示的第一栏中所见。 在一些实施方式中,较厚的电介质层被沉积,其产生了较大的外悬,如图9中图示的第二 栏中所见。在一些实施方式中,甚至更厚的电介质层被沉积,其在第一表面上方产生了甚 至更大的电介质外悬,如图9中图示的第三栏中所见。对于某些后续过程(如各向异性加工(例如,各向异性反应性离子蚀刻)),外悬的程度可遮蔽第一表面的部分并防止后续 加工。
因此,在一些实施方式中,虽然类似于图1D主要地选择性形成在电介质表面上方,但是电介质层被选择性沉积以产生外悬和/或与金属部件重叠。在一些实施方式中,电介质层不包括外悬或重叠,并且选择性沉积的电介质上的电介质的边缘可与金属部件的边缘对齐或在选择性沉积的电介质层的边缘与金属部件之间可存在间隙。由于本文教导了选择性沉积技术,因此在不使用传统掩膜和蚀刻以对电介质层图案化的情况下,选择性沉积的电介质层可具有以选择性沉积为特征的部件。例如,电介质层的边缘以小于45度的斜率呈 锥形,而非具有垂直的或急剧倾斜的侧壁,如同一般的光刻方式图案化的层。这种特征性 蚀刻轮廓不管选择性沉积的层是否经历清除蚀刻、或部分回蚀,都可保持。
图10A-10D示例了地形(topography)如何能影响选择性沉积的电介质与第一和第二 表面之间的边界之间的关系。
图10A示例了导致与第一-第二表面边界对齐的选择性沉积的电介质2502的边缘的平 面结构。由钝化层2504(例如聚合物材料)钝化的第一表面可由金属材料(如嵌入式金属 2506)限定,而第二表面可由低k电介质(如层间电介质(ILD)2508)限定。钝化层2504 被选择性沉积在第一表面上方,而电介质层2502被选择性沉积在第二表面上方,其中电 介质层2502的边缘与第一-第二表面边界对齐。
图10B示例了相对于第二表面凹陷的第一表面。如上,第一表面可包括嵌入且凹陷在 限定第二表面的低k电介质材料2508内的金属材料2506。钝化层2504被选择性形成在凹槽内的第一表面上方。电介质层2502被布置在第二表面上方且在凹槽壁上方,其中电介 质层2502的边缘与钝化层2504的表面相遇(接触,meet)。钝化层2504的去除将导致在 第二表面上选择性形成却与第一表面重叠的电介质层2502(例如,金属部件2506)。
图10C示例了关于第二表面升高的第一表面。第一表面可由嵌入第二表面并且在第二 表面上方突出的金属材料2506限定,第二表面可以是低k电介质材料2508。钝化层2504 被布置在第一表面上方,包括突出侧壁,并因此至少部分地被布置在第二表面上方。电介 质层2502被布置在第二表面上方,却通过钝化材料2504在侧壁上的厚度与第一表面间隔。 因此,在去除钝化层2504之后,电介质层2502与第一表面(例如,突出的金属部件2606) 之间存在间隙。
图10D示例了相同实施方式的凹陷的第一表面。在这种情况下,在去除钝化层2504之后,间隙被留在第二表面上选择性沉积的电介质层2502与第一表面之间。在这种情况下,间隙呈现为第二表面的垂直侧壁的形式,所述间隙然后被暴露于后续加工。
因此,图7-10D示例了用于调节相对于第一和第二表面之间(例如,金属部件2506与低k电介质2508之间)的界面选择性沉积的电介质2502(例如,在电介质第二表面上) 的位置的可变形式(variables)。具体地,图7显示了钝化层回蚀的程度或时间如何能影 响相对位置;图8显示了选择性沉积的钝化层的厚度如何能影响相对位置;图9显示了选 择性沉积的电介质层的厚度如何能影响相对位置;以及图10A-10D显示了第一和第二表面 的地形如何能影响相对位置。这些可变形式可因此被调整以影响第二表面上选择性沉积的 电介质是否与第一表面对齐、是否相对于第一表面具有间隙、或者是否与第一表面重叠。
实例应用
图11A-11E示例了装置和创建装置的过程,在一些实施方式中,具有提高的电隔离性。 图11A示例了具有限定第一表面的嵌入式金属部件2606的部分构造的集成电路,第一表 面与由周围低k材料2608限定的第二表面齐平,类似于图10A中所示的平面结构。金属部件包括进一步包括定位在第一低k电介质材料2608内的Cu 2610和TaN屏障材料2612 的第一材料。
图11B示例了在传导性屏障层2614位于第一材料上方后图11A的装置。在一些实施方式中,屏障层2614可以是W。尽管示例为突出的,但在一些实施方式中,Cu 2610管线 或通孔上方的屏障材料2614可嵌入周围低k材料2608中并与其齐平。
图11C示例了在将钝化层2604选择性沉积在现由金属屏障层2614(W)限定的第一表面上方后图11B的装置,其中第一表面的边缘被暴露。在一些实施方式中,钝化层2604 可以是有机材料,如聚合物。在一些实施方式中,在选择性沉积钝化层2604之后进行足 以暴露一些金属第一表面的钝化层材料的回蚀。
图11D示例了在第二表面上方选择性沉积电介质层2602后从而与金属第一表面重叠 的图11C的装置。在一些实施方式中,电介质层2602可以是高k材料。在一些实施方式中,高k材料可以是ZrO2。在一些实施方式中,选择性电介质层2602可以是低k材料, 如SiOC、Al2O3和SiN。在一些实施方式中,选择性沉积的电介质材料2602可充当关于通 过低k材料2608进行后续蚀刻达到使金属屏障材料2614暴露的开放的沟槽或通孔的蚀刻 停止物(stop)。
图11E示例了在去除聚合物钝化层2604从而暴露(在这种情况下是屏障材料2614的) 下层金属层表面后的图11D的装置。选择性电介质2602重叠由屏障层2614限定的金属第 一表面并降低当后续金属部件(例如,上层金属管线或通孔)在其上方形成时短路的风险。 具体地,低k材料被沉积在图11E的结构上方,并且产生开口并由金属填充。通过掩膜和选择性低k蚀刻来产生开口,并且蚀刻在选择性沉积的电介质(例如,ZrO2)上停止。选 择性沉积的电介质2602与由屏障层2614限定的金属部件重叠(由于钝化、回蚀、电介质 沉积和/或地形期间条件的选择)防止未对准。因此,该重叠防止与相邻金属部件接触或对 低k材料2608进行不期望的蚀刻。注意的是,选择性沉积的电介质材料2602可停留在最 终的集成电路装置中,在ILD层之间充当蚀刻停止物。虽然在金属化过程中避免了通常高 的k材料,但是寄生电容是最低的。寄生电容最低是由于高k材料相对于低k材料具有主 导地位、高k材料因其功能而具有的厚度、以及这种电介质盖帽层的高选择性相对于高k 材料的优势比材料选择所带来的微小的寄生电容更重要。当然,高蚀刻选择性还可利用待 被选择性沉积在ILD上的低k材料来实现。
图12A-12B示例了装置和创建装置的过程,在一些实施方式中,具有空气间隙,出于 各种原因可以期望有空气间隙,如降低集成电路中紧密间隔的金属部件(例如,金属管线) 之间的寄生电容。图12A示例了一些实施方式的部分构造的集成电路的平坦表面,类似于 先前在图10A中所示的装置。初始结构可以是由被第二表面围绕的金属部件2706(例如,具有电介质和屏障衬垫的Cu管线)限定的第一表面,第二表面由电介质材料2608(例如, 低k ILD)限定。钝化层2704被选择性沉积在第一表面上方,并且进行回蚀从而以在第一 表面上方并且部分在第二表面上方留下钝化层2704的方式暴露第二表面。电介质2702被 选择性沉积在第二表面上方,其中电介质层边缘与第一-第二表面边界间隔开至第二表面 上。图12B示例了在去除钝化层2704以暴露第一表面并且部分暴露先前由第一材料覆盖 的第二表面后图12A的装置,在选择性沉积的电介质材料与第一表面(金属部件2706)之 间留下间隙2710。随后,对被暴露的第二材料进行的选择性蚀刻在相邻金属部件的那些间 隙2710中形成了腔2712。在一些实施方式中,被选择性蚀刻的第二材料是SiO。在一些 实施方式中,选择性蚀刻是HBr干燥蚀刻。HBr干燥蚀刻能够以约6-8nm/min选择性地蚀 刻氧化硅,而某些其它材料则以较低的速率被蚀刻,如氮化硅(<0.3nm/min)和氧化锆(< 0.3nm/min),并且在无氯(例如,Cl2)或六氟化硫(例如,SF6)的情况下可不会蚀刻钨。 具有足够低的保形性的第三材料2714(如标准的低k材料)的沉积在低k材料2708内邻 近金属部件2706的侧面留下空气间隙2716。如本领域已知的,空气腔降低了ILD的总体 的k值并降低了金属部件之间的寄生电容。
虽然已讨论了某些实施方式和实例,但本领域技术人员将理解权利要求的范围超出所 具体公开的实施方式至其它可选的实施方式和/或用途及其显而易见的修改和等同物。

Claims (30)

1.相对于衬底的第一表面选择性沉积在所述衬底的第二表面上的方法,其中所述第一和第二表面具有不同组成,所述方法按顺序地包括:
将来自气相反应物的抑制剂层相对于所述第二表面选择性地形成在所述第一表面上;
烘烤所述抑制剂层;并且
相对于所述钝化层将来自气相反应物的目标层选择性地沉积在所述第二表面上。
2.权利要求1所述的方法,还包括在选择性地形成所述抑制剂层之前处理所述第一表面和第二表面。
3.权利要求2所述的方法,其中处理包括使所述衬底暴露于等离子体。
4.权利要求2所述的方法,其中处理包括使所述衬底暴露于硅烷,如烷基氨基硅烷。
5.权利要求4所述的方法,其中处理包括使所述衬底暴露于N-(三甲基甲硅烷基)二甲胺(TMSDMA)或三甲基氯硅烷。
6.权利要求2所述的方法,还包括在选择性地形成所述抑制剂层之后清洁所述第二表面以去除任何抑制剂。
7.权利要求6所述的方法,其中清洁包括用氢等离子体处理。
8.权利要求1所述的方法,其中烘烤包括将所述衬底加热至约300至400℃的温度。
9.权利要求1所述的方法,还包括在选择性地沉积所述目标层之后清洁所述第一表面和第二表面。
10.权利要求9所述的方法,其中清洁包括用氢等离子体处理所述表面。
11.权利要求1所述的方法,其中选择性地形成抑制剂层包括将有机层选择性地气相沉积在所述第一表面上。
12.权利要求11所述的方法,其中所述有机层是聚酰亚胺层。
13.权利要求1所述的方法,其中通过原子层沉积过程选择性地沉积所述目标层。
14.权利要求1所述的方法,其中所述第一表面包括金属或含金属的材料,而所述第二表面包括电介质材料。
15.权利要求1所述的方法,其中所述目标层包括金属氧化物。
16.权利要求15所述的方法,其中所述金属氧化物包括氧化锆、氧化铪、氧化铝、氧化钛、氧化钽、氧化钇、氧化镧、或其它过渡金属氧化物或其混合物。
17.权利要求15所述的方法,其中所述金属氧化物包括介电过渡金属氧化物。
18.权利要求15所述的方法,其中所述金属氧化物包括氧化铝。
19.权利要求18所述的方法,其中利用包括三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、异丙氧基二甲基铝(DMAI)或三乙基铝(TEA)的铝前体沉积所述氧化铝。
20.权利要求18所述的方法,其中利用铝前体沉积所述氧化铝,所述铝前体包括含有烷基和诸如卤基例如Cl的其它配体的杂配体铝化合物。
21.权利要求18所述的方法,其中利用铝前体沉积所述氧化铝,所述铝前体包括含有两种不同烷基作为配体的铝烷基化合物。
22.权利要求18所述的方法,其中利用包括金属有机铝化合物或有机金属铝化合物的铝前体沉积所述铝化合物。
23.权利要求1所述的方法,其中所述目标层包括金属氮化物。
24.权利要求23所述的方法,其中所述金属氮化物是氮化钛。
25.权利要求24所述的方法,其中通过气相沉积过程由TiCl4和NH3来沉积所述氮化钛。
26.用于将目标层相对于衬底的第一表面选择性沉积在所述衬底的第二表面上的集群工具,其中所述第一表面和第二表面具有不同组成,所述集群工具包括:
被配置以预处理所述衬底的第一模块;
被配置以用等离子体处理所述衬底的第二模块;
被配置以将抑制剂相对于所述衬底的第二表面气相沉积在所述衬底的第一表面上的第三模块;和
被配置以气相沉积所述目标层的第四模块。
27.用于将电介质相对于衬底的第一表面选择性沉积在所述衬底的第二表面上的系统,所述系统包括:
被配置以选择性沉积有机钝化层和部分回蚀所述有机钝化层的第一室;和
被配置以将所述电介质相对于所述衬底的第一表面选择性沉积在所述第二表面上的第二室。
28.用于将目标膜相对于衬底的第一表面选择性沉积在所述衬底的第二表面上的系统,所述系统包括:
被配置以预处理所述衬底和蚀刻加工的第一室;
被配置以选择性沉积有机钝化层的第二室;和
被配置以将所述目标膜相对于所述衬底的第一表面选择性沉积在所述衬底的第二表面上的第三室。
29.权利要求28所述的系统,其中所述第三室被进一步配置用于烘烤过程。
30.权利要求28所述的系统,进一步包括被配置用于烘烤过程的第四室。
CN201910938338.4A 2018-10-02 2019-09-30 选择性钝化和选择性沉积 Pending CN110993482A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862740124P 2018-10-02 2018-10-02
US62/740,124 2018-10-02
US201962805471P 2019-02-14 2019-02-14
US62/805,471 2019-02-14

Publications (1)

Publication Number Publication Date
CN110993482A true CN110993482A (zh) 2020-04-10

Family

ID=69946453

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910938338.4A Pending CN110993482A (zh) 2018-10-02 2019-09-30 选择性钝化和选择性沉积

Country Status (5)

Country Link
US (3) US11145506B2 (zh)
JP (1) JP2020056104A (zh)
KR (1) KR20200038425A (zh)
CN (1) CN110993482A (zh)
TW (1) TWI842748B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210301391A1 (en) * 2020-03-30 2021-09-30 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
CN115003854A (zh) * 2020-04-14 2022-09-02 应用材料公司 金属膜的沉积
CN116351662A (zh) * 2023-03-31 2023-06-30 浙江大学 一种聚合物薄膜区域选择性沉积的方法

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
JP7183187B2 (ja) 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
WO2020106649A1 (en) 2018-11-19 2020-05-28 Lam Research Corporation Molybdenum templates for tungsten
WO2020159882A1 (en) 2019-01-28 2020-08-06 Lam Research Corporation Deposition of metal films
WO2020185618A1 (en) 2019-03-11 2020-09-17 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20220157488A (ko) * 2020-03-26 2022-11-29 어플라이드 머티어리얼스, 인코포레이티드 붕소 및 탄소 막들의 촉매 형성
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
US11542597B2 (en) * 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
WO2021257392A1 (en) * 2020-06-17 2021-12-23 Tokyo Electron Limited Method for area selective deposition using a surface cleaning process
JP2022033558A (ja) * 2020-08-17 2022-03-02 東京エレクトロン株式会社 成膜方法および成膜システム
US20220064784A1 (en) * 2020-09-03 2022-03-03 Applied Materials, Inc. Methods of selective deposition
KR102406174B1 (ko) 2020-09-08 2022-06-08 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
JP2022050198A (ja) * 2020-09-17 2022-03-30 東京エレクトロン株式会社 成膜方法及び成膜装置
US20240014036A1 (en) * 2020-12-01 2024-01-11 Versum Materials Us, Llc Selective plasma enhanced atomic layer deposition
KR20220081907A (ko) * 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 억제제를 사용한 위치 선택적 기상 증착
KR20220097266A (ko) * 2020-12-30 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 재료 증착을 위한 조성물, 합성 방법 및 용도
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
JP2022137698A (ja) * 2021-03-09 2022-09-22 東京エレクトロン株式会社 成膜方法および成膜システム
JP7339975B2 (ja) * 2021-03-18 2023-09-06 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
JP7305700B2 (ja) * 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN117121172A (zh) * 2021-06-18 2023-11-24 株式会社国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置、及程序
EP4448831A1 (en) * 2021-12-16 2024-10-23 Lam Research Corporation Deposition of metals in recessed features with the use of halogen-containing deposition inhibitors
JP2024047456A (ja) * 2022-09-26 2024-04-05 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理システム、およびプログラム
US20240213093A1 (en) * 2022-12-22 2024-06-27 Tokyo Electron Limited Catalyst-enhanced chemical vapor deposition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130196502A1 (en) * 2011-12-09 2013-08-01 ASM International. N.V. Selective formation of metallic films on metallic surfaces
US20150217330A1 (en) * 2014-02-04 2015-08-06 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
CN104968724A (zh) * 2013-01-31 2015-10-07 大日本印刷株式会社 电子束固化性树脂组合物、反射器用树脂框架、反射器、半导体发光装置、及成形体的制造方法
CN105556644A (zh) * 2013-09-27 2016-05-04 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层

Family Cites Families (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
KR20010010172A (ko) 1999-07-16 2001-02-05 윤종용 베리어 메탈막을 캐핑막으로 구비하는 도전패턴 형성방법
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
EP2182088B1 (en) 2002-11-15 2013-07-17 President and Fellows of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7276433B2 (en) * 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20150139628A (ko) 2007-09-14 2015-12-11 시그마 알드리치 컴퍼니 엘엘씨 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
CN101883877A (zh) 2007-11-06 2010-11-10 Hcf合伙人股份两合公司 原子层沉积法
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
JP2009256796A (ja) * 2008-03-27 2009-11-05 Horiba Ltd 単分子膜形成装置及び方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
TWI390756B (zh) 2008-07-16 2013-03-21 Applied Materials Inc 使用摻質層遮罩之混合異接面太陽能電池製造
EP2324509A2 (en) 2008-08-27 2011-05-25 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
WO2010065505A2 (en) 2008-12-01 2010-06-10 E. I. Du Pont De Nemours And Company Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
JP2013501139A (ja) 2009-07-31 2013-01-10 アクゾ ノーベル ケミカルズ インターナショナル ベスローテン フエンノートシャップ コーティングされた基材を調製するためのプロセス、コーティングされた基材、及びその使用
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2012167141A2 (en) 2011-06-03 2012-12-06 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US20130157409A1 (en) * 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
US9418888B2 (en) * 2013-06-27 2016-08-16 Intel Corporation Non-lithographically patterned directed self assembly alignment promotion layers
RU2643931C2 (ru) 2013-06-28 2018-02-06 Интел Корпорейшн Устройства, основанные на избирательно эпитаксиально выращенных материалах iii-v групп
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
NZ716773A (en) 2013-09-20 2020-06-26 Baker Hughes Inc Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
WO2015094305A1 (en) 2013-12-19 2015-06-25 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
JP2017503079A (ja) * 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR102287787B1 (ko) 2014-03-27 2021-08-10 인텔 코포레이션 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
KR20160137977A (ko) 2014-03-28 2016-12-02 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
KR102579784B1 (ko) 2015-05-01 2023-09-15 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
CN108064225A (zh) 2015-06-18 2018-05-22 英特尔公司 用于第二或第三行过渡金属薄膜的沉积的固有地选择性前驱体
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
WO2017184357A1 (en) 2016-04-18 2017-10-26 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11081342B2 (en) * 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR102662612B1 (ko) 2016-10-02 2024-05-03 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
TWI767964B (zh) * 2016-12-16 2022-06-21 日商東京威力科創股份有限公司 後段介電質蝕刻用之選擇性沉積方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
JP7183187B2 (ja) 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130196502A1 (en) * 2011-12-09 2013-08-01 ASM International. N.V. Selective formation of metallic films on metallic surfaces
US20170069527A1 (en) * 2011-12-09 2017-03-09 Asm International N.V. Selective formation of metallic films on metallic surfaces
CN104968724A (zh) * 2013-01-31 2015-10-07 大日本印刷株式会社 电子束固化性树脂组合物、反射器用树脂框架、反射器、半导体发光装置、及成形体的制造方法
CN105556644A (zh) * 2013-09-27 2016-05-04 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层
US20150217330A1 (en) * 2014-02-04 2015-08-06 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210301391A1 (en) * 2020-03-30 2021-09-30 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11608557B2 (en) * 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
CN115003854A (zh) * 2020-04-14 2022-09-02 应用材料公司 金属膜的沉积
CN115003854B (zh) * 2020-04-14 2024-07-23 应用材料公司 金属膜的沉积
CN116351662A (zh) * 2023-03-31 2023-06-30 浙江大学 一种聚合物薄膜区域选择性沉积的方法
CN116351662B (zh) * 2023-03-31 2024-05-17 浙江大学 一种聚合物薄膜区域选择性沉积的方法

Also Published As

Publication number Publication date
TW202026461A (zh) 2020-07-16
US11830732B2 (en) 2023-11-28
US11145506B2 (en) 2021-10-12
JP2020056104A (ja) 2020-04-09
US20200105515A1 (en) 2020-04-02
US20220208542A1 (en) 2022-06-30
KR20200038425A (ko) 2020-04-13
US20240047197A1 (en) 2024-02-08
TWI842748B (zh) 2024-05-21

Similar Documents

Publication Publication Date Title
US11145506B2 (en) Selective passivation and selective deposition
US20210358739A1 (en) Selective passivation and selective deposition
KR102513600B1 (ko) 산화물 박막의 증착
US9587307B2 (en) Enhanced deposition of noble metals
KR101540077B1 (ko) 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
US11965238B2 (en) Selective deposition of metal oxides on metal surfaces
WO2001029891A1 (en) Conformal lining layers for damascene metallization
US20210358745A1 (en) Selective passivation and selective deposition
US20220084817A1 (en) Silicon oxide deposition method
TW202334474A (zh) 選擇性熱沉積方法
KR20240049631A (ko) 인터커넥트 구조들을 위한 금속 라이너를 형성하는 방법
CN115679286A (zh) 选择性钝化和选择性沉积
US20230227965A1 (en) Method and apparatus for forming a patterned structure on a substrate
US20230178371A1 (en) Method and apparatus for hard mask deposition

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB03 Change of inventor or designer information
CB03 Change of inventor or designer information

Inventor after: J.W. Mays

Inventor after: V. Bohr

Inventor after: Givens Michael

Inventor after: S. ho card

Inventor after: V. Paruchury

Inventor after: 1. Raimex

Inventor after: S.Deng

Inventor after: A. Illiberry

Inventor after: E. Theis

Inventor after: D. Langley

Inventor before: J.W. Mays

Inventor before: Givens Michael

Inventor before: S. ho card

Inventor before: V. Paruchury

Inventor before: 1. Raimex

Inventor before: S.Deng

Inventor before: A. Illiberry

Inventor before: E. Theis

Inventor before: D. Langley