CN110993482A - 选择性钝化和选择性沉积 - Google Patents
选择性钝化和选择性沉积 Download PDFInfo
- Publication number
- CN110993482A CN110993482A CN201910938338.4A CN201910938338A CN110993482A CN 110993482 A CN110993482 A CN 110993482A CN 201910938338 A CN201910938338 A CN 201910938338A CN 110993482 A CN110993482 A CN 110993482A
- Authority
- CN
- China
- Prior art keywords
- substrate
- layer
- metal
- deposition
- selectively
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000002161 passivation Methods 0.000 title claims abstract description 165
- 230000008021 deposition Effects 0.000 title abstract description 188
- 239000000758 substrate Substances 0.000 claims abstract description 175
- 238000000034 method Methods 0.000 claims abstract description 172
- 229910052751 metal Inorganic materials 0.000 claims abstract description 152
- 239000002184 metal Substances 0.000 claims abstract description 152
- 239000000376 reactant Substances 0.000 claims abstract description 138
- 239000000463 material Substances 0.000 claims abstract description 116
- 239000003112 inhibitor Substances 0.000 claims abstract description 38
- 239000000203 mixture Substances 0.000 claims abstract description 31
- 239000004642 Polyimide Substances 0.000 claims abstract description 20
- 229920001721 polyimide Polymers 0.000 claims abstract description 20
- 239000012808 vapor phase Substances 0.000 claims abstract description 14
- 150000004767 nitrides Chemical class 0.000 claims abstract description 9
- 229910000314 transition metal oxide Inorganic materials 0.000 claims abstract description 9
- 239000012071 phase Substances 0.000 claims abstract description 5
- 239000010410 layer Substances 0.000 claims description 317
- 238000000151 deposition Methods 0.000 claims description 226
- 230000008569 process Effects 0.000 claims description 117
- 239000002243 precursor Substances 0.000 claims description 80
- 238000004140 cleaning Methods 0.000 claims description 40
- 229910044991 metal oxide Inorganic materials 0.000 claims description 37
- 150000004706 metal oxides Chemical class 0.000 claims description 37
- 229910052782 aluminium Inorganic materials 0.000 claims description 34
- 239000003446 ligand Substances 0.000 claims description 33
- -1 alkyl aminosilane Chemical compound 0.000 claims description 30
- 239000012044 organic layer Substances 0.000 claims description 25
- 239000003989 dielectric material Substances 0.000 claims description 23
- 239000007789 gas Substances 0.000 claims description 21
- 229910052739 hydrogen Inorganic materials 0.000 claims description 20
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 18
- 238000000231 atomic layer deposition Methods 0.000 claims description 18
- 239000001257 hydrogen Substances 0.000 claims description 18
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 claims description 15
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 14
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical group [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 14
- 125000000217 alkyl group Chemical group 0.000 claims description 12
- 238000011282 treatment Methods 0.000 claims description 12
- HJYACKPVJCHPFH-UHFFFAOYSA-N dimethyl(propan-2-yloxy)alumane Chemical compound C[Al+]C.CC(C)[O-] HJYACKPVJCHPFH-UHFFFAOYSA-N 0.000 claims description 10
- IJOOHPMOJXWVHK-UHFFFAOYSA-N chlorotrimethylsilane Chemical compound C[Si](C)(C)Cl IJOOHPMOJXWVHK-UHFFFAOYSA-N 0.000 claims description 8
- KAHVZNKZQFSBFW-UHFFFAOYSA-N n-methyl-n-trimethylsilylmethanamine Chemical compound CN(C)[Si](C)(C)C KAHVZNKZQFSBFW-UHFFFAOYSA-N 0.000 claims description 8
- 229910000077 silane Inorganic materials 0.000 claims description 8
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 claims description 8
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 claims description 8
- 238000005019 vapor deposition process Methods 0.000 claims description 8
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 7
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 claims description 7
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 6
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 claims description 6
- 229910000449 hafnium oxide Inorganic materials 0.000 claims description 6
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 claims description 6
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 claims description 6
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 claims description 6
- 229910001928 zirconium oxide Inorganic materials 0.000 claims description 6
- VSCWAEJMTAWNJL-UHFFFAOYSA-K aluminium trichloride Chemical compound Cl[Al](Cl)Cl VSCWAEJMTAWNJL-UHFFFAOYSA-K 0.000 claims description 4
- JGHYBJVUQGTEEB-UHFFFAOYSA-M dimethylalumanylium;chloride Chemical compound C[Al](C)Cl JGHYBJVUQGTEEB-UHFFFAOYSA-M 0.000 claims description 4
- 239000005051 trimethylchlorosilane Substances 0.000 claims description 4
- 125000005843 halogen group Chemical group 0.000 claims description 3
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 claims description 3
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 claims description 3
- 229910001936 tantalum oxide Inorganic materials 0.000 claims description 3
- 239000003054 catalyst Substances 0.000 claims description 2
- 238000010438 heat treatment Methods 0.000 claims description 2
- 239000011248 coating agent Substances 0.000 claims 1
- 238000000576 coating method Methods 0.000 claims 1
- 229920000642 polymer Polymers 0.000 description 87
- 238000006243 chemical reaction Methods 0.000 description 45
- 238000005530 etching Methods 0.000 description 43
- 230000004888 barrier function Effects 0.000 description 26
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 25
- 238000005137 deposition process Methods 0.000 description 25
- 239000011368 organic material Substances 0.000 description 22
- 230000002209 hydrophobic effect Effects 0.000 description 20
- 239000010949 copper Substances 0.000 description 19
- 239000002094 self assembled monolayer Substances 0.000 description 18
- 239000013545 self-assembled monolayer Substances 0.000 description 18
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 16
- 229910052814 silicon oxide Inorganic materials 0.000 description 16
- 239000007769 metal material Substances 0.000 description 15
- 229910052760 oxygen Inorganic materials 0.000 description 14
- 239000001301 oxygen Substances 0.000 description 13
- 238000012545 processing Methods 0.000 description 13
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical group [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 12
- 229910052710 silicon Inorganic materials 0.000 description 12
- 238000007740 vapor deposition Methods 0.000 description 12
- 125000004432 carbon atom Chemical group C* 0.000 description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- 229910052721 tungsten Inorganic materials 0.000 description 10
- 125000004178 (C1-C4) alkyl group Chemical group 0.000 description 9
- 125000006527 (C1-C5) alkyl group Chemical group 0.000 description 9
- 238000011065 in-situ storage Methods 0.000 description 9
- 229910052757 nitrogen Inorganic materials 0.000 description 9
- 230000015572 biosynthetic process Effects 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 8
- 229910052802 copper Inorganic materials 0.000 description 8
- 230000000694 effects Effects 0.000 description 8
- 150000004820 halides Chemical class 0.000 description 8
- 230000000737 periodic effect Effects 0.000 description 8
- 238000002203 pretreatment Methods 0.000 description 8
- 230000008016 vaporization Effects 0.000 description 8
- 239000013077 target material Substances 0.000 description 7
- VLDPXPPHXDGHEW-UHFFFAOYSA-N 1-chloro-2-dichlorophosphoryloxybenzene Chemical compound ClC1=CC=CC=C1OP(Cl)(Cl)=O VLDPXPPHXDGHEW-UHFFFAOYSA-N 0.000 description 6
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 6
- 229910052799 carbon Inorganic materials 0.000 description 6
- 239000000460 chlorine Substances 0.000 description 6
- 238000004891 communication Methods 0.000 description 6
- 229910052750 molybdenum Inorganic materials 0.000 description 6
- 230000003071 parasitic effect Effects 0.000 description 6
- 238000000059 patterning Methods 0.000 description 6
- 150000003254 radicals Chemical class 0.000 description 6
- 239000000377 silicon dioxide Substances 0.000 description 6
- 229910052717 sulfur Inorganic materials 0.000 description 6
- 230000032258 transport Effects 0.000 description 6
- 238000009834 vaporization Methods 0.000 description 6
- 235000012431 wafers Nutrition 0.000 description 6
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 5
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 5
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 5
- 125000004429 atom Chemical group 0.000 description 5
- 150000004985 diamines Chemical class 0.000 description 5
- 239000011261 inert gas Substances 0.000 description 5
- 239000011593 sulfur Substances 0.000 description 5
- 229910052719 titanium Inorganic materials 0.000 description 5
- 239000010936 titanium Substances 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 5
- 125000006657 (C1-C10) hydrocarbyl group Chemical group 0.000 description 4
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- 239000004952 Polyamide Substances 0.000 description 4
- 229910017052 cobalt Inorganic materials 0.000 description 4
- 239000010941 cobalt Substances 0.000 description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 238000010849 ion bombardment Methods 0.000 description 4
- FPYJFEHAWHCUMM-UHFFFAOYSA-N maleic anhydride Chemical compound O=C1OC(=O)C=C1 FPYJFEHAWHCUMM-UHFFFAOYSA-N 0.000 description 4
- 150000002902 organometallic compounds Chemical group 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 229920002647 polyamide Polymers 0.000 description 4
- 238000010926 purge Methods 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 3
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 3
- OFOBLEOULBTSOW-UHFFFAOYSA-N Propanedioic acid Natural products OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- GTDPSWPPOUPBNX-UHFFFAOYSA-N ac1mqpva Chemical compound CC12C(=O)OC(=O)C1(C)C1(C)C2(C)C(=O)OC1=O GTDPSWPPOUPBNX-UHFFFAOYSA-N 0.000 description 3
- PWAXUOGZOSVGBO-UHFFFAOYSA-N adipoyl chloride Chemical compound ClC(=O)CCCCC(Cl)=O PWAXUOGZOSVGBO-UHFFFAOYSA-N 0.000 description 3
- 125000003277 amino group Chemical group 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 230000006399 behavior Effects 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 description 3
- 239000006227 byproduct Substances 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 229910052735 hafnium Inorganic materials 0.000 description 3
- NAQMVNRVTILPCV-UHFFFAOYSA-N hexane-1,6-diamine Chemical compound NCCCCCCN NAQMVNRVTILPCV-UHFFFAOYSA-N 0.000 description 3
- 229930195733 hydrocarbon Natural products 0.000 description 3
- 150000002430 hydrocarbons Chemical group 0.000 description 3
- 229910052742 iron Inorganic materials 0.000 description 3
- 230000000873 masking effect Effects 0.000 description 3
- 239000011733 molybdenum Substances 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 229910052758 niobium Inorganic materials 0.000 description 3
- CTSLXHKWHWQRSH-UHFFFAOYSA-N oxalyl chloride Chemical compound ClC(=O)C(Cl)=O CTSLXHKWHWQRSH-UHFFFAOYSA-N 0.000 description 3
- 238000009832 plasma treatment Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- 229910052726 zirconium Inorganic materials 0.000 description 3
- CBCKQZAAMUWICA-UHFFFAOYSA-N 1,4-phenylenediamine Chemical compound NC1=CC=C(N)C=C1 CBCKQZAAMUWICA-UHFFFAOYSA-N 0.000 description 2
- PLIKAWJENQZMHA-UHFFFAOYSA-N 4-aminophenol Chemical compound NC1=CC=C(O)C=C1 PLIKAWJENQZMHA-UHFFFAOYSA-N 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- 229910052684 Cerium Inorganic materials 0.000 description 2
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 2
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Natural products OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 description 2
- 229920002396 Polyurea Polymers 0.000 description 2
- KKEYFWRCBNTPAC-UHFFFAOYSA-N Terephthalic acid Chemical compound OC(=O)C1=CC=C(C(O)=O)C=C1 KKEYFWRCBNTPAC-UHFFFAOYSA-N 0.000 description 2
- 229910003074 TiCl4 Inorganic materials 0.000 description 2
- 150000008065 acid anhydrides Chemical class 0.000 description 2
- 230000009471 action Effects 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- 230000000996 additive effect Effects 0.000 description 2
- 125000003342 alkenyl group Chemical group 0.000 description 2
- 150000003973 alkyl amines Chemical class 0.000 description 2
- AZDRQVAHHNSJOQ-UHFFFAOYSA-N alumane Chemical class [AlH3] AZDRQVAHHNSJOQ-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 125000003118 aryl group Chemical group 0.000 description 2
- 229910052788 barium Inorganic materials 0.000 description 2
- 239000002585 base Substances 0.000 description 2
- 230000000903 blocking effect Effects 0.000 description 2
- VHRGRCVQAFMJIZ-UHFFFAOYSA-N cadaverine Chemical compound NCCCCCN VHRGRCVQAFMJIZ-UHFFFAOYSA-N 0.000 description 2
- 229910052791 calcium Inorganic materials 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 229910052681 coesite Inorganic materials 0.000 description 2
- 229910052593 corundum Inorganic materials 0.000 description 2
- 229910052906 cristobalite Inorganic materials 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 229910052746 lanthanum Inorganic materials 0.000 description 2
- 229910052749 magnesium Inorganic materials 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 239000000178 monomer Substances 0.000 description 2
- 229910052756 noble gas Inorganic materials 0.000 description 2
- 229910000510 noble metal Inorganic materials 0.000 description 2
- 230000006911 nucleation Effects 0.000 description 2
- 238000010899 nucleation Methods 0.000 description 2
- XNGIFLGASWRNHJ-UHFFFAOYSA-N phthalic acid Chemical compound OC(=O)C1=CC=CC=C1C(O)=O XNGIFLGASWRNHJ-UHFFFAOYSA-N 0.000 description 2
- 229920002939 poly(N,N-dimethylacrylamides) Polymers 0.000 description 2
- 229920005575 poly(amic acid) Polymers 0.000 description 2
- 239000002861 polymer material Substances 0.000 description 2
- 229920000123 polythiophene Polymers 0.000 description 2
- 239000004814 polyurethane Substances 0.000 description 2
- 229920002635 polyurethane Polymers 0.000 description 2
- KIDHWZJUCRJVML-UHFFFAOYSA-N putrescine Chemical compound NCCCCN KIDHWZJUCRJVML-UHFFFAOYSA-N 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 229910052682 stishovite Inorganic materials 0.000 description 2
- 229910052712 strontium Inorganic materials 0.000 description 2
- 238000010301 surface-oxidation reaction Methods 0.000 description 2
- LXEJRKJRKIFVNY-UHFFFAOYSA-N terephthaloyl chloride Chemical compound ClC(=O)C1=CC=C(C(Cl)=O)C=C1 LXEJRKJRKIFVNY-UHFFFAOYSA-N 0.000 description 2
- 238000012876 topography Methods 0.000 description 2
- 229910052723 transition metal Inorganic materials 0.000 description 2
- 150000003624 transition metals Chemical class 0.000 description 2
- KQTIIICEAUMSDG-UHFFFAOYSA-N tricarballylic acid Chemical compound OC(=O)CC(C(O)=O)CC(O)=O KQTIIICEAUMSDG-UHFFFAOYSA-N 0.000 description 2
- 229910052905 tridymite Inorganic materials 0.000 description 2
- XFNJVJPLKCPIBV-UHFFFAOYSA-N trimethylenediamine Chemical compound NCCCN XFNJVJPLKCPIBV-UHFFFAOYSA-N 0.000 description 2
- 229910052720 vanadium Inorganic materials 0.000 description 2
- 229910001845 yogo sapphire Inorganic materials 0.000 description 2
- HFVMEOPYDLEHBR-UHFFFAOYSA-N (2-fluorophenyl)-phenylmethanol Chemical compound C=1C=CC=C(F)C=1C(O)C1=CC=CC=C1 HFVMEOPYDLEHBR-UHFFFAOYSA-N 0.000 description 1
- 125000006727 (C1-C6) alkenyl group Chemical group 0.000 description 1
- ZLYYJUJDFKGVKB-OWOJBTEDSA-N (e)-but-2-enedioyl dichloride Chemical compound ClC(=O)\C=C\C(Cl)=O ZLYYJUJDFKGVKB-OWOJBTEDSA-N 0.000 description 1
- RTBFRGCFXZNCOE-UHFFFAOYSA-N 1-methylsulfonylpiperidin-4-one Chemical compound CS(=O)(=O)N1CCC(=O)CC1 RTBFRGCFXZNCOE-UHFFFAOYSA-N 0.000 description 1
- CDAWCLOXVUBKRW-UHFFFAOYSA-N 2-aminophenol Chemical class NC1=CC=CC=C1O CDAWCLOXVUBKRW-UHFFFAOYSA-N 0.000 description 1
- VWAXWVUVEMNRNM-UHFFFAOYSA-N 4-(4-aminophenoxy)aniline Chemical compound C1=CC(N)=CC=C1OC1=CC=C(N)C=C1.C1=CC(N)=CC=C1OC1=CC=C(N)C=C1 VWAXWVUVEMNRNM-UHFFFAOYSA-N 0.000 description 1
- DPIZKMGPXNXSGL-UHFFFAOYSA-N 4-nitro-1,3-phenylenediamine Chemical compound NC1=CC=C([N+]([O-])=O)C(N)=C1 DPIZKMGPXNXSGL-UHFFFAOYSA-N 0.000 description 1
- 239000005725 8-Hydroxyquinoline Substances 0.000 description 1
- 239000005711 Benzoic acid Substances 0.000 description 1
- CNXFNNUJBYZSJH-UHFFFAOYSA-N C(CCCN=C=O)N=C=O.C(CCCN=C=O)N=C=O Chemical compound C(CCCN=C=O)N=C=O.C(CCCN=C=O)N=C=O CNXFNNUJBYZSJH-UHFFFAOYSA-N 0.000 description 1
- CKWPMAPTGURZIL-UHFFFAOYSA-N C1(=CC=C(C=C1)N=C=O)N=C=O.C1(=CC=C(C=C1)N=C=O)N=C=O Chemical compound C1(=CC=C(C=C1)N=C=O)N=C=O.C1(=CC=C(C=C1)N=C=O)N=C=O CKWPMAPTGURZIL-UHFFFAOYSA-N 0.000 description 1
- NRZOTLMEXJNSES-UHFFFAOYSA-N CC1(C=CC=C1)C(OC[Zr+3])C1(C=CC=C1)C.CC1(C=CC=C1)[Zr+](COC)C1(C=CC=C1)C Chemical group CC1(C=CC=C1)C(OC[Zr+3])C1(C=CC=C1)C.CC1(C=CC=C1)[Zr+](COC)C1(C=CC=C1)C NRZOTLMEXJNSES-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 239000005046 Chlorosilane Substances 0.000 description 1
- 229910002451 CoOx Inorganic materials 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- QIGBRXMKCJKVMJ-UHFFFAOYSA-N Hydroquinone Chemical compound OC1=CC=C(O)C=C1 QIGBRXMKCJKVMJ-UHFFFAOYSA-N 0.000 description 1
- 229910015711 MoOx Inorganic materials 0.000 description 1
- 229910005855 NiOx Inorganic materials 0.000 description 1
- 239000005700 Putrescine Substances 0.000 description 1
- 229910019897 RuOx Inorganic materials 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- 229940124639 Selective inhibitor Drugs 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- KDYFGRWQOYBRFD-UHFFFAOYSA-N Succinic acid Natural products OC(=O)CCC(O)=O KDYFGRWQOYBRFD-UHFFFAOYSA-N 0.000 description 1
- UCKMPCXJQFINFW-UHFFFAOYSA-N Sulphide Chemical compound [S-2] UCKMPCXJQFINFW-UHFFFAOYSA-N 0.000 description 1
- 229910003087 TiOx Inorganic materials 0.000 description 1
- XSTXAVWGXDQKEL-UHFFFAOYSA-N Trichloroethylene Chemical compound ClC=C(Cl)Cl XSTXAVWGXDQKEL-UHFFFAOYSA-N 0.000 description 1
- 238000010306 acid treatment Methods 0.000 description 1
- 150000004703 alkoxides Chemical class 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 150000008064 anhydrides Chemical class 0.000 description 1
- JFCQEDHGNNZCLN-UHFFFAOYSA-N anhydrous glutaric acid Natural products OC(=O)CCCC(O)=O JFCQEDHGNNZCLN-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 235000010233 benzoic acid Nutrition 0.000 description 1
- QPKOBORKPHRBPS-UHFFFAOYSA-N bis(2-hydroxyethyl) terephthalate Chemical compound OCCOC(=O)C1=CC=C(C(=O)OCCO)C=C1 QPKOBORKPHRBPS-UHFFFAOYSA-N 0.000 description 1
- 239000002981 blocking agent Substances 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910052795 boron group element Inorganic materials 0.000 description 1
- GHWVXCQZPNWFRO-UHFFFAOYSA-N butane-2,3-diamine Chemical compound CC(N)C(C)N GHWVXCQZPNWFRO-UHFFFAOYSA-N 0.000 description 1
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 1
- 238000005234 chemical deposition Methods 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 125000000753 cycloalkyl group Chemical group 0.000 description 1
- CHVJITGCYZJHLR-UHFFFAOYSA-N cyclohepta-1,3,5-triene Chemical compound C1C=CC=CC=C1 CHVJITGCYZJHLR-UHFFFAOYSA-N 0.000 description 1
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 1
- 230000009849 deactivation Effects 0.000 description 1
- YQLZOAVZWJBZSY-UHFFFAOYSA-N decane-1,10-diamine Chemical compound NCCCCCCCCCCN YQLZOAVZWJBZSY-UHFFFAOYSA-N 0.000 description 1
- WMPOZLHMGVKUEJ-UHFFFAOYSA-N decanedioyl dichloride Chemical compound ClC(=O)CCCCCCCCC(Cl)=O WMPOZLHMGVKUEJ-UHFFFAOYSA-N 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 239000000539 dimer Substances 0.000 description 1
- 150000002009 diols Chemical class 0.000 description 1
- 238000004070 electrodeposition Methods 0.000 description 1
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 229960004337 hydroquinone Drugs 0.000 description 1
- 230000005764 inhibitory process Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- QQVIHTHCMHWDBS-UHFFFAOYSA-N isophthalic acid Chemical compound OC(=O)C1=CC=CC(C(O)=O)=C1 QQVIHTHCMHWDBS-UHFFFAOYSA-N 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 230000014759 maintenance of location Effects 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 150000002831 nitrogen free-radicals Chemical class 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 125000001402 nonanoyl group Chemical group O=C([*])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 125000002801 octanoyl group Chemical group C(CCCCCCC)(=O)* 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 150000002927 oxygen compounds Chemical class 0.000 description 1
- 229960003540 oxyquinoline Drugs 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- QCDYQQDYXPDABM-UHFFFAOYSA-N phloroglucinol Chemical compound OC1=CC(O)=CC(O)=C1 QCDYQQDYXPDABM-UHFFFAOYSA-N 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229920000728 polyester Polymers 0.000 description 1
- 238000007781 pre-processing Methods 0.000 description 1
- SXYFKXOFMCIXQW-UHFFFAOYSA-N propanedioyl dichloride Chemical compound ClC(=O)CC(Cl)=O SXYFKXOFMCIXQW-UHFFFAOYSA-N 0.000 description 1
- AOHJOMMDDJHIJH-UHFFFAOYSA-N propylenediamine Chemical compound CC(N)CN AOHJOMMDDJHIJH-UHFFFAOYSA-N 0.000 description 1
- MCJGNVYPOGVAJF-UHFFFAOYSA-N quinolin-8-ol Chemical compound C1=CN=C2C(O)=CC=CC2=C1 MCJGNVYPOGVAJF-UHFFFAOYSA-N 0.000 description 1
- 229910052761 rare earth metal Inorganic materials 0.000 description 1
- 150000002910 rare earth metals Chemical class 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 238000009738 saturating Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- KUCOHFSKRZZVRO-UHFFFAOYSA-N terephthalaldehyde Chemical compound O=CC1=CC=C(C=O)C=C1 KUCOHFSKRZZVRO-UHFFFAOYSA-N 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- 150000003628 tricarboxylic acids Chemical class 0.000 description 1
- MFISPHKHJHQREG-UHFFFAOYSA-N trichloro(oct-7-enyl)silane Chemical compound Cl[Si](Cl)(Cl)CCCCCCC=C MFISPHKHJHQREG-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- 239000013638 trimer Substances 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
- 229910052725 zinc Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02178—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02118—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02189—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02312—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
- H01L21/02315—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02656—Special treatments
- H01L21/02664—Aftertreatments
- H01L21/02667—Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
- H01L21/02669—Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation inhibiting elements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/32—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
- H01L21/67213—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02422—Non-crystalline insulating materials, e.g. glass, polymers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02425—Conductive materials, e.g. metallic silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02565—Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
- H01L21/02639—Preparation of substrate for selective deposition
- H01L21/02642—Mask materials other than SiO2 or SiN
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Crystallography & Structural Chemistry (AREA)
- Plasma & Fusion (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Description
Claims (30)
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862740124P | 2018-10-02 | 2018-10-02 | |
US62/740,124 | 2018-10-02 | ||
US201962805471P | 2019-02-14 | 2019-02-14 | |
US62/805,471 | 2019-02-14 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN110993482A true CN110993482A (zh) | 2020-04-10 |
Family
ID=69946453
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201910938338.4A Pending CN110993482A (zh) | 2018-10-02 | 2019-09-30 | 选择性钝化和选择性沉积 |
Country Status (5)
Country | Link |
---|---|
US (3) | US11145506B2 (zh) |
JP (1) | JP2020056104A (zh) |
KR (1) | KR20200038425A (zh) |
CN (1) | CN110993482A (zh) |
TW (1) | TWI842748B (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20210301391A1 (en) * | 2020-03-30 | 2021-09-30 | Asm Ip Holding B.V. | Simultaneous selective deposition of two different materials on two different surfaces |
CN115003854A (zh) * | 2020-04-14 | 2022-09-02 | 应用材料公司 | 金属膜的沉积 |
CN116351662A (zh) * | 2023-03-31 | 2023-06-30 | 浙江大学 | 一种聚合物薄膜区域选择性沉积的方法 |
Families Citing this family (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI686499B (zh) | 2014-02-04 | 2020-03-01 | 荷蘭商Asm Ip控股公司 | 金屬、金屬氧化物與介電質的選擇性沉積 |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US11081342B2 (en) | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
JP7169072B2 (ja) * | 2017-02-14 | 2022-11-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US11501965B2 (en) | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
JP7183187B2 (ja) | 2017-05-16 | 2022-12-05 | エーエスエム アイピー ホールディング ビー.ブイ. | 誘電体上の酸化物の選択的peald |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
WO2020106649A1 (en) | 2018-11-19 | 2020-05-28 | Lam Research Corporation | Molybdenum templates for tungsten |
WO2020159882A1 (en) | 2019-01-28 | 2020-08-06 | Lam Research Corporation | Deposition of metal films |
WO2020185618A1 (en) | 2019-03-11 | 2020-09-17 | Lam Research Corporation | Precursors for deposition of molybdenum-containing films |
US11965238B2 (en) | 2019-04-12 | 2024-04-23 | Asm Ip Holding B.V. | Selective deposition of metal oxides on metal surfaces |
US11139163B2 (en) | 2019-10-31 | 2021-10-05 | Asm Ip Holding B.V. | Selective deposition of SiOC thin films |
KR20220157488A (ko) * | 2020-03-26 | 2022-11-29 | 어플라이드 머티어리얼스, 인코포레이티드 | 붕소 및 탄소 막들의 촉매 형성 |
TW202140833A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 相對於金屬表面在介電表面上之氧化矽的選擇性沉積 |
TW202140832A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽在金屬表面上之選擇性沉積 |
US11542597B2 (en) * | 2020-04-08 | 2023-01-03 | Applied Materials, Inc. | Selective deposition of metal oxide by pulsed chemical vapor deposition |
WO2021257392A1 (en) * | 2020-06-17 | 2021-12-23 | Tokyo Electron Limited | Method for area selective deposition using a surface cleaning process |
JP2022033558A (ja) * | 2020-08-17 | 2022-03-02 | 東京エレクトロン株式会社 | 成膜方法および成膜システム |
US20220064784A1 (en) * | 2020-09-03 | 2022-03-03 | Applied Materials, Inc. | Methods of selective deposition |
KR102406174B1 (ko) | 2020-09-08 | 2022-06-08 | 주식회사 이지티엠 | 선택성 부여제를 이용한 영역 선택적 박막 형성 방법 |
JP2022050198A (ja) * | 2020-09-17 | 2022-03-30 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US20240014036A1 (en) * | 2020-12-01 | 2024-01-11 | Versum Materials Us, Llc | Selective plasma enhanced atomic layer deposition |
KR20220081907A (ko) * | 2020-12-09 | 2022-06-16 | 에이에스엠 아이피 홀딩 비.브이. | 억제제를 사용한 위치 선택적 기상 증착 |
KR20220097266A (ko) * | 2020-12-30 | 2022-07-07 | 에이에스엠 아이피 홀딩 비.브이. | 재료 증착을 위한 조성물, 합성 방법 및 용도 |
US20220238323A1 (en) * | 2021-01-28 | 2022-07-28 | Tokyo Electron Limited | Method for selective deposition of dielectric on dielectric |
JP2022137698A (ja) * | 2021-03-09 | 2022-09-22 | 東京エレクトロン株式会社 | 成膜方法および成膜システム |
JP7339975B2 (ja) * | 2021-03-18 | 2023-09-06 | 株式会社Kokusai Electric | 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム |
JP7305700B2 (ja) * | 2021-04-19 | 2023-07-10 | 株式会社Kokusai Electric | 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム |
CN117121172A (zh) * | 2021-06-18 | 2023-11-24 | 株式会社国际电气 | 半导体器件的制造方法、衬底处理方法、衬底处理装置、及程序 |
EP4448831A1 (en) * | 2021-12-16 | 2024-10-23 | Lam Research Corporation | Deposition of metals in recessed features with the use of halogen-containing deposition inhibitors |
JP2024047456A (ja) * | 2022-09-26 | 2024-04-05 | 株式会社Kokusai Electric | 基板処理方法、半導体装置の製造方法、基板処理システム、およびプログラム |
US20240213093A1 (en) * | 2022-12-22 | 2024-06-27 | Tokyo Electron Limited | Catalyst-enhanced chemical vapor deposition |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130196502A1 (en) * | 2011-12-09 | 2013-08-01 | ASM International. N.V. | Selective formation of metallic films on metallic surfaces |
US20150217330A1 (en) * | 2014-02-04 | 2015-08-06 | Asm Ip Holding B.V. | Selective deposition of metals, metal oxides, and dielectrics |
CN104968724A (zh) * | 2013-01-31 | 2015-10-07 | 大日本印刷株式会社 | 电子束固化性树脂组合物、反射器用树脂框架、反射器、半导体发光装置、及成形体的制造方法 |
CN105556644A (zh) * | 2013-09-27 | 2016-05-04 | 英特尔公司 | 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层 |
Family Cites Families (279)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4804640A (en) | 1985-08-27 | 1989-02-14 | General Electric Company | Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film |
US4948755A (en) | 1987-10-08 | 1990-08-14 | Standard Microsystems Corporation | Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition |
US4863879A (en) | 1987-12-16 | 1989-09-05 | Ford Microelectronics, Inc. | Method of manufacturing self-aligned GaAs MESFET |
JPH0485024A (ja) | 1990-07-30 | 1992-03-18 | Mitsubishi Gas Chem Co Inc | 銅張積層板の製造法 |
DE4115872A1 (de) | 1991-05-15 | 1992-11-19 | Basf Ag | Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern |
JP3048749B2 (ja) | 1992-04-28 | 2000-06-05 | キヤノン株式会社 | 薄膜形成方法 |
US5447887A (en) | 1994-04-01 | 1995-09-05 | Motorola, Inc. | Method for capping copper in semiconductor devices |
US6251758B1 (en) | 1994-11-14 | 2001-06-26 | Applied Materials, Inc. | Construction of a film on a semiconductor wafer |
US5633036A (en) | 1995-04-21 | 1997-05-27 | The Board Of Trustees Of The University Of Illinois | Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions |
US5925494A (en) | 1996-02-16 | 1999-07-20 | Massachusetts Institute Of Technology | Vapor deposition of polymer films for photolithography |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5939334A (en) | 1997-05-22 | 1999-08-17 | Sharp Laboratories Of America, Inc. | System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides |
US5869135A (en) | 1997-10-03 | 1999-02-09 | Massachusetts Institute Of Technology | Selective chemical vapor deposition of polymers |
FI104383B (fi) | 1997-12-09 | 2000-01-14 | Fortum Oil & Gas Oy | Menetelmä laitteistojen sisäpintojen päällystämiseksi |
US20060219157A1 (en) | 2001-06-28 | 2006-10-05 | Antti Rahtu | Oxide films containing titanium |
US6958174B1 (en) | 1999-03-15 | 2005-10-25 | Regents Of The University Of Colorado | Solid material comprising a thin metal film on its surface and methods for producing the same |
KR20010001072A (ko) | 1999-06-01 | 2001-01-05 | 부원영 | 네트웍을 이용한 온라인 축구 게임 및 그 방법 |
US6046108A (en) | 1999-06-25 | 2000-04-04 | Taiwan Semiconductor Manufacturing Company | Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby |
KR20010010172A (ko) | 1999-07-16 | 2001-02-05 | 윤종용 | 베리어 메탈막을 캐핑막으로 구비하는 도전패턴 형성방법 |
WO2001012731A1 (en) | 1999-08-19 | 2001-02-22 | Ppg Industries Ohio, Inc. | Hydrophobic particulate inorganic oxides and polymeric compositions containing same |
US7015271B2 (en) | 1999-08-19 | 2006-03-21 | Ppg Industries Ohio, Inc. | Hydrophobic particulate inorganic oxides and polymeric compositions containing same |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
JP4382219B2 (ja) | 1999-10-29 | 2009-12-09 | 日本電気株式会社 | 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法 |
US6319635B1 (en) | 1999-12-06 | 2001-11-20 | The Regents Of The University Of California | Mitigation of substrate defects in reticles using multilayer buffer layers |
US6426015B1 (en) | 1999-12-14 | 2002-07-30 | Applied Materials, Inc. | Method of reducing undesired etching of insulation due to elevated boron concentrations |
US6503330B1 (en) | 1999-12-22 | 2003-01-07 | Genus, Inc. | Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition |
US6455425B1 (en) | 2000-01-18 | 2002-09-24 | Advanced Micro Devices, Inc. | Selective deposition process for passivating top interface of damascene-type Cu interconnect lines |
JP4703810B2 (ja) | 2000-03-07 | 2011-06-15 | 東京エレクトロン株式会社 | Cvd成膜方法 |
FI117979B (fi) | 2000-04-14 | 2007-05-15 | Asm Int | Menetelmä oksidiohutkalvojen valmistamiseksi |
US6679951B2 (en) | 2000-05-15 | 2004-01-20 | Asm Intenational N.V. | Metal anneal with oxidation prevention |
TW508658B (en) | 2000-05-15 | 2002-11-01 | Asm Microchemistry Oy | Process for producing integrated circuits |
US6759325B2 (en) | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
US6878628B2 (en) | 2000-05-15 | 2005-04-12 | Asm International Nv | In situ reduction of copper oxide prior to silicon carbide deposition |
US7494927B2 (en) | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
KR100719177B1 (ko) | 2000-07-31 | 2007-05-17 | 주식회사 하이닉스반도체 | 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법 |
US7294563B2 (en) | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US7030551B2 (en) | 2000-08-10 | 2006-04-18 | Semiconductor Energy Laboratory Co., Ltd. | Area sensor and display apparatus provided with an area sensor |
JP4095763B2 (ja) | 2000-09-06 | 2008-06-04 | 株式会社ルネサステクノロジ | 半導体装置及びその製造方法 |
US6455414B1 (en) | 2000-11-28 | 2002-09-24 | Tokyo Electron Limited | Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers |
WO2002045167A2 (en) | 2000-11-30 | 2002-06-06 | Asm International N.V. | Thin films for magnetic devices |
US6949450B2 (en) | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US7192827B2 (en) | 2001-01-05 | 2007-03-20 | Micron Technology, Inc. | Methods of forming capacitor structures |
US6613656B2 (en) | 2001-02-13 | 2003-09-02 | Micron Technology, Inc. | Sequential pulse deposition |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
JP4921652B2 (ja) | 2001-08-03 | 2012-04-25 | エイエスエム インターナショナル エヌ.ヴェー. | イットリウム酸化物およびランタン酸化物薄膜を堆積する方法 |
JP2003109941A (ja) | 2001-09-28 | 2003-04-11 | Canon Inc | プラズマ処理装置および表面処理方法 |
KR20030027392A (ko) | 2001-09-28 | 2003-04-07 | 삼성전자주식회사 | 티타늄 실리사이드 박막 형성방법 |
TW508648B (en) | 2001-12-11 | 2002-11-01 | United Microelectronics Corp | Method of reducing the chamber particle level |
US6809026B2 (en) | 2001-12-21 | 2004-10-26 | Applied Materials, Inc. | Selective deposition of a barrier layer on a metal film |
US20030192090P1 (en) | 2002-04-03 | 2003-10-09 | Meilland Alain A. | Hybrid tea rose plant named 'Meibderos' |
US6586330B1 (en) | 2002-05-07 | 2003-07-01 | Tokyo Electron Limited | Method for depositing conformal nitrified tantalum silicide films by thermal CVD |
US7041609B2 (en) | 2002-08-28 | 2006-05-09 | Micron Technology, Inc. | Systems and methods for forming metal oxides using alcohols |
KR100459724B1 (ko) | 2002-09-11 | 2004-12-03 | 삼성전자주식회사 | 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법 |
US6982230B2 (en) | 2002-11-08 | 2006-01-03 | International Business Machines Corporation | Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures |
EP2182088B1 (en) | 2002-11-15 | 2013-07-17 | President and Fellows of Harvard College | Atomic layer deposition using metal amidinates |
US7553686B2 (en) | 2002-12-17 | 2009-06-30 | The Regents Of The University Of Colorado, A Body Corporate | Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices |
KR20040056026A (ko) | 2002-12-23 | 2004-06-30 | 주식회사 하이닉스반도체 | 구리 배선의 캐핑층 형성 방법 |
US6802945B2 (en) | 2003-01-06 | 2004-10-12 | Megic Corporation | Method of metal sputtering for integrated circuit metal routing |
US7115528B2 (en) | 2003-04-29 | 2006-10-03 | Micron Technology, Inc. | Systems and method for forming silicon oxide layers |
US7914847B2 (en) | 2003-05-09 | 2011-03-29 | Asm America, Inc. | Reactor surface passivation through chemical deactivation |
EP1623454A2 (en) | 2003-05-09 | 2006-02-08 | ASM America, Inc. | Reactor surface passivation through chemical deactivation |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
US6811448B1 (en) | 2003-07-15 | 2004-11-02 | Advanced Micro Devices, Inc. | Pre-cleaning for silicidation in an SMOS process |
US7067407B2 (en) | 2003-08-04 | 2006-06-27 | Asm International, N.V. | Method of growing electrical conductors |
US7323411B1 (en) | 2003-09-26 | 2008-01-29 | Cypress Semiconductor Corporation | Method of selective tungsten deposition on a silicon surface |
US7375033B2 (en) | 2003-11-14 | 2008-05-20 | Micron Technology, Inc. | Multi-layer interconnect with isolation layer |
US7207096B2 (en) | 2004-01-22 | 2007-04-24 | International Business Machines Corporation | Method of manufacturing high performance copper inductors with bond pads |
US7405143B2 (en) | 2004-03-25 | 2008-07-29 | Asm International N.V. | Method for fabricating a seed layer |
US7309395B2 (en) | 2004-03-31 | 2007-12-18 | Dielectric Systems, Inc. | System for forming composite polymer dielectric film |
KR20050103811A (ko) | 2004-04-27 | 2005-11-01 | 삼성에스디아이 주식회사 | 플라즈마 증착 공정에 의해 형성된 박막트랜지스터 |
TW200539321A (en) | 2004-05-28 | 2005-12-01 | Applied Materials Inc | Method for improving high density plasmachemical vapor deposition process |
US20060019493A1 (en) | 2004-07-15 | 2006-01-26 | Li Wei M | Methods of metallization for microelectronic devices utilizing metal oxide |
TW200619222A (en) | 2004-09-02 | 2006-06-16 | Rohm & Haas Elect Mat | Method for making organometallic compounds |
US8882914B2 (en) | 2004-09-17 | 2014-11-11 | Intermolecular, Inc. | Processing substrates using site-isolated processing |
US7476618B2 (en) | 2004-10-26 | 2009-01-13 | Asm Japan K.K. | Selective formation of metal layers in an integrated circuit |
WO2006055984A2 (en) | 2004-11-22 | 2006-05-26 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
US7276433B2 (en) * | 2004-12-03 | 2007-10-02 | Micron Technology, Inc. | Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors |
US7160583B2 (en) | 2004-12-03 | 2007-01-09 | 3M Innovative Properties Company | Microfabrication using patterned topography and self-assembled monolayers |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
JP4258515B2 (ja) | 2005-02-04 | 2009-04-30 | パナソニック株式会社 | 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置 |
US20060199399A1 (en) | 2005-02-22 | 2006-09-07 | Muscat Anthony J | Surface manipulation and selective deposition processes using adsorbed halogen atoms |
US8025922B2 (en) | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
US7666773B2 (en) | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
US7488967B2 (en) | 2005-04-06 | 2009-02-10 | International Business Machines Corporation | Structure for confining the switching current in phase memory (PCM) cells |
US7425350B2 (en) | 2005-04-29 | 2008-09-16 | Asm Japan K.K. | Apparatus, precursors and deposition methods for silicon-containing materials |
US7084060B1 (en) | 2005-05-04 | 2006-08-01 | International Business Machines Corporation | Forming capping layer over metal wire structure using selective atomic layer deposition |
US7402519B2 (en) | 2005-06-03 | 2008-07-22 | Intel Corporation | Interconnects having sealing structures to enable selective metal capping layers |
KR100695876B1 (ko) | 2005-06-24 | 2007-03-19 | 삼성전자주식회사 | 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법. |
US20070014919A1 (en) | 2005-07-15 | 2007-01-18 | Jani Hamalainen | Atomic layer deposition of noble metal oxides |
US8771804B2 (en) | 2005-08-31 | 2014-07-08 | Lam Research Corporation | Processes and systems for engineering a copper surface for selective metal deposition |
US20070099422A1 (en) | 2005-10-28 | 2007-05-03 | Kapila Wijekoon | Process for electroless copper deposition |
GB2432363B (en) | 2005-11-16 | 2010-06-23 | Epichem Ltd | Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition |
WO2007064376A2 (en) | 2005-11-28 | 2007-06-07 | Honeywell International Inc. | Organometallic precursors and related intermediates for deposition processes, their production and methods of use |
US7595271B2 (en) | 2005-12-01 | 2009-09-29 | Asm America, Inc. | Polymer coating for vapor deposition tool |
US7695567B2 (en) | 2006-02-10 | 2010-04-13 | Applied Materials, Inc. | Water vapor passivation of a wall facing a plasma |
US8187678B2 (en) | 2006-02-13 | 2012-05-29 | Stc.Unm | Ultra-thin microporous/hybrid materials |
US7601651B2 (en) | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
TW200746268A (en) | 2006-04-11 | 2007-12-16 | Applied Materials Inc | Process for forming cobalt-containing materials |
JP5032145B2 (ja) | 2006-04-14 | 2012-09-26 | 株式会社東芝 | 半導体装置 |
FR2900276B1 (fr) | 2006-04-25 | 2008-09-12 | St Microelectronics Sa | Depot peald d'un materiau a base de silicium |
WO2007140813A1 (en) | 2006-06-02 | 2007-12-13 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
US9245739B2 (en) | 2006-11-01 | 2016-01-26 | Lam Research Corporation | Low-K oxide deposition by hydrolysis and condensation |
US7611751B2 (en) | 2006-11-01 | 2009-11-03 | Asm America, Inc. | Vapor deposition of metal carbide films |
US7790631B2 (en) | 2006-11-21 | 2010-09-07 | Intel Corporation | Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal |
JP4881262B2 (ja) | 2006-11-28 | 2012-02-22 | 株式会社荏原製作所 | 基板の表面処理方法 |
US8205625B2 (en) | 2006-11-28 | 2012-06-26 | Ebara Corporation | Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method |
DE102007004867B4 (de) | 2007-01-31 | 2009-07-30 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid |
US20080241575A1 (en) | 2007-03-28 | 2008-10-02 | Lavoie Adrein R | Selective aluminum doping of copper interconnects and structures formed thereby |
KR20150139628A (ko) | 2007-09-14 | 2015-12-11 | 시그마 알드리치 컴퍼니 엘엘씨 | 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법 |
JP2009076590A (ja) | 2007-09-19 | 2009-04-09 | Hitachi Kokusai Electric Inc | クリーニング方法 |
CN101883877A (zh) | 2007-11-06 | 2010-11-10 | Hcf合伙人股份两合公司 | 原子层沉积法 |
KR100920033B1 (ko) | 2007-12-10 | 2009-10-07 | (주)피앤테크 | 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법 |
US9217200B2 (en) | 2007-12-21 | 2015-12-22 | Asm International N.V. | Modification of nanoimprint lithography templates by atomic layer deposition |
JP5198106B2 (ja) | 2008-03-25 | 2013-05-15 | 東京エレクトロン株式会社 | 成膜装置、及び成膜方法 |
JP2009256796A (ja) * | 2008-03-27 | 2009-11-05 | Horiba Ltd | 単分子膜形成装置及び方法 |
US20090269507A1 (en) | 2008-04-29 | 2009-10-29 | Sang-Ho Yu | Selective cobalt deposition on copper surfaces |
US7993950B2 (en) | 2008-04-30 | 2011-08-09 | Cavendish Kinetics, Ltd. | System and method of encapsulation |
US8114301B2 (en) | 2008-05-02 | 2012-02-14 | Micron Technology, Inc. | Graphoepitaxial self-assembly of arrays of downward facing half-cylinders |
TWI390756B (zh) | 2008-07-16 | 2013-03-21 | Applied Materials Inc | 使用摻質層遮罩之混合異接面太陽能電池製造 |
EP2324509A2 (en) | 2008-08-27 | 2011-05-25 | Applied Materials, Inc. | Back contact solar cells using printed dielectric barrier |
US8425739B1 (en) | 2008-09-30 | 2013-04-23 | Stion Corporation | In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials |
KR20110084275A (ko) | 2008-10-27 | 2011-07-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 삼원 화합물의 기상 증착 방법 |
WO2010065505A2 (en) | 2008-12-01 | 2010-06-10 | E. I. Du Pont De Nemours And Company | Anode for an organic electronic device |
US20100147396A1 (en) | 2008-12-15 | 2010-06-17 | Asm Japan K.K. | Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
US7927942B2 (en) | 2008-12-19 | 2011-04-19 | Asm International N.V. | Selective silicide process |
KR101556238B1 (ko) | 2009-02-17 | 2015-10-01 | 삼성전자주식회사 | 매립형 배선라인을 갖는 반도체 소자의 제조방법 |
US8242019B2 (en) | 2009-03-31 | 2012-08-14 | Tokyo Electron Limited | Selective deposition of metal-containing cap layers for semiconductor devices |
GB0906105D0 (en) | 2009-04-08 | 2009-05-20 | Ulive Entpr Ltd | Mixed metal oxides |
US8071452B2 (en) | 2009-04-27 | 2011-12-06 | Asm America, Inc. | Atomic layer deposition of hafnium lanthanum oxides |
US20100314765A1 (en) | 2009-06-16 | 2010-12-16 | Liang Wen-Ping | Interconnection structure of semiconductor integrated circuit and method for making the same |
JP2011018742A (ja) | 2009-07-08 | 2011-01-27 | Renesas Electronics Corp | 半導体装置の製造方法 |
JP5359642B2 (ja) | 2009-07-22 | 2013-12-04 | 東京エレクトロン株式会社 | 成膜方法 |
JP2013501139A (ja) | 2009-07-31 | 2013-01-10 | アクゾ ノーベル ケミカルズ インターナショナル ベスローテン フエンノートシャップ | コーティングされた基材を調製するためのプロセス、コーティングされた基材、及びその使用 |
KR101129090B1 (ko) | 2009-09-01 | 2012-04-13 | 성균관대학교산학협력단 | 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩 |
US8173554B2 (en) | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
US8318249B2 (en) | 2009-11-20 | 2012-11-27 | Eastman Kodak Company | Method for selective deposition and devices |
US8481355B2 (en) | 2009-12-15 | 2013-07-09 | Primestar Solar, Inc. | Modular system and process for continuous deposition of a thin film layer on a substrate |
US8562750B2 (en) | 2009-12-17 | 2013-10-22 | Lam Research Corporation | Method and apparatus for processing bevel edge |
JP5222864B2 (ja) | 2010-02-17 | 2013-06-26 | 株式会社ジャパンディスプレイイースト | 液晶表示装置の製造方法 |
US8293658B2 (en) | 2010-02-17 | 2012-10-23 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
JP5373669B2 (ja) | 2010-03-05 | 2013-12-18 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
US8178439B2 (en) | 2010-03-30 | 2012-05-15 | Tokyo Electron Limited | Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices |
TWI509695B (zh) | 2010-06-10 | 2015-11-21 | Asm Int | 使膜選擇性沈積於基板上的方法 |
US20110311726A1 (en) | 2010-06-18 | 2011-12-22 | Cambridge Nanotech Inc. | Method and apparatus for precursor delivery |
JP5400964B2 (ja) | 2010-07-01 | 2014-01-29 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
US8357608B2 (en) | 2010-08-09 | 2013-01-22 | International Business Machines Corporation | Multi component dielectric layer |
US9487600B2 (en) | 2010-08-17 | 2016-11-08 | Uchicago Argonne, Llc | Ordered nanoscale domains by infiltration of block copolymers |
US8945305B2 (en) | 2010-08-31 | 2015-02-03 | Micron Technology, Inc. | Methods of selectively forming a material using parylene coating |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
US8822350B2 (en) | 2010-11-19 | 2014-09-02 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus |
DE102011012515A1 (de) | 2011-02-25 | 2012-08-30 | Umicore Ag & Co. Kg | Metallkomplexe mit N-Amino-Amidinat-Liganden |
US20120219824A1 (en) | 2011-02-28 | 2012-08-30 | Uchicago Argonne Llc | Atomic layer deposition of super-conducting niobium silicide |
US8980418B2 (en) | 2011-03-24 | 2015-03-17 | Uchicago Argonne, Llc | Sequential infiltration synthesis for advanced lithography |
JP2012209393A (ja) | 2011-03-29 | 2012-10-25 | Tokyo Electron Ltd | クリーニング方法及び成膜方法 |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
US8771807B2 (en) | 2011-05-24 | 2014-07-08 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for making and using same |
WO2012167141A2 (en) | 2011-06-03 | 2012-12-06 | Novellus Systems, Inc. | Metal and silicon containing capping layers for interconnects |
KR20130007059A (ko) | 2011-06-28 | 2013-01-18 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
EP2557132B1 (en) | 2011-08-10 | 2018-03-14 | 3M Innovative Properties Company | Multilayer adhesive film, in particular for bonding optical sensors |
CN102332395B (zh) | 2011-09-23 | 2014-03-05 | 复旦大学 | 一种选择性淀积栅氧和栅电极的方法 |
US8921228B2 (en) | 2011-10-04 | 2014-12-30 | Imec | Method for selectively depositing noble metals on metal/metal nitride substrates |
JP6202798B2 (ja) | 2011-10-12 | 2017-09-27 | エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. | 酸化アンチモン膜の原子層堆積 |
TWI541377B (zh) | 2011-11-04 | 2016-07-11 | Asm國際股份有限公司 | 形成摻雜二氧化矽薄膜的方法 |
KR20130056608A (ko) | 2011-11-22 | 2013-05-30 | 에스케이하이닉스 주식회사 | 상변화 메모리 장치 및 그의 제조방법 |
US20130157409A1 (en) * | 2011-12-16 | 2013-06-20 | Kaushik Vaidya | Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices |
US8623468B2 (en) | 2012-01-05 | 2014-01-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of fabricating metal hard masks |
US9194840B2 (en) | 2012-01-19 | 2015-11-24 | Life Technologies Corporation | Sensor arrays and methods for making same |
US9238865B2 (en) | 2012-02-06 | 2016-01-19 | Asm Ip Holding B.V. | Multiple vapor sources for vapor deposition |
JP6020239B2 (ja) | 2012-04-27 | 2016-11-02 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US9005877B2 (en) | 2012-05-15 | 2015-04-14 | Tokyo Electron Limited | Method of forming patterns using block copolymers and articles thereof |
JP5966618B2 (ja) | 2012-05-28 | 2016-08-10 | 東京エレクトロン株式会社 | 成膜方法 |
JP5862459B2 (ja) | 2012-05-28 | 2016-02-16 | 東京エレクトロン株式会社 | 成膜方法 |
US20130323930A1 (en) | 2012-05-29 | 2013-12-05 | Kaushik Chattopadhyay | Selective Capping of Metal Interconnect Lines during Air Gap Formation |
US9978585B2 (en) | 2012-06-01 | 2018-05-22 | Versum Materials Us, Llc | Organoaminodisilane precursors and methods for depositing films comprising same |
US11037923B2 (en) | 2012-06-29 | 2021-06-15 | Intel Corporation | Through gate fin isolation |
JP6040609B2 (ja) | 2012-07-20 | 2016-12-07 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
EP2875166B1 (en) | 2012-07-20 | 2018-04-11 | L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Organosilane precursors for ald/cvd silicon-containing film applications |
US8890264B2 (en) | 2012-09-26 | 2014-11-18 | Intel Corporation | Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface |
US9099490B2 (en) | 2012-09-28 | 2015-08-04 | Intel Corporation | Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation |
JP2014093331A (ja) | 2012-10-31 | 2014-05-19 | Tokyo Electron Ltd | 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法 |
US9330899B2 (en) | 2012-11-01 | 2016-05-03 | Asm Ip Holding B.V. | Method of depositing thin film |
US8963135B2 (en) | 2012-11-30 | 2015-02-24 | Intel Corporation | Integrated circuits and systems and methods for producing the same |
US8993404B2 (en) | 2013-01-23 | 2015-03-31 | Intel Corporation | Metal-insulator-metal capacitor formation techniques |
US9566609B2 (en) | 2013-01-24 | 2017-02-14 | Corning Incorporated | Surface nanoreplication using polymer nanomasks |
JP5949586B2 (ja) | 2013-01-31 | 2016-07-06 | 東京エレクトロン株式会社 | 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体 |
US20140227461A1 (en) | 2013-02-14 | 2014-08-14 | Dillard University | Multiple Beam Pulsed Laser Deposition Of Composite Films |
US8980734B2 (en) | 2013-03-08 | 2015-03-17 | Freescale Semiconductor, Inc. | Gate security feature |
US10573511B2 (en) | 2013-03-13 | 2020-02-25 | Asm Ip Holding B.V. | Methods for forming silicon nitride thin films |
US9564309B2 (en) | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9147574B2 (en) | 2013-03-14 | 2015-09-29 | Tokyo Electron Limited | Topography minimization of neutral layer overcoats in directed self-assembly applications |
US9159558B2 (en) | 2013-03-15 | 2015-10-13 | International Business Machines Corporation | Methods of reducing defects in directed self-assembled structures |
US9209014B2 (en) | 2013-03-15 | 2015-12-08 | Tokyo Electron Limited | Multi-step bake apparatus and method for directed self-assembly lithography control |
US20140273290A1 (en) | 2013-03-15 | 2014-09-18 | Tokyo Electron Limited | Solvent anneal processing for directed-self assembly applications |
JP2014188656A (ja) | 2013-03-28 | 2014-10-06 | Tokyo Electron Ltd | 中空構造体の製造方法 |
US9552979B2 (en) | 2013-05-31 | 2017-01-24 | Asm Ip Holding B.V. | Cyclic aluminum nitride deposition in a batch reactor |
US9418888B2 (en) * | 2013-06-27 | 2016-08-16 | Intel Corporation | Non-lithographically patterned directed self assembly alignment promotion layers |
RU2643931C2 (ru) | 2013-06-28 | 2018-02-06 | Интел Корпорейшн | Устройства, основанные на избирательно эпитаксиально выращенных материалах iii-v групп |
JP2015012179A (ja) * | 2013-06-28 | 2015-01-19 | 住友電気工業株式会社 | 気相成長方法 |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
TW201509799A (zh) | 2013-07-19 | 2015-03-16 | Air Liquide | 用於ald/cvd含矽薄膜應用之六配位含矽前驅物 |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
JP6111171B2 (ja) | 2013-09-02 | 2017-04-05 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
NZ716773A (en) | 2013-09-20 | 2020-06-26 | Baker Hughes Inc | Composites for use in stimulation and sand control operations |
US9385033B2 (en) | 2013-09-27 | 2016-07-05 | Intel Corporation | Method of forming a metal from a cobalt metal precursor |
US9067958B2 (en) | 2013-10-14 | 2015-06-30 | Intel Corporation | Scalable and high yield synthesis of transition metal bis-diazabutadienes |
US20150118863A1 (en) | 2013-10-25 | 2015-04-30 | Lam Research Corporation | Methods and apparatus for forming flowable dielectric films having low porosity |
JP2015111563A (ja) | 2013-11-06 | 2015-06-18 | Dowaエレクトロニクス株式会社 | 銅粒子分散液およびそれを用いた導電膜の製造方法 |
TW201525173A (zh) | 2013-12-09 | 2015-07-01 | Applied Materials Inc | 選擇性層沉積之方法 |
US9236292B2 (en) | 2013-12-18 | 2016-01-12 | Intel Corporation | Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD) |
WO2015094305A1 (en) | 2013-12-19 | 2015-06-25 | Intel Corporation | Self-aligned gate edge and local interconnect and method to fabricate same |
US9455150B2 (en) | 2013-12-24 | 2016-09-27 | Intel Corporation | Conformal thin film deposition of electropositive metal alloy films |
JP2017503079A (ja) * | 2014-01-05 | 2017-01-26 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積 |
TWI624515B (zh) | 2014-02-10 | 2018-05-21 | 國立清華大學 | 無機-有機複合氧化物聚合體及其製備方法 |
JP6254459B2 (ja) | 2014-02-27 | 2017-12-27 | 東京エレクトロン株式会社 | 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法 |
US20150275355A1 (en) | 2014-03-26 | 2015-10-01 | Air Products And Chemicals, Inc. | Compositions and methods for the deposition of silicon oxide films |
KR102287787B1 (ko) | 2014-03-27 | 2021-08-10 | 인텔 코포레이션 | 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계 |
KR20160137977A (ko) | 2014-03-28 | 2016-12-02 | 인텔 코포레이션 | 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스 |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
KR20160031903A (ko) | 2014-09-15 | 2016-03-23 | 에스케이하이닉스 주식회사 | 전자 장치 및 그 제조 방법 |
EP3026055A1 (en) | 2014-11-28 | 2016-06-01 | Umicore AG & Co. KG | New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis |
US10062564B2 (en) | 2014-12-15 | 2018-08-28 | Tokyo Electron Limited | Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma |
US11021630B2 (en) | 2014-12-30 | 2021-06-01 | Rohm And Haas Electronic Materials Llc | Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same |
KR102185458B1 (ko) | 2015-02-03 | 2020-12-03 | 에이에스엠 아이피 홀딩 비.브이. | 선택적 퇴적 |
US9490145B2 (en) | 2015-02-23 | 2016-11-08 | Asm Ip Holding B.V. | Removal of surface passivation |
US9613831B2 (en) | 2015-03-25 | 2017-04-04 | Qorvo Us, Inc. | Encapsulated dies with enhanced thermal performance |
US9777025B2 (en) | 2015-03-30 | 2017-10-03 | L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude | Si-containing film forming precursors and methods of using the same |
US9805914B2 (en) | 2015-04-03 | 2017-10-31 | Applied Materials, Inc. | Methods for removing contamination from surfaces in substrate processing systems |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US9978866B2 (en) | 2015-04-22 | 2018-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and manufacturing method thereof |
KR102579784B1 (ko) | 2015-05-01 | 2023-09-15 | 어플라이드 머티어리얼스, 인코포레이티드 | 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착 |
US9646883B2 (en) | 2015-06-12 | 2017-05-09 | International Business Machines Corporation | Chemoepitaxy etch trim using a self aligned hard mask for metal line to via |
CN108064225A (zh) | 2015-06-18 | 2018-05-22 | 英特尔公司 | 用于第二或第三行过渡金属薄膜的沉积的固有地选择性前驱体 |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US10121699B2 (en) | 2015-08-05 | 2018-11-06 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10566185B2 (en) | 2015-08-05 | 2020-02-18 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US20170051405A1 (en) | 2015-08-18 | 2017-02-23 | Asm Ip Holding B.V. | Method for forming sin or sicn film in trenches by peald |
US10814349B2 (en) | 2015-10-09 | 2020-10-27 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10343186B2 (en) | 2015-10-09 | 2019-07-09 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US20170107413A1 (en) | 2015-10-19 | 2017-04-20 | Liang Wang | Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9786492B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US9349687B1 (en) | 2015-12-19 | 2016-05-24 | International Business Machines Corporation | Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect |
US10204782B2 (en) | 2016-04-18 | 2019-02-12 | Imec Vzw | Combined anneal and selective deposition process |
WO2017184357A1 (en) | 2016-04-18 | 2017-10-26 | Asm Ip Holding B.V. | Method of forming a directed self-assembled layer on a substrate |
US20170298503A1 (en) | 2016-04-18 | 2017-10-19 | Asm Ip Holding B.V. | Combined anneal and selective deposition systems |
US11326253B2 (en) * | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
US11081342B2 (en) * | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
US10171919B2 (en) | 2016-05-16 | 2019-01-01 | The Regents Of The University Of Colorado, A Body Corporate | Thermal and thermoacoustic nanodevices and methods of making and using same |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US10358715B2 (en) * | 2016-06-03 | 2019-07-23 | Applied Materials, Inc. | Integrated cluster tool for selective area deposition |
US9803277B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Reaction chamber passivation and selective deposition of metallic films |
US10014212B2 (en) | 2016-06-08 | 2018-07-03 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US9805974B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9972695B2 (en) | 2016-08-04 | 2018-05-15 | International Business Machines Corporation | Binary metal oxide based interlayer for high mobility channels |
KR102662612B1 (ko) | 2016-10-02 | 2024-05-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡 |
US10358719B2 (en) | 2016-11-23 | 2019-07-23 | Applied Materials, Inc. | Selective deposition of aluminum oxide on metal surfaces |
US10186420B2 (en) | 2016-11-29 | 2019-01-22 | Asm Ip Holding B.V. | Formation of silicon-containing thin films |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
TWI767964B (zh) * | 2016-12-16 | 2022-06-21 | 日商東京威力科創股份有限公司 | 後段介電質蝕刻用之選擇性沉積方法 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
JP7169072B2 (ja) * | 2017-02-14 | 2022-11-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US9911595B1 (en) | 2017-03-17 | 2018-03-06 | Lam Research Corporation | Selective growth of silicon nitride |
JP6832776B2 (ja) | 2017-03-30 | 2021-02-24 | 東京エレクトロン株式会社 | 選択成長方法 |
US11158500B2 (en) | 2017-05-05 | 2021-10-26 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of oxygen containing thin films |
JP7183187B2 (ja) | 2017-05-16 | 2022-12-05 | エーエスエム アイピー ホールディング ビー.ブイ. | 誘電体上の酸化物の選択的peald |
US10900120B2 (en) | 2017-07-14 | 2021-01-26 | Asm Ip Holding B.V. | Passivation against vapor deposition |
US10763108B2 (en) | 2017-08-18 | 2020-09-01 | Lam Research Corporation | Geometrically selective deposition of a dielectric film |
US10283710B2 (en) | 2017-09-05 | 2019-05-07 | Sandisk Technologies Llc | Resistive random access memory device containing replacement word lines and method of making thereof |
US10586734B2 (en) | 2017-11-20 | 2020-03-10 | Tokyo Electron Limited | Method of selective film deposition for forming fully self-aligned vias |
JP7146690B2 (ja) | 2018-05-02 | 2022-10-04 | エーエスエム アイピー ホールディング ビー.ブイ. | 堆積および除去を使用した選択的層形成 |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
-
2019
- 2019-09-26 JP JP2019175157A patent/JP2020056104A/ja active Pending
- 2019-09-30 CN CN201910938338.4A patent/CN110993482A/zh active Pending
- 2019-09-30 US US16/588,600 patent/US11145506B2/en active Active
- 2019-10-02 TW TW108135626A patent/TWI842748B/zh active
- 2019-10-02 KR KR1020190122517A patent/KR20200038425A/ko not_active Application Discontinuation
-
2021
- 2021-09-09 US US17/470,177 patent/US11830732B2/en active Active
-
2023
- 2023-10-20 US US18/491,428 patent/US20240047197A1/en active Pending
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130196502A1 (en) * | 2011-12-09 | 2013-08-01 | ASM International. N.V. | Selective formation of metallic films on metallic surfaces |
US20170069527A1 (en) * | 2011-12-09 | 2017-03-09 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
CN104968724A (zh) * | 2013-01-31 | 2015-10-07 | 大日本印刷株式会社 | 电子束固化性树脂组合物、反射器用树脂框架、反射器、半导体发光装置、及成形体的制造方法 |
CN105556644A (zh) * | 2013-09-27 | 2016-05-04 | 英特尔公司 | 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层 |
US20150217330A1 (en) * | 2014-02-04 | 2015-08-06 | Asm Ip Holding B.V. | Selective deposition of metals, metal oxides, and dielectrics |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20210301391A1 (en) * | 2020-03-30 | 2021-09-30 | Asm Ip Holding B.V. | Simultaneous selective deposition of two different materials on two different surfaces |
US11608557B2 (en) * | 2020-03-30 | 2023-03-21 | Asm Ip Holding B.V. | Simultaneous selective deposition of two different materials on two different surfaces |
CN115003854A (zh) * | 2020-04-14 | 2022-09-02 | 应用材料公司 | 金属膜的沉积 |
CN115003854B (zh) * | 2020-04-14 | 2024-07-23 | 应用材料公司 | 金属膜的沉积 |
CN116351662A (zh) * | 2023-03-31 | 2023-06-30 | 浙江大学 | 一种聚合物薄膜区域选择性沉积的方法 |
CN116351662B (zh) * | 2023-03-31 | 2024-05-17 | 浙江大学 | 一种聚合物薄膜区域选择性沉积的方法 |
Also Published As
Publication number | Publication date |
---|---|
TW202026461A (zh) | 2020-07-16 |
US11830732B2 (en) | 2023-11-28 |
US11145506B2 (en) | 2021-10-12 |
JP2020056104A (ja) | 2020-04-09 |
US20200105515A1 (en) | 2020-04-02 |
US20220208542A1 (en) | 2022-06-30 |
KR20200038425A (ko) | 2020-04-13 |
US20240047197A1 (en) | 2024-02-08 |
TWI842748B (zh) | 2024-05-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11145506B2 (en) | Selective passivation and selective deposition | |
US20210358739A1 (en) | Selective passivation and selective deposition | |
KR102513600B1 (ko) | 산화물 박막의 증착 | |
US9587307B2 (en) | Enhanced deposition of noble metals | |
KR101540077B1 (ko) | 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법 | |
US11965238B2 (en) | Selective deposition of metal oxides on metal surfaces | |
WO2001029891A1 (en) | Conformal lining layers for damascene metallization | |
US20210358745A1 (en) | Selective passivation and selective deposition | |
US20220084817A1 (en) | Silicon oxide deposition method | |
TW202334474A (zh) | 選擇性熱沉積方法 | |
KR20240049631A (ko) | 인터커넥트 구조들을 위한 금속 라이너를 형성하는 방법 | |
CN115679286A (zh) | 选择性钝化和选择性沉积 | |
US20230227965A1 (en) | Method and apparatus for forming a patterned structure on a substrate | |
US20230178371A1 (en) | Method and apparatus for hard mask deposition |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
CB03 | Change of inventor or designer information | ||
CB03 | Change of inventor or designer information |
Inventor after: J.W. Mays Inventor after: V. Bohr Inventor after: Givens Michael Inventor after: S. ho card Inventor after: V. Paruchury Inventor after: 1. Raimex Inventor after: S.Deng Inventor after: A. Illiberry Inventor after: E. Theis Inventor after: D. Langley Inventor before: J.W. Mays Inventor before: Givens Michael Inventor before: S. ho card Inventor before: V. Paruchury Inventor before: 1. Raimex Inventor before: S.Deng Inventor before: A. Illiberry Inventor before: E. Theis Inventor before: D. Langley |