CN115003854A - 金属膜的沉积 - Google Patents

金属膜的沉积 Download PDF

Info

Publication number
CN115003854A
CN115003854A CN202180009200.1A CN202180009200A CN115003854A CN 115003854 A CN115003854 A CN 115003854A CN 202180009200 A CN202180009200 A CN 202180009200A CN 115003854 A CN115003854 A CN 115003854A
Authority
CN
China
Prior art keywords
substrate
formula
organometallic precursor
metallic material
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180009200.1A
Other languages
English (en)
Inventor
尹炳勋
雷伟
柳尚澔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115003854A publication Critical patent/CN115003854A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals

Abstract

披露一种用于在表面上选择性地沉积的方法。本公开内容的一些实施方式利用实质上不含卤素且实质上不含氧的有机金属前驱物。执行沉积以相对于金属表面在非金属表面上选择性地沉积金属膜。本公开内容的一些实施方式涉及间隙填充的方法。

Description

金属膜的沉积
技术领域
本公开内容的实施方式大体涉及沉积金属膜的方法。更具体而言,本公开内容的实施方式涉及使用无氧和无卤化物的前驱物来改良沉积金属膜的方法。
背景技术
通过在基板表面上产生复杂图案化的材料层的工艺,使得集成电路成为可能。在基板上产生图案化的材料需要用于沉积期望材料的受控方法。在相对于不同表面的一个表面上选择性地沉积膜对于图案化和其他应用而言是有用的。
在集成电路的制造中,通常使用接触级金属化方案为下伏(underlying)半导体材料提供低电阻接触。通常,接触级金属化方案将阻挡层与接触级金属层结合。
随着制造商努力提高电路密度和品质,高深宽比的孔隙(包括例如使用钴、钨或铜的触点、过孔(via)、接线和用于形成多层级互连的其他特征)的尺寸不断减小。当制造金属接触结构时,在下伏半导体材料(例如,多晶硅)与接触级金属层(例如,钨(W)、铝(Al)或铜(Cu))之间形成阻挡层(例如,氮化钛(TiN)或氮化钒(VN))。阻挡层抑制钨、铝或铜扩散至下伏半导体材料中。此种钨、铝或铜扩散为非所期望的,因为其有可能改变接触的特性。
随着集成电路的电路密度增大,过孔、接线和触点的宽度可减小至亚微米尺寸(例如,小于约0.2微米),而这些结构之间的介电材料层的厚度通常保持相对恒定。这增大了这些特征的深宽比。许多传统沉积工艺(例如,化学气相沉积(chemical vapor deposition;CVD)和物理气相沉积(physical vapor deposition;PVD))不适用于填充其中深宽比超过6∶1且尤其是其中深宽比超过10∶1的亚微米结构。
因此,需要在高深宽比的开口中沉积金属膜(例如,氮化钛(TiN)膜)的方法。
发明内容
本公开内容的一个或更多个实施方式涉及处理方法。所述处理方法包括在沉积工艺期间在处理腔室内相对于基板的第二表面选择性地在所述基板的第一表面上沉积金属膜,所述第二表面是与所述第一表面不同的材料,所述沉积工艺包括使有机金属前驱物和还原共反应物前驱物共流至处理腔室中,所述有机金属前驱物实质上不含卤素且实质上不含氧。
本公开内容的额外实施方式涉及选择性沉积的方法。在一个或更多个实施方式中,一种选择性沉积的方法,所述方法包括:将包括具有第一表面的金属材料和具有第二表面的非金属材料的基板暴露于阻挡化合物,以相对于所述第二表面在所述第一表面上选择性地形成阻挡层;和按顺序将基板暴露于有机金属前驱物和反应物以相对于第一表面上的阻挡层在第二表面上形成膜,所述有机金属前驱物实质上不含卤素且实质上不含氧;和从第一表面移除阻挡层。
本公开内容的另外实施方式涉及形成金属过孔的方法。在一个或更多个实施方式中,一种形成金属过孔的方法包括:提供具有基板表面的基板,所述基板表面具有形成于其中的至少一个特征,所述至少一个特征具有侧壁和底部,所述侧壁包括非金属材料表面,所述底部包括金属材料表面;将基板暴露于阻挡化合物,以相对于非金属材料表面在金属材料表面上选择性地形成阻挡层;按顺序将基板暴露于有机金属前驱物和反应物以相对于金属材料表面上的阻挡层在非金属材料表面上形成膜,所述有机金属前驱物实质上不含卤素且实质上不含氧;视情况从金属材料表面移除阻挡层;和在所述至少一个特征内沉积导电填充材料以形成低电阻金属过孔。
附图说明
因此,可详细地理解本公开内容的上述特征的方式,可通过参照实施方式来获得以上简要概述的本公开内容的更具体描述,一些实施方式在附图中绘示。然而,应注意,附图仅绘示本公开内容的典型实施方式,且因此不应将其视为对本公开内容的范围的限制,因为本公开内容可允许其他同等有效的实施方式。
图1A绘示根据本公开内容的一个或更多个实施方式的基板的局部截面图;
图1B绘示根据本公开内容的一个或更多个实施方式的基板的局部截面图;
图1C绘示根据本公开内容的一个或更多个实施方式的基板的局部截面图;
图1D绘示根据本公开内容的一个或更多个实施方式的基板的局部截面图;
图2A绘示根据本公开内容的一个或更多个实施方式的基板的局部截面图;
图2B绘示根据本公开内容的一个或更多个实施方式的基板的局部截面图;
图2C绘示根据本公开内容的一个或更多个实施方式的基板的局部截面图;
图2D绘示根据本公开内容的一个或更多个实施方式的基板的局部截面图;
图2E绘示根据本公开内容的一个或更多个实施方式的基板的局部截面图;
图3A绘示根据本公开内容的一个或更多个实施方式的基板的局部截面图;
图3B绘示根据本公开内容的一个或更多个实施方式的基板的局部截面图;
图3C绘示根据本公开内容的一个或更多个实施方式的基板的局部截面图;
图4描绘根据本公开内容的一个或更多个实施方式的工艺流程图;
图5描绘根据本公开内容的一个或更多个实施方式的工艺流程图;
图6绘示根据本公开内容的一个或更多个实施方式的处理平台的示意图。
具体实施方式
在描述本公开内容的若干例示性实施方式之前,应理解,本公开内容并不限于以下描述中所阐述的构造或工艺步骤的细节。本公开内容能够有其他实施方式并能够以各种方式来实践或执行。
如在本说明书和随附权利要求书中所使用的,术语“基板”代表工艺在其上起作用的表面、或表面的一部分。本领域技术人员亦应理解,对基板的引用亦可仅代表基板的一部分,除非上下文中另外明确指出。另外,对在基板上沉积的引用可以指裸基板以及具有沉积或形成于其上的一个或更多个膜或特征的基板。
如在本说明书和随附权利要求书中所使用的,可互换地使用术语“基板”和“晶片”,均代表工艺在其上起作用的表面、或表面的一部分。本领域技术人员亦应理解,对基板的引用亦可仅代表基板的一部分,除非上下文中另外明确指出。另外,对在基板上沉积的引用可以指裸基板以及具有沉积或形成于其上的一个或更多个膜或特征的基板。
如本文中所使用的,“基板”代表在制造工艺期间在其上执行膜处理的任何基板或形成在基板上的材料表面。举例而言,取决于应用,可在其上执行处理的基板表面包括诸如以下各者的材料:硅、氧化硅、应变硅、绝缘体上硅(silicon on insulator;SOI)、碳掺杂的氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石,以及任何其他材料,诸如金属、金属氮化物、金属合金和其他导电材料。基板包括但不限于半导体晶片。可将基板暴露于预处理工艺,以抛光、蚀刻、还原、氧化、羟基化、退火、UV固化、电子束固化和/或烘烤基板表面。除了直接在基板表面自身上进行膜处理以外,在本公开内容中,亦可在形成于基板上的底层(如以下更详细地披露)上执行所披露的膜处理步骤中的任一者,且术语“基板表面”意欲包括这些底层,如上下文中所指示的。因此,例如,在膜/层或部分膜/层已沉积至基板表面上的情况下,最新沉积的膜/层的已暴露表面变成基板表面。
如在本说明书和随附权利要求书中所使用的,可互换地使用术语“反应气体”、“前驱物”、“反应物”和类似者,以表示包括与基板表面反应的物种的气体。
化学气相沉积(CVD)工艺(包括等离子体增强化学气相沉积(plasma-enhancedchemical vapor deposition;PECVD))与原子层沉积(Atomic Layer Deposition;ALD)不同。ALD工艺为自限性工艺,其中使用二元(或更高阶)反应来沉积单个材料层。工艺继续进行直至基板表面上的全部可用的活性位点皆已反应为止。CVD工艺并非自限性的,且膜可生长至任何预定厚度。PECVD依赖于使用等离子体状态下的能量来产生更多反应自由基。
一个或更多个实施方式提供用于反向选择性沉积工艺的不具有卤素配体和氧部分的有机金属前驱物。更具体而言,一个或更多个实施方式提供用于氮化钛(TiN)膜的反向沉积的不具有卤素和氧部分的有机金属前驱物。在一个或更多个实施方式中,钛物种(Ti4+)的强路易斯(Lewis)酸与具有η3、η5或η6配体的烷基胺的弱路易斯碱配对。本公开内容的实施方式提供用于在金属材料表面上选择性地形成阻挡层的方法。本公开内容的一些实施方式提供用于相对于金属材料表面在非金属材料表面上选择性地沉积膜的方法。
如本文中所使用的,术语“卤素”是指二元相,其中一部分为卤素原子,且另一部分为比卤素具有更少负电性的元素或自由基,用于形成氟化物、氯化物、溴化物、碘化物或砹化物(astatide)化合物。卤化物离子为带有负电荷的卤素原子。如本领域技术人员所已知的,卤化物阴离子包括氟离子(F-)、氯离子(Cl-)、溴离子(Br-)、碘离子(I-)和砹离子(At-)。在一个或更多个实施方式中,有机金属前驱物实质上不含卤素和/或卤化物。如本文中所使用的,术语“实质上不含”是指在有机金属前驱物中存在小于5%(包括小于4%、小于3%、小于2%、小于1%和小于0.5%)的卤素或卤化物。
在一个或更多个实施方式中,有机金属前驱物实质上不含氧。如本文中所使用的,术语“实质上不含”是指在有机金属前驱物中存在小于5%(包括小于4%、小于3%、小于2%、小于1%和小于0.5%)的氧。
本公开内容的一些实施方式有利地提供相对于介电表面在金属表面上选择性地沉积具有高纯度的金属膜。举例而言,相对于电介质在铜上选择性地沉积金属(例如,钛)有利地提供了铜覆盖层,而无需额外的蚀刻或光刻步骤。另外,选择性沉积亦可在底部和电介质侧壁处实现对具有金属触点的特征(例如,沟槽、过孔)自底向上的间隙填充。
本公开内容的一些实施方式有利地提供相对于金属表面在介电表面上选择性地沉积具有高纯度的金属膜。举例而言,在电介质之上选择性地沉积金属有利地在后端应用中在阻挡层或其他电介质上提供金属层。
如本文中所使用的,用语“金属材料表面”或“非金属材料表面”分别代表金属或非金属材料的表面。在一些实施方式中,非金属材料为介电材料。
如本文中所使用的,术语“相对于第二表面在第一表面上选择性地沉积”和类似者是指在第一表面上沉积第一量或厚度且在第二表面上沉积第二量或厚度,其中第二量或厚度小于第一量或厚度,或在一些实施方式中,在第二表面上无沉积量。
如本文中所使用的,术语“相对于(over)”并不意味着一个表面在另一表面的顶部上的物理定向,而是指与一个表面相对于另一表面的化学反应的热力学或动力学性质之间的关系。举例而言,相对于介电表面选择性地将钴膜沉积至铜表面上是指钴膜沉积在铜表面上且较少或无钴膜沉积在介电表面上;或者是指相对于在介电表面上形成钴膜,在铜表面上形成钴膜在热力学或动力学上是有利的。
在一些实施方式中,术语“选择性地”是指标的材料在所选表面上以大于或等于在非所选表面上的形成速率的约2倍、3倍、4倍、5倍、7倍、10倍、15倍、20倍、25倍、30倍、35倍、40倍、45倍或50倍的速率形成。换言之,所述工艺相对于非所选表面而言对所选表面的选择性大于或等于约2∶1、3∶1、4∶1、5∶1、7∶1、10∶1、15∶1、20∶1、25∶1、30∶1、35∶1、40∶1、45∶1或50∶1。
一个或更多个实施方式的有机金属前驱物是由烷基胺配体与烷烃合成的,诸如但不限于,取代的环戊二烯衍生物。举例而言,在一个或更多个实施方式中,有机金属前驱物具有式(I)的结构:
Figure BDA0003743776100000061
其中R1、R2、R3和R4独立地选自氢(H)和烷基;R5、R6和R7独立地包括烷基胺;且n为从0至8的范围内的数字。
除非另外指示,否则本文中单独使用或作为另一基团的一部分使用的术语“低级烷基”、“烷基”或“烷”包括在正链中含有1至20个碳的直链和支链烃,诸如,甲基、乙基、丙基、异丙基、丁基、叔丁基、异丁基、戊基、己基、异己基、庚基、4,4-二甲基戊基、辛基、2,2,4-三甲基-戊基、壬基、癸基、十一烷基、十二烷基、其各种支链的异构体、和类似者。这些基团可视情况包括多达1至4个取代基。
在一个或更多个实施方式中,R1、R2、R3、R4包括但不限于氢、甲基、乙基、丙基、异丙基、丁基、异丁基和叔丁基。
除非另外指定,否则如本文中单独地或作为另一基团的一部分所使用的,术语“烷基胺”代表含有一个或更多个烷基的胺。
在一个或更多个实施方式中,烷基胺配体可选自二甲胺、二乙胺、二丙胺和二异丙胺。在一个或更多个实施方式中,R5、R6和R7独立地包括选自-N(Me)2、-N(Et)3、-N(丙基)2和N-(异丙基)2的烷基胺。
在一个或更多个实施方式中,作为L2X型配体,饱和直链烃(诸如但不限于取代的环戊二烯基配体)被用于设计无氧和非卤化钛分子配合物。
在其他实施方式中,有机金属前驱物具有选自式(a)、式(b)和式(c)的胍基配合物结构:
Figure BDA0003743776100000071
其中R1、R2、R3和R4独立地选自氢(H)和烷基;且n为从0至8的范围中的数字。
在一个或更多个实施方式中,R1、R2、R3、R4包括但不限于氢、甲基、乙基、丙基、异丙基、丁基、异丁基和叔丁基。
虽然在以上式(a)、(b)和(c)中,描绘了二甲胺,但本领域技术人员将认识到,可使用任何二烷基胺来代替二甲胺。在一个或更多个实施方式中,烷基胺配体可选自二甲胺、二乙胺、二丙胺和二异丙胺。在一个或更多个实施方式中,R5、R6和R7独立地包括选自-N(Me)2、-N(Et)3、-N(丙基)2和N-(异丙基)2的烷基胺。
在另外实施方式中,有机金属前驱物可选自式(d)、式(e)和式(f):
Figure BDA0003743776100000081
在式(d)、式(e)和式(f)中,R为氢(H)或-(CH2)n,其中n为0至8,R1和R3为氢或烷基,且X为碳(C)或氮(N)。在一个或更多个实施方式中,R1和R3包括但不限于氢、甲基、乙基、丙基、异丙基、丁基、异丁基和叔丁基。
在另外的实施方式中,有机金属前驱物选自如下各者之一或更多者:
Figure BDA0003743776100000082
其中R1、R2、R3和R4独立地选自氢(H)和烷基。在一个或更多个实施方式中,R1、R2、R3、R4包括但不限于氢、甲基、乙基、丙基、异丙基、丁基、异丁基和叔丁基。
在一个或更多个实施方式中,X和Y独立地选自碳(C)或氮(N),且n为从0至8的范围内的数字。
一个或更多个实施方式提供相对于第二表面在基板的第一表面上选择性地形成阻挡层的方法。基板包括具有第一表面的金属材料和具有第二表面的非金属材料。在一些实施方式中,可将第一表面描述为金属材料表面,且可将第二表面描述为非金属材料表面。在一些实施方式中,阻挡层包括金属膜。在一个或更多个实施方式中,阻挡层包括氮化钛(TiN)。
基板的金属材料可为任何适当的金属材料。在一些实施方式中,本公开内容的金属材料为导电材料。适当的金属材料包括但不限于金属、金属氮化物、一些金属氧化物、金属合金、硅、其组合、和其他导电材料。
在一些实施方式中,金属材料包括铬(Cr)、锰(Mn)、铁(Fe)、铜(Cu)、镍(Ni)、钴(Co)、钨(W)、钌(Ru)、钼(Mo)、钽(Ta)、钛(Ti)、钒(V)或其组合。在一些实施方式中,金属材料基本上由铬(Cr)、锰(Mn)、铁(Fe)、铜(Cu)、镍(Ni)、钴(Co)、钨(W)、钌(Ru)、钼(Mo)、氮化钽(TaN)、氮化钛(TiN)或氮化钒(VN)所组成。如在本说明书和随附权利要求书中所使用的,术语“基本上由……组成”是指该材料按原子计大于或等于所述材料的约95%、98%或99%。
基板的非金属材料可为任何适当材料。在一些实施方式中,本公开内容的非金属材料为介电材料。适当的非金属材材料包括但不限于氧化硅(例如,SiO2)、氮化硅、碳化硅及其组合(例如,SiCON)。在一些实施方式中,非金属材料基本上由二氧化硅(SiO2)组成。在一些实施方式中,非金属材料包括氮化硅。在一些实施方式中,非金属材料基本上由氮化硅组成。
本公开内容的实施方式提供处理方法以将钛层提供在期望位置处,包括改良底部覆盖率和钛膜在高深宽比特征中的选择性沉积。如在本说明书和随附权利要求书中所使用的,术语相对于另一表面在一个表面上“选择性沉积”和“选择性形成”膜是指在第一表面上沉积膜的第一量且在第二表面上沉积膜的第二量,其中膜的第二量小于膜的第一量或不存在。在此方面所使用的术语“相对于”并不意味着一个表面在另一表面的顶部上的物理定向,而是指与一个表面相对于另一表面的化学反应的热力学或动力学性质之间的关系。举例而言,相对于二氧化硅(SiO2)表面选择性地将钛膜沉积至硅(Si)表面上是指钛膜沉积在Si表面上且较少钛膜沉积在SiO2表面上;或者是指相对于在SiO2表面上形成钛膜,在Si表面上形成钛膜在热力学或动力学上是有利的。换言之,可相对于第二表面选择性地将膜沉积至第一表面上是指相对于沉积在第二表面上而言,沉积在第一表面上更有利。
本公开内容的实施方式涉及使用等离子体增强化学气相沉积(PECVD)相对于不同材料的表面而优先在金属表面上沉积金属膜的方法。一些实施方式的PECVD工艺包括将基板表面暴露于有机金属前驱物和共反应物。在一个或更多个实施方式中,共反应物可包括一种或更多种物种的混合物。在一个或更多个实施方式中,共反应物气体包括氩气(Ar)、氧气(O2)、氢气(H2)、氮气(N2)、氢气/氮气(H2/N2)和氨气(NH3)之一或更多者。
等离子体气体可为任何适当气体,其可被点燃以形成等离子体和/或可充当有机金属前驱物的载气或稀释剂。在一个或更多个实施方式中,等离子体气体包括氨气(NH3),且将氨气用于等离子体处理以活化有机金属前驱物之一或更多者。
在一个或更多个实施方式中,等离子体包括氮气(N2)、氩气(Ar)、氦气(He)、氢气(H2)、一氧化碳(CO)、氧气(O2)、氨气(NH3)或二氧化碳(CO2)之一或更多者。在一些实施方式中,等离子体为远程等离子体。
在一个或更多个实施方式中,可远程地或在处理腔室内产生等离子体。
在一个或更多个实施方式中,沉积工艺是在工艺容积中在范围从0.1毫托至10托范围内的压力下进行的,包括约0.1毫托、约1毫托、约10毫托、约100毫托、约500毫托、约1托、约2托、约3托、约4托、约5托、约6托、约7托、约8托、约9托和约10托的压力。
含有前驱物的气体混合物可进一步包括选自氦气(He)、氩气(Ar)、氙气(Xe)、氮气(N2)或氢气(H2)的稀释气体中的一种或更多种。一些实施方式的稀释气体包括相对于反应物和基板材料而言为惰性气体的化合物。
可从顶电极和底电极或侧电极形成等离子体(例如,电容耦合等离子体)。所述电极可由单供电电极、双供电电极或具有多个频率(诸如但不限于350KHz、2MHz、13.56MHz、27MHz、40MHz、60MHz和100MHz)的更多电极形成,在CVD系统中交替地或同时地使用所述多个频率连同本文所列出的反应气体中的任何一者或全部,以沉积电介质的薄膜。在一些实施方式中,等离子体为电容耦合等离子体(CCP)。在一些实施方式中,等离子体为电感耦合等离子体(inductively coupled plasma;ICP)。在一些实施方式中,等离子体为微波等离子体。
在一个或更多个实施方式中,等离子体为电感耦合等离子体(ICP)或导电耦合等离子体(conductively coupled plasma;CCP)。取决于(例如)反应物或其他工艺条件,可使用任何适当功率。在一些实施方式中,以在约10W至约10kW的范围内的等离子体功率产生等离子体。
参照图1A至图1D,示例性方法100以包括具有第一表面108的金属材料104和具有第二表面110的非金属材料106的基板102开始。在一些实施方式中,将基板暴露于阻挡化合物(未示出),以相对于第二表面110在第一表面108上选择性地形成阻挡层113。在一些实施方式中,将阻挡层113的表面描述为被阻挡的第一表面。
在一些实施方式中,在暴露于阻挡化合物之前,清洁第一表面108。可通过任何适当方法来清洁第一表面,包括但不限于氢热退火、乙醇清洁或等离子体氢清洁。
若使用阻挡化合物,则所述阻挡化合物可为本领域技术人员所已知的任何适当的阻挡化合物。
在一些实施方式中,方法100继续相对于被阻挡的第一表面113(参见图1B)在第二表面110上沉积膜112。可通过任何已知的方法来沉积膜112。
在一些实施方式中,通过原子层沉积来沉积膜112。如本文中所使用的,“原子层沉积”或“循环沉积”代表按顺序暴露两种或更多种反应化合物以在基板表面上沉积材料层。如在本说明书和随附权利要求书中所使用的,可互换地使用术语“反应化合物”、“反应气体”、“反应物种”、“前驱物”、“工艺气体”和类似者,以表示具有能够在表面反应(例如,化学吸附、氧化、还原)中与基板表面或基板表面上的材料反应的物种的物质。将基板或基板的部分单独地暴露于被引入处理腔室的反应区域中的两种或更多种反应化合物。在时域ALD工艺中,通过时间延迟来分离开暴露于每种反应化合物,以允许每种化合物在基板表面上粘附和/或反应并接着从处理腔室加以清除。这些反应化合物被视为按顺序地暴露于基板。在空间ALD工艺期间,基板表面的不同部分或基板表面上的材料同时地暴露于两种或更多种反应化合物,使得基板上的任何给定点实质上不会同时暴露于一种以上的反应化合物。如在本说明书和随附权利要求书中所使用的,本领域技术人员将理解,在此方面所使用的术语“实质上”是指有可能基板的小部分可同时暴露于多种反应气体(由于扩散),且同时暴露是非预期的。
在时域ALD工艺的一个方面中,第一反应气体(亦即,第一前驱物或化合物A)以脉冲进入反应区域中,之后为第一时间延迟。接下来,第二前驱物或化合物B以脉冲进入反应区域中,之后为第二延迟。在每一时间延迟期间,将净化气体(诸如,氩气)引入处理腔室中,以净化反应区域或以其他方式从反应区域移除任何残留的反应化合物或反应副产物。或者,净化气体可在整个沉积过程中连续地流动,以使得在反应化合物的脉冲之间的时间延迟期间仅净化气体流动。反应化合物被交替地脉冲,直至在基板表面上形成期望的膜或膜厚度为止。在任一情境下,将化合物A、净化气体、化合物B和净化气体脉冲化的ALD工艺是一循环。循环可以化合物A或化合物B开始,并继续循环的相应次序,直至实现具有预定厚度的膜为止。
在空间ALD工艺的实施方式中,第一反应气体和第二反应气体(例如,金属前驱物气体)被同时输送至反应区域,但通过惰性气体幕(curtain)和/或真空幕分离开。基板相对于气体输送设备移动,以使得基板上的任何给定点皆暴露于第一反应气体和第二反应气体。
如本文中所使用的,“化学气相沉积”代表其中基板表面同时地或实质上同时地暴露于前驱物和/或共试剂(co-reagent)的工艺。如本文中所使用的,“实质上同时”代表共流或前驱物的大部分暴露存在重叠的情况。
如在本说明书和随附权利要求书中所使用的,可互换地使用术语“反应化合物”、“反应气体”、“反应物种”、“前驱物”、“工艺气体”和类似者,以表示具有能够在表面反应(例如,化学吸附、氧化、还原)中与基板表面或基板表面上的材料反应的物种的物质。
在一些实施方式中,通过按顺序将基板102暴露于一个或更多个实施方式的有机金属前驱物和反应物来沉积膜112。在一些实施方式中,膜112包括金属氮化物。在一些实施方式中,膜112包括金属氧化物。在一些实施方式中,膜112包括硅(Si)、铝(Al)、钛(Ti)、钽(Ta)、钒(V)、铪(Hf)和锆(Zr)之一或更多者。
在一些实施方式中,膜112充当阻挡膜、阻挡层或扩散层。在一些实施方式中,膜112包括氮化钛。在一些实施方式中,膜112包括氮化钒。在一些实施方式中,膜包括氮化钽。在一些实施方式中,膜112包括氧化铝。在一些实施方式中,在不使用等离子体的情况下形成膜。
在一些实施方式中,在不会影响阻挡层113的稳定性的温度下沉积膜112。在一些实施方式中,膜112在约100℃至约380℃的范围内或在约100℃至约400℃的范围内的温度下沉积。
在一些实施方式中,在ALD循环之间,将基板暴露于阻挡化合物。在一些实施方式中,在每一沉积循环之后,可使基板重新暴露于阻挡化合物。在一些实施方式中,在若干沉积循环之后,可使基板重新暴露于阻挡化合物。
在一些实施方式中,所述方法继续从第一表面108移除阻挡层。可通过任何适当手段来移除阻挡层,包括但不限于等离子体清洁工艺或热分解。
在一些实施方式中,将基板暴露于等离子体以从第一表面108移除阻挡层113。在一些实施方式中,等离子体包括氩气(Ar)、氮气(N2)或氢气(H2)。在一些实施方式中,等离子体基本上由氩气组成。在一些实施方式中,等离子体包括H2/Ar的混合物。在一些实施方式中,H2/Ar的混合物为约1∶1。
可取决于阻挡层和周围材料的组分和厚度而改变等离子体的功率。在一些实施方式中,等离子体功率在约50W至约500W的范围内,在约100W至约450W的范围内,或在约200W至约400W的范围内。在一些实施方式中,等离子体功率为约50W、约200W或约400W。
可取决于阻挡层和周围材料的组分和厚度而改变等离子体暴露的持续时间。在一些实施方式中,基板暴露于等离子体历时在约2s至约6s的范围内、在约3s至约30s的范围内或在约5s至约10s的范围内的周期。在一些实施方式中,基板暴露于等离子体历时约3s、约5s、约10s或约30s的周期。
在一些实施方式中,将基板暴露于高温下以从第一表面108移除阻挡层113。在一些实施方式中,所述高温大于或等于约300℃、大于或等于约320℃、大于或等于约325℃、大于或等于约330℃、大于或等于约350℃、大于或等于约380℃、或者大于或等于约400℃。
出于图1A至图1D的目的,金属膜112包括钛,具有第一表面的金属材料104包括硅(Si),且具有第二表面110的非金属材料106包括SiOx或SiN。本公开内容涉及可包括但不限于钛、钒、锆和/或铪的金属膜。在具体实施方式中,金属膜包括钛。可视情况由掺杂剂来掺杂这些金属膜,所述掺杂剂包括但不限于磷(P)、砷(As)和/或硼(B)。金属表面可包括但不限于Si、Ge和/或SiGe。不同材料的表面可包括但不限于氧化硅(SiOx)、氮化硅(SiN)、氧氮化硅(SiON),其中每一者视情况经碳掺杂。
参照图2A至图2C,示例性方法200以提供基板202开始,所述基板202具有其中形成有至少一个特征208的基板表面210。所述至少一个特征208具有侧壁212、214和底部206。侧壁212、214包括非金属材料216表面。底部206包括金属材料204表面。
参照图2B,方法200继续将基板202暴露于阻挡化合物(未示出),以相对于侧壁212、214上的非金属材料216表面在特征208的底部206上的金属材料204表面上选择性地形成阻挡层213。在一个或更多个实施方式中,有机金属前驱物的烃链充当用于相对于金属材料204在非金属表面216上反向选择性沉积金属膜的阻挡化合物。
参照图2C,方法200继续相对于阻挡层213在特征208的侧壁212、214上的非金属材料216表面上沉积金属膜218。在一些实施方式中,通过按顺序将基板202暴露于一个或更多个实施方式的有机金属前驱物和反应物来沉积金属膜218。
参照图2D,方法200视情况包括从特征208的底部206上的金属材料204表面移除阻挡层213。图2D示出了根据一些实施方式的在移除了阻挡层213之后的基板202。
在不受理论束缚的情况下,相信与大多数阻挡层(例如,膜218)通常所见的电阻增加相比较而言,阻挡层仅略微增加了金属过孔的电阻。因此,移除阻挡层为可选工艺,其可进一步减小金属过孔的电阻。
参照图2E,方法200继续在至少一个特征208内沉积导电填充材料220以形成低电阻金属过孔。在一些实施方式中,所述低电阻金属过孔具有小于或等于在无阻挡层的情况下所形成的金属过孔的约80%的电阻。换言之,通过包括阻挡层213的所披露工艺形成的低电阻金属过孔提供了大于或等于约20%的过孔电阻降低。
参照图3A至图3C(其为根据一个或更多个实施方式的基板的截面图),示例性方法300以基板302开始,所述基板302具有带有非金属材料316的第一金属210,和形成于其上的第二金属304。在一个或更多个实施方式中,至少一个特征308形成于其中。所述至少一个特征308具有侧壁312、314和底部306。侧壁312、314包括非金属材料316表面。底部306包括金属材料304表面。
参照图3B,方法300继续将基板302暴露于一个或更多个实施方式的有机金属前驱物,以相对于第二金属层304在特征308的侧壁312、314上的非金属材料316表面上选择性地形成或选择性地沉积金属膜318。在一些实施方式中,通过按顺序将基板302暴露于一个或更多个实施方式的有机金属前驱物和反应物来沉积金属膜318。
参照图3C,方法300继续在至少一个特征308内沉积间隙填充材料320。
图4描绘根据本公开内容的一个或更多个实施方式的工艺流程图。参照图4,用于在基板上形成金属膜的通用方法400一般以操作402开始,此处可提供将在其上形成金属膜的基板并将基板放置至处理腔室中。在操作404处,可视情况预处理基板。在操作410处,通过将基板暴露于根据一个或更多个实施方式的有机金属前驱物而形成金属膜。在一些实施方式中,使用阻挡化合物,以使得相对于金属表面,金属膜反向选择性地沉积在介电表面上。在一些实施方式中,一个或更多个实施方式的有机金属的烷基配体的烃经设计用于反向选择性金属沉积期间的金属表面阻挡。在操作412处,确定金属膜是否已达到预定厚度。若尚未达到预定厚度,则方法400返回至操作410,以继续形成金属膜直至达到预定厚度为止。一旦已达到预定厚度,则方法400可结束或进行至操作414,以用于可选的进一步处理(例如,另一金属膜的块体沉积)。在一个或更多个实施方式中,金属膜可经沉积以形成约
Figure BDA0003743776100000151
至约
Figure BDA0003743776100000152
的总的层厚度,或在一个或更多个实施方式中,为约
Figure BDA0003743776100000153
至约
Figure BDA0003743776100000154
或在一个或更多个实施方式中,为约
Figure BDA0003743776100000155
至约
Figure BDA0003743776100000156
在一个或更多个实施方式中,金属膜包括氮化钛(TiN)。
图5绘示根据本公开内容的一个或更多个实施方式的用于在基板上形成金属膜的通用方法的工艺流程图。方法500一般以操作502开始,此处可将待在其上形成金属膜的基板放置至处理腔室中。在一个或更多个实施方式中,在操作504处,视情况将基板暴露于阻挡化合物。阻挡化合物可用于控制沉积工艺在包括金属表面和介电表面的基板上的选择性。
在一个或更多个实施方式中,在操作510处,在基板上形成金属膜。在一个或更多个实施方式中,可经由循环沉积工艺(诸如,原子层沉积(ALD)或类似者)形成金属膜。在一个或更多个实施方式中,在操作510处形成金属膜的工艺可在操作504处通过将基板暴露于第一工艺气体(或第一反应气体)历时第一时间周期来开始。在一个或更多个实施方式中,第一工艺气体包括如上所述的有机金属前驱物。
基板暴露于含有机金属前驱物的气体的时间周期可为允许有机金属前驱物在(若干)基板表面顶上形成足够的吸附层所需的任何适当的时间量。举例而言,工艺气体可流至工艺腔室中历时约0.1秒至约90秒的周期。在一些时域ALD工艺中,基板表面暴露于含有有机金属前驱物的气体历时在约0.1秒至约90秒的范围内、或在约0.5秒至约60秒的范围内、或在约1秒至约30秒的范围内、或在约2秒至约25秒的范围内、或在约3秒至约20秒的范围内、或在约4秒至约15秒的范围内或在约5秒至约10秒的范围内的时间。
在一个或更多个实施方式中,可另外将惰性气体与含有有机金属前驱物的气体同时提供至工艺腔室。惰性气体可与含有有机金属前驱物的气体(例如,作为稀释气体)混合或单独地提供,且可呈脉冲或为恒定流量。在一个或更多个实施方式中,惰性气体以约1sccm至约10000sccm的范围内的恒定流量流至处理腔室中。惰性气体可为任何惰性气体,诸如,氩气(Ar)、氦气(He)、氖气(Ne)或其组合。
可(例如)通过设定基板支撑件或基座的温度来控制沉积期间基板的温度。在一个或更多个实施方式中,将基板保持在约0℃至约600℃的范围内、或约25℃至约500℃的范围内、或约50℃至约450℃的范围内、或约100℃至约400℃的范围内、或约200℃至约400℃的范围内、或约250℃至约350℃的范围内的温度下。在一个或更多个实施方式中,将基板维持在低于金属前驱物的分解温度的温度下。在一个或更多个实施方式中,将基板维持在低于有机金属前驱物的分解温度的温度下。在一个或更多个实施方式中,将基板维持在介于有机金属前驱物的分解温度之间的温度下。
除了前述各者以外,可在将基板暴露于含有有机金属前驱物的气体的同时调节额外的工艺参数。举例而言,在一个或更多个实施方式中,可将工艺腔室维持在约0.2托至约100托、或在约0.3托至约90托的范围内、或在约0.5托至约80托的范围内、或在约1托至约50托的范围内的压力下。
接下来,在操作506处,可使用惰性气体来净化工艺腔室(尤其是在时域ALD中)。(此在空间ALD工艺中可能并不需要,因为存在将反应气体分离开的气幕。)惰性气体可为任何惰性气体,例如,氩气、氦气、氖气或类似者。在一个或更多个实施方式中,所述惰性气体可与在操作510处将基板暴露于含有有机金属前驱物的气体期间所提供至工艺腔室的惰性气体相同,或者可与之不同。在其中惰性气体相同的实施方式中,可通过如下各者来执行净化:从工艺腔室分流(diverting)第一工艺气体;允许惰性气体流经工艺腔室;清除工艺腔室中任何过量的第一工艺气体成分或反应副产物。在一个或更多个实施方式中,可以与结合上述第一工艺气体所使用的相同流量(flow rate)来提供惰性气体,或在一个或更多个实施方式中,可增大或减小所述流量。举例而言,在一个或更多个实施方式中,可以约0sccm至约10000sccm的流量向工艺腔室提供惰性气体,以净化工艺腔室。在空间ALD中,在反应气体流之间维持净化气体幕,且净化工艺腔室可能并非必要的。在空间ALD工艺的一个或更多个实施方式中,可通过惰性气体来净化工艺腔室或工艺腔室的区域。
惰性气体的流动可促成从工艺腔室移除任何过量的第一工艺气体成分和/或过量的反应副产物,以防止第一工艺气体和第二工艺气体的非所想要的气相反应。
接下来,在操作508处,将基板暴露于第二工艺气体历时第二时间周期。第二工艺气体包括前驱物,所述前驱物与基板表面上的有机金属前驱物的吸附层反应以沉积金属膜。
在一个或更多个实施方式中,在操作512处,可使用惰性气体来净化工艺腔室。惰性气体可为任何惰性气体,例如,氩气(Ar)、氦气(He)、氖气(Ne)或类似者。在一个或更多个实施方式中,所述惰性气体可与在先前工艺例程期间提供至工艺腔室的惰性气体相同,或者可与之不同。在其中惰性气体相同的实施方式中,可通过如下各者来执行净化:从工艺腔室分流第二工艺气体;允许惰性气体流经工艺腔室;清除工艺腔室中任何过量的第二工艺气体成分或反应副产物。在一个或更多个实施方式中,可以与结合上述第二工艺气体所使用的相同流量来提供惰性气体,或在一个或更多个实施方式中,可增大或减小所述流量。举例而言,在一个或更多个实施方式中,可以大于0sccm至约10,000sccm的流量向工艺腔室提供惰性气体,以净化工艺腔室。
虽然图5中所示的处理方法的一般实施方式仅包括反应气体的两个脉冲,但应理解,此仅为示例性的,且可使用反应气体的额外脉冲。在一个或更多个实施方式中,在不使用含氧反应气体的情况下执行所述方法。操作510的子工艺包括一循环。可以任何次序来执行循环,只要通过处理腔室的净化将反应气体分离开即可。在一个或更多个实施方式中,以大于或等于约
Figure BDA0003743776100000181
/循环、大于或等于约
Figure BDA0003743776100000186
/循环、大于或等于约
Figure BDA0003743776100000183
/循环、大于或等于约
Figure BDA0003743776100000182
/循环、大于或等于约
Figure BDA0003743776100000185
/循环、大于或等于约
Figure BDA0003743776100000184
/循环、大于或等于约
Figure BDA0003743776100000189
/循环、大于或等于约
Figure BDA0003743776100000187
/循环、大于或等于约
Figure BDA0003743776100000188
/循环、或大于或等于约
Figure BDA00037437761000001810
/循环的速率沉积金属膜。
在一个或更多个实施方式中,沉积工艺是在不使用等离子体反应物的情况下作为热工艺执行的。换言之,在一个或更多个实施方式中,在无等离子体的情况下执行所述方法。
在一个或更多个实施方式中,在决策点514处,确定金属膜是否已达到了预定厚度。若尚未达到预定厚度,则方法500返回至操作510,以继续形成金属膜直至达到预定厚度为止。一旦已达到预定厚度,则方法500可结束或进行至操作516,以用于可选的进一步处理(例如,另一金属膜的块体沉积)。在一个或更多个实施方式中,金属膜可经沉积以形成约
Figure BDA00037437761000001811
至约
Figure BDA00037437761000001812
的总的层厚度,或在一个或更多个实施方式中,为约
Figure BDA00037437761000001813
至约
Figure BDA00037437761000001814
或在一个或更多个实施方式中,为约
Figure BDA00037437761000001815
至约
Figure BDA00037437761000001816
在一些实施方式中,将基板从第一腔室移动至单独的、下一腔室用于进一步处理。可直接将基板从第一腔室移动至单独的处理腔室,或可将基板从第一腔室移动至一个或更多个传送腔室,并接着移动至单独的处理腔室。因此,处理设备可包括与传送站连通的多个腔室。此种设备可称作“群集工具”或“群集系统”、和类似者。
一般而言,群集工具为包括多个腔室的模块化系统,所述多个腔室执行各种功能,包括基板中心定位(center-finding)和定向、除气、退火、沉积和/或蚀刻。根据一个或更多个实施方式,群集工具至少包括第一腔室和中央传送腔室。中央传送腔室可容纳机械手,所述机械手可使基板在处理腔室与装载锁定腔室之间穿梭。传送腔室通常维持在真空条件下,且提供用于将基板从一个腔室穿梭至另一者和/或穿梭至定位在群集工具的前端的装载锁定腔室的中间阶段。可适用于本公开内容的两种熟知的群集工具为
Figure BDA0003743776100000191
Figure BDA0003743776100000192
其均可购自加利福尼亚州圣克拉拉市的应用材料公司。然而,为了执行如本文所描述的工艺的具体步骤,可更改腔室的确切布置和组合。可使用的其他处理腔室包括但不限于循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、热处理(诸如,RTP)、等离子体氮化、除气、定向、羟基化和其他基板工艺。通过在群集工具上的腔室中执行工艺,可在沉积后续膜之前不进行氧化的情况下避免由大气杂质引起的基板的表面污染。
根据一个或更多个实施方式,基板连续处在真空或“装载锁定”条件下,且在从一个腔室移动至下一个时不会暴露于环境空气中。传送腔室因此处在真空下,且在真空压力下被“抽空”。处理腔室或传送腔室中可能存在惰性气体。在一些实施方式中,将惰性气体用作净化气体以移除一些或全部反应物(例如,反应物)。根据一个或更多个实施方式,在沉积腔室的出口处注入净化气体以防止反应物(例如,反应物)从沉积腔室移动至传送腔室和/或额外处理腔室。因此,惰性气体的流动在腔室的出口处形成了幕。
可在单个基板沉积腔室中处理基板,其中在处理另一基板之前装载、处理和卸载单个基板。亦可以连续方式(类似于传送带系统)处理基板,其中多个基板被个别地装载至腔室的第一部分中,移动经过所述腔室并从所述腔室的第二部分卸载。所述腔室和相关联传送带系统的形状可形成笔直路径或弯曲路径。另外,处理腔室可为转盘(carousel),其中多个基板围绕中心轴移动,并在整个转盘路径上暴露于沉积、蚀刻、退火、清洁等工艺。
在处理期间,可加热或冷却基板。此加热或冷却可通过任何适当手段实现,包括但不限于改变基板支撑件的温度和使加热或冷却的气体流至基板表面。在一些实施方式中,基板支撑件包括加热器/冷却器,其可受控制以便以导电方式改变基板温度。在一个或更多个实施方式中,加热或冷却所采用的气体(反应气体或惰性气体)以局部地改变基板温度。在一些实施方式中,加热器/冷却器定位在腔室内与基板表面相邻,以用对流方式改变基板温度。
在处理期间,基板亦可为固定的或旋转的。旋转基板可连续地或以离散步骤(围绕基板轴)旋转。举例而言,基板可贯穿整个工艺旋转,或基板可在暴露于不同的反应气体或净化气体之间少量地旋转。使基板在处理期间(连续地或按步骤)旋转可通过最小化(例如)气流几何形状的局部可变性的影响而有助于产生更均匀的沉积或蚀刻。
本公开内容的额外实施方式涉及用于装置的形成和所描述的方法(如图5中所示)的实践的处理工具900。群集工具900包括具有多个侧的至少一个中央传送站921、931。机械手925、935定位在中央传送站921、931内,且被配置为使机械叶片和晶片移动至多个侧的每一者。
群集工具900包括连接至中央传送站的多个处理腔室902、904、906、908、910、912、914、916和918(亦称作工艺站)。各个处理腔室提供与相邻工艺站相隔离的单独处理区域。处理腔室可为任何适当的腔室,包括但不限于物理气相沉积腔室、UV固化腔室、ICP腔室、蚀刻腔室,和类似者。工艺腔室和部件的具体布置可取决于群集工具而变化,且不应视为对本公开内容的范围的限制。
在图5中所示的实施方式中,工厂界面950连接至群集工具900的前部。工厂界面950包括在工厂界面950的前部951上的装载腔室954和卸载腔室956。虽然将装载腔室954示为在左边且将卸载腔室956示为在右边,但本领域技术人员将理解,此仅代表一种可能配置。
装载腔室954和卸载腔室956的尺寸和形状可取决于(例如)正在群集工具900中处理的基板而变化。在所示实施方式中,确定装载腔室954和卸载腔室956的尺寸以保持晶片盒,所述晶片盒具有定位在所述盒内的多个晶片。
机械手952在工厂界面950内且可在装载腔室954与卸载腔室956之间移动。机械手952能够将晶片从装载腔室954中的盒经由工厂界面950传送至装载锁定腔室960。机械手952亦能够将晶片从装载锁定腔室962经由工厂界面950传送至卸载腔室956中的盒。如本领域技术人员将理解的,工厂界面950可具有一个以上机械手952。举例而言,工厂界面950可具有在装载腔室954与装载锁定腔室960之间传送晶片的第一机械手,和在装载锁定962与卸载腔室956之间传送晶片的第二机械手。
所示群集工具900具有第一部分920和第二部分930。第一部分920经由装载锁定腔室960、962连接至工厂界面950。第一部分920包括第一传送腔室921,所述第一传送腔室921具有定位于其中的至少一个机械手925。机械手925亦称作机械手式晶片运输机构。第一传送腔室921相对于装载锁定腔室960、962、工艺腔室902、904、916、918和缓冲腔室922、924居中地定位。一些实施方式的机械手925为多臂机械手,其能够独立地一次移动一个以上晶片。在一些实施方式中,第一传送腔室921包括一个以上机械手式晶片传送机构。第一传送腔室921中的机械手925被配置为在第一传送腔室921周围的腔室之间移动晶片。个别晶片被承载在位于第一机械手式机构的远端处的晶片运输叶片上。
在第一部分920中处理了晶片之后,可经由直通(pass-through)腔室将所述晶片传递至第二部分930。举例而言,腔室922、924可为单向或双向的直通腔室。直通腔室922、924可用于(例如)在第二部分930中的处理之前低温冷却晶片,或允许在移回至第一部分920之前进行晶片冷却或后处理。
系统控制器990与第一机械手925、第二机械手935、第一多个处理腔室902、904、916、918和第二多个处理腔室906、908、910、912、914通信。系统控制器990可为可控制处理腔室和机械手的任何适当部件。举例而言,系统控制器960可为计算机,其包括中央处理单元(central processing unit;CPU)992、存储器994、输入/输出(I/O)996和支持电路998。控制器990可直接地或经由与特定工艺腔室和/或支撑系统部件相关联的计算机(或控制器)来控制处理工具900。
在一个或更多个实施方式中,控制器990可为可用在工业环境中用于控制各种腔室和子处理器的任何形式的通用计算机处理器之一。控制器990的存储器994或计算机可读介质可为易得到的存储器之一或更多者,诸如,非暂时性存储器(例如,随机存取存储器(RAM))、只读存储器(read only memory;ROM)、软盘、硬盘、光存储介质(例如,光盘(compact disc)或数字视频光盘(digital video disc))、闪存驱动器(flash drive),或任何其他形式的数字储存器,为本地的或远程的。存储器994可保留指令集,所述指令集可由处理器(CPU 922)操作以控制处理工具900的参数和部件。
支持电路998耦接至CPU 992,用于以传统方式支持处理器。这些电路包括高速缓冲存储器(cache)、电源、时钟电路、输入/输出电路系统和子系统、和类似者。一个或更多个工艺可作为软件程序储存在存储器994中,当由处理器执行或调用时,所述软件程序使处理器以本文所描述的方式控制处理工具900或个别处理单元的操作。亦可通过第二CPU(未示出)来储存和/或执行软件程序,所述第二CPU位于远离CPU 992所控制的硬件之处。
亦可以硬件执行本公开内容的工艺和方法中的一些或全部。如此,工艺可以软件实施并使用计算机系统执行,以硬件实施为(例如)专用集成电路或其他类型的硬件实施,或实施为软件与硬件的组合。当由处理器执行时,软件程序将通用计算机转型为专用计算机(控制器),其控制腔室操作以使得工艺得以执行。
在一些实施方式中,控制器990具有一种或更多种配置来执行个别工艺或子工艺,以执行所述方法。控制器990可连接至中间部件且被配置为操作中间部件,以执行所述方法的功能。举例而言,控制器990可连接至物理气相沉积腔室并被配置为控制所述物理气相沉积腔室。
工艺可一般作为软件程序储存在系统控制器990的存储器994中,当由处理器执行时,所述软件程序使工艺腔室执行本公开内容的工艺。亦可通过第二处理器(未示出)来储存和/或执行软件程序,所述第二处理器位于远离处理器所控制的硬件之处。亦可以硬件执行本公开内容的方法的部分或全部。如此,工艺可以软件实施并使用计算机系统执行,以硬件实施为(例如)专用集成电路或其他类型的硬件实施,或实施为软件与硬件的组合。当由处理器执行时,软件程序将通用计算机转型为专用计算机(控制器),其控制腔室操作以使得工艺得以执行。
在一些实施方式中,系统控制器990具有如下配置:控制化学气相沉积腔室以在约20℃至约400℃的范围内的温度下在基板上沉积膜并控制远程等离子体源以在基板上形成金属膜。
在一个或更多个实施方式中,一种处理工具包括:中央传送站,所述中央传送站包括被配置为移动晶片的机械手;多个工艺站,每一工艺站连接至中央传送站并提供与相邻工艺站的处理区域分离开的处理区域,所述多个工艺站包括物理气相沉积腔室和远程等离子体源、UV固化腔室、ICP腔室;和控制器,所述控制器连接至中央传送站和所述多个工艺站,控制器被配置为启动机械手以便使晶片在工艺站之间移动,并控制发生在所述工艺站的每一者中的工艺。
贯穿本说明书对“一个实施方式”、“某些实施方式”、“一个或更多个实施方式”或“实施方式”的引用意谓结合实施方式所描述的具体特征、结构、材料或特性包括在本公开内容的至少一个实施方式中。因此,贯穿本说明书各处出现的诸如“在一个或更多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在实施方式中”的用语未必代表本公开内容的同一实施方式。另外,可在一个或更多个实施方式中以任何适当方式组合具体特征、结构、材料或特性。
尽管已参照具体实施方式描述了本文的公开内容,但应理解,这些实施方式仅说明本公开内容的原理和应用。对于本领域技术人员而言将显而易见的是,在不背离本公开内容的精神和范围的情况下,可对本公开内容的方法和设备作出各种修改和变化。因此,预期本公开内容包括在随附权利要求书及其等效物的范围内的修改和变化。

Claims (20)

1.一种处理方法,包括以下步骤:
在沉积工艺期间相对于基板的第二表面选择性地在所述基板的第一表面上沉积金属膜,所述第二表面是与所述第一表面不同的材料,所述沉积工艺包括使有机金属前驱物和共反应物在所述基板之上共流,所述有机金属前驱物实质上不含卤素且实质上不含氧。
2.如权利要求1所述的处理方法,其中所述第一表面包括非金属介电材料,且所述第二表面包括金属材料。
3.如权利要求2所述的处理方法,其中所述第二表面包括金属硅(Si)、金属锗(Ge)或SiGe合金,其中每一者视情况掺杂有磷(P)、砷(As)和/或硼(B),且所述第一表面包括氧化硅(SiOx)、氮化硅(SiN)、氧氮化硅(SiON),其中每一者视情况为碳掺杂的。
4.如权利要求1所述的处理方法,其中通过在所述第一表面上相对于所述第二表面的至少约1.3∶1的选择性来选择性地沉积所述金属膜。
5.如权利要求1所述的处理方法,其中所述金属膜包括钛(Ti)。
6.如权利要求1所述的处理方法,其中所述有机金属前驱物包括式(I)的结构:
Figure FDA0003743776090000011
其中R1、R2、R3和R4独立地选自氢(H)和烷基;R5、R6和R7独立地包括烷基胺;且n为从0至8的范围内的数字。
7.如权利要求1所述的处理方法,其中所述有机金属前驱物包括选自式(a)、式(b)和式(c)的结构:
Figure FDA0003743776090000021
其中R1、R2、R3和R4独立地选自氢(H)和烷基;且n为从0至8的范围内的数字。
8.如权利要求1所述的处理方法,其中所述有机金属前驱物包括选自式(d)、式(e)和式(f)的结构:
Figure FDA0003743776090000022
Figure FDA0003743776090000023
其中R为(H)或-(CH2)n,n为从0至8的数字,R1和R3为氢或烷基,且X为碳(C)或氮(N)。
9.如权利要求1所述的处理方法,其中所述有机金属前驱物选自如下各者之一或更多者:
Figure FDA0003743776090000031
其中R1、R2、R3和R4独立地选自氢(H)和烷基,X和Y独立地选自碳(C)或氮(N),且n为从0至8的范围内的数字。
10.如权利要求1所述的处理方法,其中所述沉积工艺为PECVD工艺,其包括在约1至小于约700毫瓦/cm2的范围内的等离子体功率下的直流等离子体和≤500℃的基板温度。
11.如权利要求10所述的处理方法,其中每约0.00001秒至约100秒提供等离子体功率,历时约0.0000001秒至约90秒的持续时间。
12.如权利要求10所述的处理方法,其中所述PECVD工艺包括在约10kHz至约50MHz的范围内的频率下的直流等离子体。
13.一种选择性沉积的方法,所述方法包括以下步骤:
将包括具有第一表面的金属材料和具有第二表面的非金属材料的基板暴露于有机金属前驱物和反应物,以相对于所述第一表面在所述第二表面上形成膜,所述有机金属前驱物实质上不含卤素且实质上不含氧。
14.如权利要求13所述的方法,其中所述有机金属前驱物包括式(I)的结构:
Figure FDA0003743776090000032
其中R1、R2、R3和R4独立地选自氢(H)和烷基,R5、R6和R7独立地包括烷基胺,且n为从0至8的范围内的数字。
15.如权利要求13所述的方法,其中所述有机金属前驱物包括选自式(a)、式(b)和式(c)的结构:
Figure FDA0003743776090000041
其中R1、R2、R3和R4独立地选自氢(H)和烷基;且n为从0至8的范围内的数字。
16.如权利要求13所述的方法,其中所述有机金属前驱物包括选自式(d)、式(e)和式(f)的结构:
Figure FDA0003743776090000042
Figure FDA0003743776090000043
其中R为(H)或-(CH2)n,n为从0至8的数字,R1和R3为氢或烷基,且X为碳(C)或氮(N)。
17.如权利要求13所述的方法,其中所述有机金属前驱物选自如下各者之一或更多者:
Figure FDA0003743776090000051
其中R1、R2、R3和R4独立地选自氢(H)和烷基,X和Y独立地选自碳(C)或氮(N),且n为从0至8的范围内的数字。
18.一种形成金属过孔的方法,所述方法包括以下步骤:
提供具有基板表面的基板,所述基板表面具有形成于其中的至少一个特征,所述至少一个特征具有侧壁和底部,所述侧壁包括非金属材料表面,所述底部包括金属材料表面;
将所述基板暴露于阻挡化合物,以相对于所述非金属材料表面在所述金属材料表面上选择性地形成阻挡层;
按顺序将所述基板暴露于有机金属前驱物和反应物以相对于所述金属材料表面上的所述阻挡层在所述非金属材料表面上形成膜,所述有机金属前驱物实质上不含卤素且实质上不含氧;
视情况从所述金属材料表面移除所述阻挡层;和
在所述至少一个特征内沉积导电填充材料以形成低电阻金属过孔。
19.如权利要求18所述的方法,其中所述有机金属前驱物选自式(I)、式(a)、式(b)、式(c)、式(d)、式(e)、式(f)的一个或更多个结构:
Figure FDA0003743776090000052
Figure FDA0003743776090000061
其中R为(H)或-(CH2)n,R1、R2、R3和R4独立地选自氢(H)和烷基,R5、R6和R7独立地包括烷基胺,X和Y独立地选自碳(C)或氮(N),且n为从0至8的范围内的数字。
20.如权利要求18所述的方法,其中所述膜包括氮化钛。
CN202180009200.1A 2020-04-14 2021-04-13 金属膜的沉积 Pending CN115003854A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/848,113 US11286556B2 (en) 2020-04-14 2020-04-14 Selective deposition of titanium films
US16/848,113 2020-04-14
PCT/US2021/026948 WO2021211485A1 (en) 2020-04-14 2021-04-13 Deposition of metal films

Publications (1)

Publication Number Publication Date
CN115003854A true CN115003854A (zh) 2022-09-02

Family

ID=78006104

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180009200.1A Pending CN115003854A (zh) 2020-04-14 2021-04-13 金属膜的沉积

Country Status (6)

Country Link
US (1) US11286556B2 (zh)
JP (1) JP2023516858A (zh)
KR (1) KR20220114060A (zh)
CN (1) CN115003854A (zh)
TW (1) TW202204660A (zh)
WO (1) WO2021211485A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117510097A (zh) * 2023-12-29 2024-02-06 核工业西南物理研究院 一种硅基陶瓷表面金属化方法及应用

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230274932A1 (en) * 2022-02-28 2023-08-31 Tokyo Electron Limited Selective inhibition for selective metal deposition
US20240052480A1 (en) * 2022-08-15 2024-02-15 Applied Materials, Inc. Methods for Selective Molybdenum Deposition

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5278100A (en) * 1991-11-08 1994-01-11 Micron Technology, Inc. Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers
EP1120474A1 (en) * 2000-01-26 2001-08-01 Applied Materials, Inc. Method for plasma-enhanced chemical vapor deposition of a metal nitride layer
TW478045B (en) * 1999-08-24 2002-03-01 Asm Inc Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
CN101827956A (zh) * 2007-09-14 2010-09-08 西格玛-奥吉奇公司 采用基于单环戊二烯基钛的前体通过原子层沉积制备含钛薄膜的方法
US20180158686A1 (en) * 2016-11-23 2018-06-07 Applied Materials, Inc. Deposition Of Metal Films
US20200071825A1 (en) * 2018-08-28 2020-03-05 Applied Materials, Inc. Methods Of Depositing Metal Carbide Films
CN110993482A (zh) * 2018-10-02 2020-04-10 Asm Ip控股有限公司 选择性钝化和选择性沉积

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030017268A1 (en) 2001-07-18 2003-01-23 Applied Materials, Inc. .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
JP5957017B2 (ja) * 2011-03-15 2016-07-27 メカロニックス シーオー. エルティディ.Mecharonics Co. Ltd. 新規な4b族有機金属化合物及びその製造方法
US9269615B2 (en) 2012-07-20 2016-02-23 Globalfoundries Inc. Multi-layer barrier layer for interconnect structure
US10043709B2 (en) 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US9716065B2 (en) 2015-09-14 2017-07-25 International Business Machines Corporation Via bottom structure and methods of forming
US10403504B2 (en) * 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5278100A (en) * 1991-11-08 1994-01-11 Micron Technology, Inc. Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers
TW478045B (en) * 1999-08-24 2002-03-01 Asm Inc Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
EP1120474A1 (en) * 2000-01-26 2001-08-01 Applied Materials, Inc. Method for plasma-enhanced chemical vapor deposition of a metal nitride layer
CN101827956A (zh) * 2007-09-14 2010-09-08 西格玛-奥吉奇公司 采用基于单环戊二烯基钛的前体通过原子层沉积制备含钛薄膜的方法
US20180158686A1 (en) * 2016-11-23 2018-06-07 Applied Materials, Inc. Deposition Of Metal Films
US20200071825A1 (en) * 2018-08-28 2020-03-05 Applied Materials, Inc. Methods Of Depositing Metal Carbide Films
CN110993482A (zh) * 2018-10-02 2020-04-10 Asm Ip控股有限公司 选择性钝化和选择性沉积

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117510097A (zh) * 2023-12-29 2024-02-06 核工业西南物理研究院 一种硅基陶瓷表面金属化方法及应用

Also Published As

Publication number Publication date
KR20220114060A (ko) 2022-08-17
US20210317570A1 (en) 2021-10-14
JP2023516858A (ja) 2023-04-21
US11286556B2 (en) 2022-03-29
WO2021211485A1 (en) 2021-10-21
TW202204660A (zh) 2022-02-01

Similar Documents

Publication Publication Date Title
CN115003854A (zh) 金属膜的沉积
US8586479B2 (en) Methods for forming a contact metal layer in semiconductor devices
JP7433437B2 (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
WO2021041369A1 (en) Low-k films
US20200090991A1 (en) Method Of Forming Via With Embedded Barrier
JP2024511271A (ja) 原子層堆積のための還元剤
TWI821661B (zh) 金屬阻障層之摻雜
KR101393898B1 (ko) 니켈막의 성막 방법
US11859278B2 (en) Molecular layer deposition of amorphous carbon films
CN113355648A (zh) 碳基膜的气相沉积
US20230253248A1 (en) Methods of forming metal liner for interconnect structures
US20240006235A1 (en) Composite barrier layers
US20230072614A1 (en) Method Of Forming A Metal Liner For Interconnect Structures
TW202315118A (zh) 經摻雜之含鉭阻障膜
TW202209565A (zh) 二元金屬襯墊層
TW202142722A (zh) 非晶碳膜的分子層沉積
JP2024063001A (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
JP2024517836A (ja) モリブデンコンタクトの形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination