TW202315118A - 經摻雜之含鉭阻障膜 - Google Patents

經摻雜之含鉭阻障膜 Download PDF

Info

Publication number
TW202315118A
TW202315118A TW111123594A TW111123594A TW202315118A TW 202315118 A TW202315118 A TW 202315118A TW 111123594 A TW111123594 A TW 111123594A TW 111123594 A TW111123594 A TW 111123594A TW 202315118 A TW202315118 A TW 202315118A
Authority
TW
Taiwan
Prior art keywords
barrier film
metal
tantalum
film
metal dopant
Prior art date
Application number
TW111123594A
Other languages
English (en)
Inventor
麥可 哈維提
陳璐
姆蘇庫瑪 卡利亞班
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202315118A publication Critical patent/TW202315118A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在此描述的是微電子元件和用於在微電子元件中形成互連件的方法。微電子元件的實施例包括含鉭阻障膜,該含鉭阻障膜包含鉭和金屬摻雜劑的合金,該金屬摻雜劑選自由釕(Ru)、鋨(Os)、鈀(Pd)、鉑(Pt)和銥(Ir)所組成的群組。

Description

經摻雜之含鉭阻障膜
本案揭示內容的實施例大體係關於處理及/或摻雜阻障膜的方法。更特定而言,本案揭示內容的實施例涉及以金屬摻雜劑處理及摻雜含鉭阻障膜的方法。
諸如半導體或積體電路之微電子元件能夠包括數百萬個電子電路元件,例如電晶體、電容器等。為了進一步增加積體電路上所建立的元件的密度,期望有更小的特徵尺寸。為了達成這些更小的特徵尺寸,必須減少導線、介層窗(via)和互連件(interconnect)、閘極等的尺寸。可靠地形成多階層(multilevel)互連件結構對於增加電路密度和品質而言也是必要的。製造技術的進步已實現將銅用於導線、互連件、介層窗和其他結構。但是,隨著特徵尺寸減少且銅更多用於互連件,互連件結構中的電遷移變成待克服的更大障礙。這樣的電遷移可能會不利地影響積體電路的各種部件的電性質。
互連件和介層窗的結構一般是利用低電阻率金屬,以於三維積體電路中傳導電子。為了最小化金屬線之間的串擾,在金屬線之間使用介電層。然而,如果互連線和介電層直接接觸,則互連線中的原子能夠擴散穿過介電層。互連件和介電質之間的阻障膜有助於防止原子擴散。氮化鉭是一種常用的阻障膜材料。
在電晶體效能隨著規模縮放(scaling)而改善的同時,互連件介層窗電阻能夠增加10倍。這造成電阻電容(RC)延遲,該延遲降低了效能。利用新的金屬填充製程,能夠改善小幾何形狀的互連電阻,該新的金屬填充製程改善高電阻率阻障物與襯墊的性質。因此,需要提供改善的阻障材料,以改善互連件的效能。
本案揭示內容的實施例提供微電子元件,包括:位於基板上的介電層,該介電層包括至少一個特徵,該至少一個特徵界定隙縫;阻障膜,位於該介電層上,該阻障膜包含鉭和金屬摻雜劑的合金,該金屬摻雜劑選自由釕(Ru)、鋨(Os)、鈀(Pd)、鉑(Pt)和銥(Ir)所組成的群組;金屬襯墊膜,位於該阻障膜上;以及隙縫填充金屬,位於該金屬襯墊膜上。
本案揭示內容的另外的實施例涉及在微電子元件中形成多個互連件的方法。在一或多個實施例中,該等方法包括:在基板上形成介電層,該介電層包括至少一個特徵,該至少一個特徵界定隙縫;在該介電層上形成阻障膜,該阻障膜包含鉭和金屬摻雜劑的合金,該金屬摻雜劑選自由釕(Ru)、鋨(Os)、鈀(Pd)、鉑(Pt)和銥(Ir)所組成的群組;在該阻障膜上沉積金屬襯墊膜;以及在該金屬襯墊膜上沉積導電隙縫填充金屬。
在描述本案揭示內容的數個示例性實施例之前,應理解本案揭示內容不限於以下描述中提出的構造或製程步驟的細節。本案揭示內容能夠具有其他實施例並且能夠以各種方式實踐或執行。
如在此說明書和所附的申請專利範圍中用,術語「基板」及「晶圓」可互換地使用,兩者都是指上面有製程作用的表面或表面的一部分。熟悉此技術者也會瞭解,對基板的指涉也能夠僅指基板的一部分,除非上下文另有明確指示。此外,對在基板上沉積的指涉能夠意味裸基板(bare substrate)和上面沉積或形成有一或多個膜或特徵的基板。
如本文所用的「基板」是指在製造製程期間上面執行膜處理的任何基板或基板上形成的材料表面。例如,上面能夠執行處理的基板表面包括諸如下述材料:矽、氧化矽、應變矽、絕緣體上矽(SOI)、摻雜碳的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石和任何其他材料,例如金屬、金屬氮化物、金屬合金和其他導電材料,上述材料是視應用而定。基板包括但不限於半導體晶圓。基板可暴露於預處理製程,以研磨、蝕刻、還原、氧化、羥基化(或以其他方式生成或接枝目標化學部分(chemical moieties)以賦予化學功能性)、退火和/或烘烤基板表面。除了直接在基板本身的表面上進行膜處理之外,在本案揭示內容中,也可在形成於基板上的下層(underlayer)上執行所揭示的膜處理步驟之任一者,如下文更詳細揭示,並且希望術語「基板表面」包括如上下文所指示的這種下層。因此,舉例而言,當膜/層或部分膜/層已經沉積至基板表面上時,新沉積的膜/層的暴露表面變成基板表面。給定的基板表面包含何者會是取決於要沉積的何種膜以及所使用的特定化學條件(chemistry)。
如在此說明書和所附申請專利範圍中所用,術語「反應性氣體」、「前驅物」、「反應物」等可互換地使用,以指包括能夠與基板表面反應之物種的氣體。例如,第一「反應性氣體」可單純地吸附於基板之表面上並且可用於與第二反應性氣體進一步化學反應。
如本文所用的語「約(about)」意味近似(approximately)或幾乎(nearly),並且在所提出的數值或範圍的上下文中是意味該數值的±15%或更少的差異。例如,相差±14%、±10%、±5%、±2%或±1%的值會滿足「約」的定義。
如本文所用的「原子層沉積」或「循環沉積」是指依序暴露兩種或更多種反應性化合物,以在基板表面上沉積材料層。基板(或基板的一部分)分別暴露於被引入處理腔室的反應區的兩種或更多種反應性化合物。在時域(time-domain)ALD製程中,對每一反應性化合物的暴露被時間延遲所分開,以允許每一化合物得以在基板表面上黏附及/或反應,然後從處理腔室中被淨化(purge)。將這些反應性化合物稱為依序暴露於基板。在空間ALD製程中,基板表面的不同部分或基板表面上的材料同時暴露於兩種或更多種反應性化合物,使得基板上的任何給定點實質上不會同時暴露於超過一種反應性化合物。如本說明書和所附申請專利範圍中所使用,在這方面使用的術語「實質上」是指,如熟悉此技術者所理解,存在一小部分基板可能同時暴露於多種反應性氣體(由於擴散所致)的可能性,並且該同時的暴露並非故意的。
在時域ALD製程的一個態樣中,將第一反應性氣體 (即,第一前驅物或化合物A)脈衝式輸送進入反應區中,然後是第一時間延遲。接著,將第二前驅物或化合物B脈衝式輸送進入反應區中,然後是第二次延遲。在每一時間延遲期間,將諸如氬氣的淨化氣體引入處理腔室,以淨化反應區或若不然則是從反應區移除任何殘留的反應性化合物或反應副產物。或者,淨化氣體可以在整個沉積製程中連續流動,使得在反應性化合物的脈衝之間的時間延遲期間只有淨化氣體流動。反應性化合物被交替脈衝輸送,直到在基板表面上形成期望的膜或膜厚度為止。在任一情境中,脈衝輸送化合物A、淨化氣體、化合物B和淨化氣體的ALD製程是一循環。一循環能夠從化合物A或化合物B開始,並且繼續該循環的各別順序,直到達成具有預定厚度的膜為止。
在空間ALD製程的一實施例中,第一反應性氣體和第二反應性氣體(例如,氮氣)是同時傳送到反應區,但是由惰性氣體簾幕(curtain)及/或真空簾幕分開。基板相對於氣體傳送裝置移動,使得基板上任何給定點暴露於第一反應性氣體和第二反應性氣體。
已發現有利的是,能夠藉由形成一種含鉭阻障膜而改善含鉭阻障膜之黏附,該含鉭阻障膜包含鉭和金屬摻雜劑之合金,該金屬摻雜劑選自由釕(Ru)、鋨(Os)、鈀 (Pd)、鉑 (Pt) 和銥 (Ir)所組成的群組。
在一或多個實施例中,可透過ALD沉積含鉭阻障膜。在典型的ALD製程中,「A」前驅物和「B」前驅物的交替脈衝或流動能夠用於沉積膜。表面對反應物「A」和「B」的交替暴露持續直到達成期望厚度的膜為止。然而,不脈衝輸送反應物,取而代之的是,氣體能夠同時從一個或多個氣體傳送頭或噴嘴流出,並且能夠移動基板及/或氣體傳送頭,使得基板依序暴露於每一反應性氣體。當然,前述的ALD循環僅僅是各式各樣ALD製程循環的示例,其中沉積層是由前驅物與共反應物之交替層形成。
在一或多個實施例中,共反應物為蒸氣或氣體形式。可以用載氣傳送反應物。載氣、淨化氣體、沉積氣體或其他製程氣體可含有氮、氫、氬、氖、氦或上述各者之組合。本文所述的各種電漿(例如氮電漿或惰性氣體電漿)可以由電漿共反應物氣體點燃及/或包含電漿共反應物氣體。
在一或多個實施例中,可將用於該製程的各種氣體脈衝輸送進入入口、穿過氣體通道、離開各種孔洞或出口、並且進入中央通道。在一或多個實施例中,可將沉積氣體依序脈衝輸送至噴頭且穿過噴頭。或者,如上文所述,該等氣體能夠同時流過氣體供應噴嘴或頭,並且能夠移動基板及/或氣體供應頭,使得基板依序地暴露於氣體。
在一或多個實施例中,阻障金屬材料和金屬摻雜劑是透過使用多腔室製程沉積,此時含鉭阻障膜材料(例如,鉭)和金屬摻雜劑是分開的。在其他實施例中,使用單一腔室方式,所有製程都發生在一個腔室內,並且在處理中藉由氣體淨化而分開不同的層。
本案揭示內容的一些實施例涉及阻障物應用,例如銅阻障物應用。藉由一或多個實施例形成的阻障膜可以用作銅阻障物。用於銅阻障物應用的合適阻障膜包括但不限於含鉭阻障膜。對於銅阻障物應用而言,合適的金屬摻雜劑包括但不限於釕(Ru)、鋨(Os)、鈀(Pd)、鉑(Pt)和銥(Ir)、或上述各者之組合。
在摻雜之後能夠使用電漿處理以促進移除膜雜質並且改善含鉭阻障膜的密度。在其他實施例中,後處理能夠包括但不限於物理氣相沉積(PVD)處理、熱退火、化學增強等。在一或多個實施例中,包括PVD處理的後處理從含鉭阻障膜移除氮化物。在一些銅阻障物應用中,高頻電漿(界定為大於約14MHz或約40MHz或更高)能夠與任何惰性氣體一併使用,該惰性氣體包括但不限於氖(Ne)、氫(H 2)、及氬(Ar)氣中的一或多者。在一或多個實施例中,為了防止低k損壞,能夠使用更高的電漿頻率(高於13.56 MHz)。在一些實施例中,含鉭阻障膜是銅阻障物且包括摻雜有Ru的Ta。
用於沉積含鉭阻障膜的合適前驅物包括含金屬前驅物,並且在一些實施例中,包括含氮前驅物。在一或多個實施例中,形成鉭和包含釕(Ru)的金屬摻雜劑的合金包括分別共流含鉭前驅物和含釕前驅物。例如,含鉭前驅物可以是五(二甲胺基)鉭(pentakis(dimethylamino)tantalum,PDMAT),並且在一些實施例中,含氮前驅物可以是氨(NH 3)。在一些實施例中,含鉭阻障膜含有氮化鉭區域以及摻雜劑金屬和鉭的合金。其他合適的前驅物為熟悉此技術者已知。用於阻障膜的含有機物前驅物中的有機物種可能會部分地被併入下方的層(例如介電層)中,這可增加阻障膜-下方的層的界面處的黏附。
在一或多個實施例中,可藉由熟悉此技術者已知的任何合適的方法將金屬摻雜劑併入阻障膜中。例如,在一或多個實施例中,金屬摻雜劑可藉由下述一或多者併入含鉭阻障膜中:在原子層沉積(ALD)、化學氣相沉積(CVD)、及電漿增強原子層沉積(PEALD)中交替及/或共流前驅物;具多金屬配體的前驅物;及摻雜劑佈植/熱擴散。在一或多個實施例中,當在原子層沉積(ALD)、化學氣相沉積(CVD)、及電漿增強原子層沉積(PEALD)中藉由交替及/或共流前驅物而使金屬摻雜劑結合到阻障膜中時,可以使用適當的含金屬前驅物。
合適的前驅物的實例包括金屬錯合物,該金屬錯合物含有期望的摻雜劑,諸如與有機或羰基配體配位的金屬摻雜劑。在一或多個實施例中,摻雜劑前驅物可包含多金屬配體。合適的摻雜劑前驅物應具有足夠的蒸氣壓以在適當的製程中沉積,該製程例如ALD、電漿增強原子層沉積(PEALD)或化學氣相沉積(CVD)。在一或多個實施例中,金屬摻雜劑包括藉由原子層沉積(ALD)製程形成的鋨(Os)。在一或多個實施例中,使用化學氣相沉積(CVD)製程沉積摻雜劑。在一或多個實施例中,包含釕(Ru)的金屬摻雜劑藉由化學氣相沉積(CVD)製程形成。
如本文所用,「化學氣相沉積」是指其中基板表面同時或實質上同時暴露於前驅物及/或共試劑的製程。如本文所用,「實質上同時」是指共流或是其中前驅物的大部分暴露存在重疊的情況。
取決於所使用的摻雜劑前驅物,可使用共反應物以沉積摻雜劑。例如,還原氣體(諸如氫和氨)能夠用作沉積某些摻雜劑的共反應物。金屬摻雜劑前驅物和共反應物可以共流或依序流動。
在一或多個實施例中,可使用離子佈植以將金屬摻雜劑併入阻障膜中。在其他實施例中,物理氣相沉積(PVD)共處理(co-treatment)可用於將第二金屬摻雜劑(例如鈷(Co))添加於阻障膜中。在進一步的實施例中,可以在包含金屬摻雜劑的氣氛內退火阻障膜,以使摻雜劑熱擴散至膜中。在一或多個實施例中,摻雜劑不限於金屬。在一或多個實施例中,非金屬也能夠是摻雜劑,例如矽(Si)、或硼(B)等。非金屬摻雜劑也可用於熱擴散。
在一些實施例中,取代使用還原氣體共反應物或除了使用還原氣體共反應物之外,可以在將阻障膜暴露於金屬摻雜劑前驅物之後使用後電漿處理步驟。根據一或多個實施例,電漿包括熟悉此技術者已知的任何合適的惰性氣體。在一或多個實施例中,電漿包括氦(He)、氬(Ar)、氨(NH 3)、氫(H 2)和氮(N 2)中的一或多者。在一些實施例中,電漿可包含Ar和H 2的混合物,例如Ar:H 2的莫耳比在1:1至1:10範圍內的混合物。電漿功率可在從約200瓦至約1000瓦的範圍內。電漿頻率可在從 350kHz至40MHz的範圍內。電漿處理時間可以從5秒到60秒變化,例如在從10秒到30秒的範圍內。在一些實施例中,電漿處理期間的壓力可以在0.5托耳至50托耳的範圍內,例如1托耳至10托耳。在一些實施例中,晶圓間距可以在從100密耳到600密耳的範圍內。
在一或多個實施例中,阻障膜可以在沉積期間暴露於金屬摻雜劑前驅物,即金屬摻雜劑前驅物可於ALD循環中依序使用,以提供摻雜的阻障膜。例如,含金屬前驅物和含氮前驅物的1至10個循環能夠用於形成初始金屬氮化物阻障膜,然後暴露於金屬摻雜劑前驅物的1至10個循環,然後恢復含金屬前驅物與含氮前驅物的循環,然後視情況任選地進行更多摻雜等,直到達到期望的摻雜阻障膜厚度為止。或者,在其他實施例中,阻障膜可在暴露於金屬摻雜劑前驅物之前完全沉積至期望厚度。
在各種實施例中,暴露於金屬摻雜劑前驅物的持續時間可以在1秒至60秒的範圍內,例如在3秒至30秒或5秒至10秒的範圍內。對金屬摻雜劑前驅物的較長時間的暴露會增加阻障膜的摻雜量,只要阻障膜尚未達到阻障膜密度的最大量的摻雜。
圖1繪示根據一或多個實施例的用於在微電子元件中形成互連件的方法的製程流程圖。圖2至圖3C繪示製造階段期間的微電子元件200的多個部分。參考圖1和圖2,在操作102,在基板202上形成介電層204。在一或多個實施例中,介電層204可以包括至少一個特徵206。在一或多個實施例中,至少一個特徵206包括底部212和第一側壁208與第二側壁210。為了說明,圖式顯示具有單一特徵的基板;然而,熟悉此技術者會理解,能夠有超過一個特徵。特徵206的形狀能夠是任何合適的形狀,該形狀包括但不限於溝槽和圓柱形介層窗。如在這方面使用的,術語「特徵」是指任何有意的表面不規則性。特徵的合適範例包括但不限於:具有頂部、兩個側壁和底部的溝槽、具有頂部和兩個側壁的峰。特徵能夠具有任何合適的深寬比(aspect ratio)(特徵深度對特徵寬度的比)。在一些實施例中,深寬比大於或等於約5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1。
在一或多個實施例中,介電層204是低k介電層。在某些實施例中,介電層204包括氧化矽(SiO x)。進一步的實施例提供介電層204包括多孔或碳摻雜的SiO x。在一些實施例中,介電層204是k值小於約5的多孔或碳摻雜的SiO x層。在其他實施例中,介電層204是多層結構。例如,在一或多個實施例中,介電層204包括多層結構,該多層結構具有介電層、蝕刻停止層和硬遮罩層中之一或多者。
參考圖1至圖3C,在操作104,將含鉭阻障膜214沉積在基板202上的介電層204上。在一些實施例中,藉由共形(conformal)沉積製程形成阻障膜214。在一些實施例中,阻障膜214由原子層沉積(ALD)或化學氣相沉積(CVD)之一或多者形成。在一或多個實施例中,阻障膜214藉由原子層沉積(ALD)沉積,並且具有在從8埃到10埃的範圍內的厚度。在一些實施例中,阻障膜214在單一ALD循環中沉積。在其他實施例中,阻障膜214以1個至15個ALD循環沉積。
在一或多個實施例中,含鉭阻障膜214的沉積是實質上共形的。在一或多個實施例中,含鉭阻障膜214形成在至少一個特徵的第一側壁208、第二側壁210和底部212上。如本文所用,「實質上共形」的層是指整體而言(例如,在側壁的頂部、中間和底部上以及在開口206的底部上)厚度大約相同的層。實質上共形的層的厚度變化小於或等於約5%、2%、1%或0.5%。
在一或多個實施例中,含鉭阻障膜214包括鉭和金屬摻雜劑的合金,該金屬摻雜劑選自由釕(Ru)、鋨(Os)、鈀(Pd)、鉑(Pt)和銥 (Ir)所組成的群組。在一或多個實施例中,包含釕的金屬摻雜劑以小於30原子%、小於20原子%、小於10原子%或小於5原子%存在於含鉭阻障膜214中。在一或多個實施例中,包含鋨的金屬摻雜劑以小於20原子%、小於15原子%、小於10原子%或小於5原子%存在於含鉭阻障膜214中。在一或多個實施例中,包含鈀的金屬摻雜劑以小於10原子%或小於5原子%存在於含鉭阻障膜214中。在一或多個實施例中,包含鉑的金屬摻雜劑以小於5原子%、小於3原子%、小於2原子%或小於1原子%存在於含鉭阻障膜214中。在一或多個實施例中,包含銥的金屬摻雜劑以小於5原子%、小於3原子%、小於2原子%或小於1原子%存在於含鉭阻障膜214中。
不受理論束縛,形成鉭和選自釕 (Ru)、鋨 (Os)、鈀 (Pd)、鉑 (Pt) 和銥 (Ir) 所組成的群組的金屬摻雜劑的合金形成不會經歷晶體結構改變的含鉭阻障膜,該含鉭阻障膜保持低於鉭和金屬摻雜劑的合金的飽和極限。在一或多個實施例中,鉭和金屬摻雜劑之合金的飽和極限可以由雙相圖上的固相線(solidus line)所示。在一或多個實施例中,包含(Ru)的金屬摻雜劑以小於20原子%存在於含鉭阻障膜214中。在一或多個實施例中,當包含(Ru)的金屬摻雜劑以小於20原子%存在於阻障膜214中時,阻障膜214實質上不含鉭和包含(Ru)之金屬摻雜劑的介金屬化合物(intermetallic compound)。如本文所用,「實質上不含」是指小於1重量%。含鉭阻障膜也包括氮化鉭,在一些實施例中,氮化鉭與摻雜釕的鉭是在膜的不同區域中。換言之,含鉭阻障膜包括複合區域,該複合區域包括無摻雜的TaN區域以及鉭與選自由釕(Ru)、鋨(Os)、鈀(Pd)、鉑 (Pt) 和銥 (Ir)所組成的群組的金屬摻雜劑的合金區域。在一些實施例中,含鉭阻障膜包括複合區域,該複合區域包括無摻雜的TaN區域和Ru-Ta合金區域。在一些實施例中,該膜包含 Ru xTa y介金屬化合物(例如,Ta xRu yN或 Ta xRu yN zO),然而,在特定實施例中,相信實質上不含Ru xTa y介金屬化合物的膜相較於包含Ru xTa y介金屬化合物的膜展現改善的性質。
在一或多個實施例中,添加金屬摻雜劑以防止在阻障膜214中形成穩定的氮化物。如在本說明書和所附申請專利範圍中使用,術語「穩定的氮化物」是指:不與其他化合物反應的含氮化合物,或是當金屬原子與氮原子同時存在時形成的化合物。例如,不是穩定氮化物的氮化物可能導致含氮化合物(例如氮化鉭)與金屬原子(例如釕)鍵結。在一或多個實施例中,鍵結至金屬原子(例如釕)的含氮化合物(例如氮化鉭)與其他化合物反應,而與另一種不同的金屬原子或非金屬原子形成鍵結。在一或多個實施例中,選自由釕(Ru)、鋨(Os)、鈀(Pd)、鉑(Pt)和銥(Ir)所組成的群組的金屬摻雜劑不會在阻障膜214中形成穩定的氮化物。不受理論束縛,相信包含鐵(Fe)和錸(Re)中的一或多者的金屬摻雜劑形成弱穩定的氮化物。不受理論束縛,相信包括鎵(Ga)、鉿(Hf)、鈮(Nb)、矽(Si)、鈦(Ti)、釩(V)、鎢(W)和鉬(Mo)之一或多者的金屬摻雜劑形成穩定的氮化物。
在一或多個實施例中,金屬摻雜劑的密度大於包含氮化鉭的無摻雜阻障膜的密度。已發現有利的是,包含釕(Ru)的金屬摻雜劑的密度比無摻雜膜的密度大至少15%、10%或5%。不受理論的束縛,相信增加阻障膜214的密度改善阻障性質。
在一或多個實施例中,金屬摻雜劑擴散通過阻障填充物214而到達介電層204。不受理論束縛,認為金屬摻雜劑能夠選擇性地擴散通過阻障膜214而到達介電層,且與介電材料形成會抗電遷移的錯合物。一種提出的機制是,暴露的前驅物及/或個別解離的金屬原子能夠優先地經由晶界或其他弱路徑遷移至介電質/阻障物界面。
在一或多個實施例中,所形成的錯合物可以是金屬氧化物(MO x)或金屬矽酸鹽(MSi xO)。因此,在其中摻雜劑為釕(Ru)且介電層204包含氧化矽(SiO x)的實施例中,釕(Ru)能夠擴散通過阻障膜214並且形成氧化釕(RuO x)或氧化釕矽(RuSiO x)。該氧化釕矽(RuSiO x)的阻障膜214隨後能夠防止銅從導電隙縫填充金屬222電遷移到介電層204。
除了作為導電隙縫填充金屬222阻障層之外,含鉭阻障膜214也可以是對氧從介電層204擴散到導電材料222的阻障物。從介電層204擴散到導電隙縫填充金屬222的氧能造成氧與導電隙縫填充金屬222及/或種晶層(未示出)中的成分反應。例如,如果導電隙縫填充金屬222包括銅(Cu),則氧能夠在阻障膜和導電隙縫填充金屬222的界面處與銅反應,從而將銅釘扎至阻障膜/導電材料界面。於是,銅無法在整個導電隙縫填充金屬中偏析(segregate)。類似地,如果存在包含銅的種晶層,則氧能夠在種晶層/阻障膜界面處與種晶層中的銅反應,並且將銅釘扎至該界面。
在一或多個實施例中,認為擴散到阻障膜中的氧會與摻雜劑反應並且會防止氧擴散到導電隙縫填充金屬222中。於是,氧會無法用於與種晶層或導電隙縫填充金屬222反應。在一或多個實施例中,氧原子及/或碳原子的存在會劣化阻障膜214的阻障性質。如在本說明書和所附申請專利範圍中使用,包含「實質上無」給定元素之原子的材料、膜或層包含:以原子計,小於或等於約10%、小於或等於約 5%、小於或等於約 2%、小於或等於約1%、小於或等於約 0.5%、或小於或等於約 0.1% 的所述元素。在一或多個實施例中,阻障膜214包含:實質上無氧原子或碳原子。
一或多個實施例提供,沉積阻障膜214包括沉積多個交替層,該等交替層包括鉭和金屬摻雜劑,該金屬摻雜劑選自由釕(Ru)、鋨(Os)、鈀(Pd)、鉑(Pt)和銥(Ir)所組成的群組。摻雜的含鉭膜可藉由任何適當的沉積製程形成。例如,能夠藉由交替層沉積(ALD)製程或電漿增強原子層沉積(PEALD)以沉積鉭。然後,能夠藉由化學氣相沉積(CVD)、物理氣相沉積(PVD)或ALD沉積金屬摻雜劑。然後,將金屬摻雜劑擴散到鉭膜中以形成整合的鉭-金屬摻雜劑膜。金屬摻雜劑可透過各種製程擴散到鉭膜中,該製程包括藉由電漿處理和藉由加熱。鉭和金屬摻雜劑可以以交替層的方式沉積,直到形成具有期望厚度的含鉭阻障膜為止。
圖3A繪示在沉積含鉭阻障膜214之後的微電子元件200的部分,該含鉭阻障膜214覆蓋至少一個特徵206的第一側壁208、第二側壁210和底部212的至少一部分。如圖3A所繪示,阻障膜214可覆蓋至少一個特徵206的第一側壁208、第二側壁210和底部212的整體。
參考圖1及如圖3B,在操作106,金屬襯墊膜216沉積在阻障膜214上。根據一或多個實施例,金屬襯墊膜216能夠藉由下述方式形成:以CVD、PVD、或ALD沉積金屬或使金屬前驅物共同反應。取決於所使用的襯墊金屬,可使用共反應物或共前驅物以沉積金屬襯墊膜216。在一或多個實施例中,金屬襯墊膜216的沉積是實質上共形的。如圖3B所繪示,金屬襯墊膜216可覆蓋至少一個特徵206的第一側壁208、第二側壁210和底部212的整體。
在一或多個實施例中,金屬襯膜216可以具有與阻障膜214相同的性質。在一或多個實施例中,阻障膜214和金屬襯墊膜216各者包括鉭和包含鋨(Os)的金屬摻雜劑的合金。在一或多個實施例中,相較於不包含鋨(Os)的鉭金屬襯墊膜,包含鉭和包含鋨(Os)的金屬摻雜劑的合金的金屬襯墊膜216改善銅的成核。
本案揭示內容的實施例提供了具有多層阻障膜的微電子元件的一部分。在一或多個實施例中,多層阻障膜包括第一含鉭阻障膜和第二含鉭阻障膜。一些實施例包括在介電層上形成阻障膜,進一步包括:藉由原子層沉積在基板上形成第一阻障膜;藉由在快閃化學氣相沉積製程期間將第一含鉭阻障膜暴露於金屬前驅物,而以金屬摻雜劑摻雜第一阻障膜,以形成摻雜的第一阻障膜;以及藉由一或多個原子層沉積在摻雜的第一阻障膜上形成第二阻障膜,以形成摻雜的阻障膜。在未繪示的實施例中,第二阻障膜沉積在阻障膜214上以形成摻雜的阻障膜。在一或多個實施例中,第二阻障膜包括與阻障膜214相同的材料。
在一或多個實施例中,摻雜的阻障膜是藉由化學氣相沉積而沉積,並且具有在從約1埃到約3埃的範圍內的厚度。在一或多個實施例中,摻雜的阻障膜包含:基於阻障膜的總重量,約0.01至約50重量%的摻雜劑。在某些實施例中,摻雜的阻障膜包含約5%至約70%範圍的摻雜劑,例如約10至約30重量%的範圍的摻雜劑,例如約8至約25重量%的範圍的摻雜劑,或約10至約20重量%的範圍的摻雜劑。在一些實施例中,阻障膜214包括:約5至約30重量%的範圍的摻雜劑,例如約5重量%、約6重量%、約7重量%、約8重量%、約9重量%、約10重量%、約11重量%、約12重量%、約13重量%、約14重量%、15重量%、約16重量%、約17重量%、約18重量%、約19重量%、約20重量%、約21重量%、約22重量%、約23重量%、約24重量%、25重量%、約26重量%、約27重量%、約28重量%、約29重量%、或約 30重量%的摻雜劑。
在一或多個實施例中,第二阻障膜是藉由原子層沉積(ALD)而沉積,並且具有在從大約2埃到大約6埃的範圍內的厚度。在一些實施例中,第二阻障膜以單一ALD循環中沉積。在其他實施例中,第二阻障膜以1至15個ALD循環沉積。
在一或多個實施例中,摻雜的阻障膜(包括阻障膜214、摻雜的阻障膜、和第二阻障膜)的組合厚度在從約5埃到約15埃的範圍內,或從約8埃至約10埃。在進一步的實施例中,組合厚度小於約15埃。在一或多個實施例中,摻雜的阻障膜具有高金屬含量和非晶的結晶度。不受理論束縛,認為摻雜含鉭阻障膜會減少所沉積的阻障膜的ALD結晶度,而能夠減少晶界上的擴散捷徑。由於摻雜劑擴散極少,所以在阻障膜內(而非在阻障層頂部上)摻雜可緩和整合及侵蝕風險。
在一或多個實施例中,摻雜的阻障膜包括含鉭阻障膜中的金屬摻雜劑,其中該金屬摻雜劑是奈米晶體(nanocrystallite)的無定形基質(amorphous matrix)。在特定實施例中,摻雜的鉭阻障膜包括在氮化鉭膜中的釕(Ru),其中釕(Ru)是奈米晶體的無定形基質。一或多個實施例的摻雜的阻障膜顯示出比無摻雜的含鉭阻障膜更佳的擴散阻障性質。此外,一或多個實施例的摻雜的阻障膜證實對銅和氧化物的優異黏附。
參考圖參考圖1和3C,在操作108,導電隙縫填充金屬222填充以阻障膜214和金屬襯墊膜216加襯的溝槽206的至少一部分。根據一或多個實施例,導電隙縫填充金屬222包括銅(Cu)或銅合金。在進一步的實施例中,導電隙縫填充金屬222進一步包括錳(Mn)。在其他實施例中,導電隙縫填充金屬222進一步包括鋁(Al)。在一些實施例中,導電隙縫填充金屬222包括鎢(W)。
雖然圖4中的導電隙縫填充金屬222顯示為直接接觸金屬襯墊膜216,但可以有中間層位在導電隙縫填充金屬222和金屬襯墊膜216之間,例如黏附層或種晶層。例如,在一或多個實施例中,微電子元件200進一步包括黏附層,該黏附層包括一金屬摻雜劑,該金屬摻雜劑選自由釕(Ru)、鋨(Os)、鈀(Pd)、鉑(Pt)和銥(Ir)所組成的群組。在某些實施例中,可以在金屬襯墊膜216的頂部上沉積種晶層(未示出)。根據一或多個實施例,種晶層可包括銅合金,例如Cu-Ta合金或Cu-Mn合金。
在操作110,視情況任選地對微電子元件200的部分進行後處理。視情況任選的後處理操作110能夠是例如修改膜性質的製程(例如退火)或進一步膜沉積製程(例如額外的ALD或CVD製程)以生長額外的膜。在一些實施例中,視情況任選的後處理操作110能夠是修改沉積膜的性質的製程。在一些實施例中,視情況任選的後處理操作110包括退火沉積狀態的(as-deposited)膜。在一些實施例中,退火是在約300℃、400℃、500℃、600℃、700℃、800℃、900℃或1000℃範圍內的溫度下完成。一些實施例的退火環境包括下述一或多者:惰性氣體(例如,分子氮(N 2)、氬(Ar))或還原氣體(例如,分子氫(H 2)或氨(NH 3))或氧化劑,該氧化劑例如但不限於氧氣(O 2)、臭氧(O 3)或過氧化物。能夠將退火進行任何合適的時間長度。在一些實施例中,將膜退火約15秒至約90分鐘的範圍或約1分鐘至約60分鐘的範圍內的預定時間。在一些實施例中,退火沉積狀態的膜會增加膜的密度、減少電阻率及/或增加膜的純度。
在一些實施例中,將基板從第一腔室移動到分開的下一個腔室以進行進一步處理。基板能夠直接從第一腔室移動到分開的處理腔室,或者該基板能夠從第一腔室移動到一個或多個移送腔室,然後移動到分開的處理腔室。在一些實施例中,阻障膜和摻雜劑膜的沉積能夠在單一腔室中完成,然後能夠在分開的腔室中執行後處理。因此,處理設備可包括與移送站連通的多個腔室。這類設備可稱為「群集工具」或「群集系統」等。
大致上,群集工具是包括多個腔室的模組化系統,該多個腔室執行各種功能,該等功能包括基板中心尋找和定向、脫氣、退火、沉積及/或蝕刻。根據一或多個實施例,群集工具包括至少第一腔室和中央移送腔室。中央移送腔室可容納機器人,該機器人能夠在處理腔室和裝載閘腔室(load lock chamber)之間來回傳送基板。移送腔室通常保持在真空條件下,並且提供中間階段,該中間階段用於從一個腔室至另一腔室和/或至位於群集工具前端的裝載閘腔室將基板來回傳送。可適於本案揭示內容的兩種已知的群集工具是Centura®和Endura®,兩者都可從美國加州Santa Clara的應用材料公司獲得。然而,可以改變腔室的確切佈置和組合,以為了執行本文所述製程的特定步驟。可以使用的其他處理腔室包括但不限於循環層沉積 (CLD)、原子層沉積(ALD)、化學氣相沉積 (CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、電漿氮化、脫氣、定向、羥基化及其他基板製程。藉由在群集工具上的腔室中執行製程,能夠避免基板遭受大氣雜質的表面污染,而不會在沉積後續膜之前氧化。
根據一或多個實施例,基板連續處於真空或「裝載鎖定」條件下,並且在從一個腔室移動到下一個腔室時不暴露於環境空氣。移送腔室因此處於真空下並且在真空壓力下「泵送降壓(pump down)」。惰性氣體可以存在於處理腔室或移送腔室中。在一些實施例中,惰性氣體用作淨化氣體以移除一些或所有反應物(例如,反應物)。根據一或多個實施例,在沉積腔室的出口處注射淨化氣體,以防止反應物(例如,反應物)從沉積腔室移動到移送腔室及/或額外的處理腔室。因此,惰性氣體流在腔室的出口處形成簾幕。
能夠在單一基板沉積腔室中處理基板,其中裝載、處理和卸載單一基板,之後再處理另一基板。也能夠以連續方式處理基板,類似於輸送系統,其中多個基板被個別裝載到腔室的第一部分,移動通過腔室,並從腔室的第二部分卸載。腔室的形狀和相關的輸送系統能夠形成直線路徑或彎曲路徑。另外,處理腔室可以是旋轉料架(carousel),其中多個基板圍繞中心軸移動並且在整個旋轉料架路徑中暴露於沉積、蝕刻、退火、清潔等製程。
在處理期間,能夠加熱或冷卻基板。這種加熱或冷卻能夠藉由任何合適的手段完成,該手段包括但不限於改變基板支撐件的溫度以及使加熱或冷卻的氣體流到基板表面。在一些實施例中,基板支撐件包括加熱器/冷卻器,該加熱器/冷卻器能夠受到控制以傳導方式改變基板溫度。在一或多個實施例中,將所採用的氣體(反應性氣體或惰性氣體)進行加熱或冷卻,以局部改變基板溫度。在一些實施例中,加熱器/冷卻器定位在腔室內鄰近基板表面處,以對流方式改變基板溫度。
在處理期間,基板也能夠是靜止的或旋轉的。旋轉的基板能夠(繞基板軸)連續旋轉或以分立的步驟旋轉。例如,可以在整個製程期間旋轉基板,或者可以在暴露於不同的反應性氣體或淨化氣體之間使基板旋轉很少量。在處理期間(無論連續或多步驟)旋轉基板都可藉由最小化例如氣流幾何形狀中局部可變性之影響而有助於產生更均勻的沉積或蝕刻。
本案揭示內容的另外的實施例涉及用於形成元件及實行所述方法的處理工具900,如圖4所示。集群工具900包括至少一個中央移送站921、931,該中央移送站921、931具有複數個側面。機器人925、935定位在中央移送站921、931內,且配置成將機器人葉片和晶圓移動至複數個側面中的每一者。
集群工具900包括連接到中央移送站的複數個處理腔室902、904、906、908、910、912、914、916和918,也稱為處理站。各種處理腔室提供與相鄰處理站隔離的分開的處理區域。處理腔室能夠是任何合適的腔室,包括但不限於原子層沉積腔室、化學氣相沉積腔室、及退火腔室等。處理腔室和部件的特定佈置能夠根據集群工具而有所不同,且不應被視為限制本案揭示內容的範疇。
在圖4所示的實施例中,工廠界面950連接集群工具900的前部。工廠界面950包括在工廠界面950的前部951上的裝載腔室954和卸載腔室956。雖然裝載腔室954顯示在左側,而卸載腔室956顯示在右側,但熟悉此技術者會理解這僅代表一種可能的配置。
裝載腔室954和卸載腔室956的尺寸和形狀能夠根據例如在集群工具900中處理的基板而有所不同。在所示的實施例中,裝載腔室954和卸載腔室956的尺寸設計成保持晶圓匣,該晶圓匣內定位有複數個晶圓。
機器人952是在工廠界面950內,並且能夠在裝載腔室954和卸載腔室956之間移動。機器人952能夠將晶圓從裝載腔室954中的匣透過工廠界面950移送至裝載閘腔室960。機器人952也能夠將晶圓從裝載閘腔室962透過工廠界面950移送至卸載腔室956中的匣。如熟悉此技術者所理解,工廠界面950能夠具有超過一個機器人952。例如,工廠界面950可具有:第一機器人,該第一機器人在裝載腔室954和裝載閘腔室960之間移送晶圓;以及,第二機器人,該第二機器人在裝載閘962和卸載腔室956之間移送晶圓。
所示的集群工具900具有第一區段920和第二區段930。第一區段920透過裝載閘腔室960、962連接工廠界面950。第一區段920包括第一移送腔室921,該第一移送腔室921中定位有至少一個機器人925。機器人925也稱為機器人晶圓傳送機構。第一移送腔室921相對於裝載閘腔室960、962、處理腔室902、904、916、918和緩衝腔室922、924位於中心。一些實施例的機器人925是多臂式機器人,能夠一次獨立移動超過一個晶圓。在一些實施例中,第一移送腔室921包括超過一個的機器人晶圓傳送機構。第一移送腔室921中的機器人925配置成在第一移送腔室921周圍的腔室之間移動晶圓。個別晶圓搭載於晶圓傳送葉片上,該晶圓傳送葉片位於第一機器人機構遠端。
在第一區段920中處理晶圓後,能夠將晶圓透過穿過腔室(pass-through chamber)傳送至第二區段930。例如,腔室922、924能夠是單向或雙向穿過腔室。例如,穿過腔室922、924能夠用於例如在第二區段930中進行處理之前對晶圓進行低溫冷卻,或者在移回第一區段920之前容許晶圓冷卻或進行後處理。
系統控制器990與第一機器人925、第二機器人935、第一複數個處理腔室902、904、916、918和第二複數個處理腔室906、908、910、912、914通訊。系統控制器990能夠是任何合適的部件,該部件能夠控制處理腔室和機器人。例如,系統控制器990能夠是電腦,該電腦包括中央處理單元(CPU)992、記憶體994、輸入/輸出(I/O)996、及支援電路998。控制器990可直接控制處理工具900,或是透過與特定處理腔室及/或支援系統部件相關聯的電腦(或控制器)控制處理工具900。
在一或多個實施例中,控制器990可以是任何形式的通用電腦處理器中的一種,該處理器能夠在工業設施中使用,以控制各種腔室和子處理器。控制器990的記憶體994或電腦可讀媒體可以是易於取得的記憶體的一或多者,例如非暫態記憶體(例如,隨機存取記憶體(RAM))、唯讀記憶體(ROM)、軟體、硬體、光學儲存媒體(例如,CD或DVD)、隨身碟、或任何其他形式的本地端或遠端數位儲存器。記憶體994能夠保留可由處理器(CPU 992)操作的指令集,以控制處理工具900的參數和部件。
支援電路998耦接CPU 992,用於以習知方式支援處理器。這些電路包括高速緩衝儲存器、電源供應器、時脈電路、輸入/輸出電路系統和子系統等。一個或多個製程可儲存於記憶體994中以作為軟體常式,當由處理器執行或調動該軟體常式時,使處理器以本文描述的方式控制處理工具900或個別處理單元的操作。軟體常式也可以由第二CPU(未示出)儲存及/或執行,該第二CPU位在由CPU992控制的硬體的遠端。
本案揭示內容的一些或所有製程和方法也可以在硬體中執行。就此而言,該製程可以以軟體實施並且於硬體中使用電腦系統執行,或是軟體與硬體之組合,該硬體例如應用專一的積體電路或其他類型的硬體實施方式。當由處理器執行時軟體常式時,該軟體常式將通用電腦轉換為專用電腦(控制器),該專用電腦(控制器)控制腔室操作,從而執行製程。
在一些實施例中,控制器990具有一種或多種配置方式,以執行個別的製程或子製程以執行該方法。控制器990能夠連接至中間部件並且配置為操作中間部件,以執行方法的功能。例如,控制器990能夠連接至物理氣相沉積腔室且配置為控制物理氣相沉積腔室。
製程大致上可儲存在系統控制器990的記憶體994中作為軟體常式,當該軟體常式由處理器執行時,使處理腔室執行本案揭示內容的製程。軟體常式也可以由第二處理器(未示出)儲存及/或執行,該第二處理器位在由處理器控制的硬體的遠端。本案揭示內容的一些或所有製程和方法也可以在硬體中執行。就此而言,該製程可以以軟體實施並且於硬體中使用電腦系統執行,或是軟體與硬體之組合,該硬體例如應用專一的積體電路或其他類型的硬體實施方式。當由處理器執行時軟體常式時,該軟體常式將通用電腦轉換為專用電腦(控制器),該專用電腦(控制器)控制腔室操作,從而執行製程。
在一些實施例中,系統控制器990具有控制原子層沉積腔室以在基板上沉積含鉭阻障膜的配置方式。受控系統990具有第二配置方式以控制化學氣相沉積腔室,而在約20℃至約400℃範圍內的溫度下在阻障膜上沉積金屬膜。
在一或多個實施例中,一種處理工具包括:中央移送站,包括:機器人,配置為移動晶圓;複數個處理站,每一處理站連接該中央移送站且提供與相鄰處理站之處理區域分開的處理區域,該複數個處理站包括沉積腔室、電漿處理腔室、遠端電漿源、和退火腔室;以及,控制器,連接該中央移送站和該複數個處理站,該控制器配置成啟動該機器人以在處理站之間移動該晶圓,並且控制在該等處理站之每一者中發生的製程。
一些實施例提供了非暫態電腦可讀媒體,該非暫態電腦可讀媒體使處理系統在微電子元件中形成互連件。在一或多個實施例中,一種非暫態電腦可讀媒體包括多個指令,當由處理系統的控制器執行該等指令時,使處理系統執行下述操作:f在基板上形成介電層,該介電層包括至少一個特徵,該至少一個特徵界定隙縫;在該介電層上形成含鉭阻障膜,該阻障膜包括鉭和金屬摻雜劑的合金,該金屬摻雜劑選自由釕(Ru)、鋨(Os)、鈀(Pd)、鉑(Pt)和銥(Ir)所組成的群組;在該阻障膜上沉積金屬襯墊膜;以及,在該金屬襯墊膜上沉積導電隙縫填充金屬。
在整個說明書中,對「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」的指涉意味著與該實施例相關描述的特定特徵、結構、材料、或特性包括在本案揭示內容的至少一個實施例中。因此,在整個說明書中各處出現的詞彙諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」不必然是指本案揭示內容的相同實施例。再者,該特定的特徵、結構、材料或特性可於一或多個實施例中以適合的方式組合。
儘管在此已參考特定實施例描述本案揭示內容,但應理解,這些實施例僅是說明本案揭示內容的原理和應用。對於熟悉此技術者而言,會明瞭在不脫離本案揭示內容的精神和範疇的情況下,可以對本案揭示內容的方法和設備進行各種修改和變化。因此,希望本案揭示內容包括在所附之申請專利範圍及其等效例的範疇內的修改和變化。
100:方法 102~110:操作 200:微電子元件 202:基板 204:介電層 206:特徵 208:第一側壁 210:第二側壁 212:底部 214:阻障膜 216:金屬襯墊膜 222:導電隙縫填充金屬 900:群集工具 901:前部 902,904,906,908,910,912,914,916,918:處理腔室 920:第一區段 921,931:移送站/移送腔室 922,924:緩衝腔室/穿過腔室 925,935:機器人 930:第二區段 950:工廠界面 952:機器人 954:裝載腔室 956:卸載腔室 960,962:裝載閘腔室 990:系統控制器 992:CPU 994:記憶體 996:輸入/輸出 998:支援電路
為了能夠詳細理解本發明的上述特徵的方式,可透過參考實施例(其中一些繪示於所附的圖式)而獲得上文簡要概括的該發明的更特定的描述。然而,應注意,所附的圖式僅繪示此發明的典型實施例,因此不應被認為是對其範疇的限制,因為該發明可容許其他等效實施例。
圖1繪示根據本案揭示內容的一或多個實施例的用於在微電子元件中形成互連件的方法的製程流程圖;
圖2繪示在利用一或多個實施例的製造階段期間微電子元件的一部分,該微電子元件具有在基板上的介電層;
圖3A繪示圖2中所示的介電層上形成的含鉭阻障膜;
圖3B繪示圖3A中形成的含鉭阻障膜上沉積的金屬襯墊膜;
圖3C繪示圖3B中形成的金屬襯墊膜上沉積的導電隙縫填充物;及
圖4繪示根據本案揭示內容的一或多個實施例的群集工具的剖面視圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:方法
102~110:操作

Claims (20)

  1. 一種微電子元件,包括: 一介電層,位於一基板上,該介電層包括至少一個特徵,該至少一個特徵界定一隙縫; 一阻障膜,位於該介電層上,該阻障膜包含鉭和一金屬摻雜劑的一合金,該金屬摻雜劑選自由釕(Ru)、鋨(Os)、鈀(Pd)、鉑(Pt)和銥(Ir)所組成的群組; 一金屬襯墊膜,位於該阻障膜上;以及 一隙縫填充金屬,位於該金屬襯墊膜上。
  2. 如請求項1所述之微電子元件,其中該金屬摻雜劑是以少於30原子%存在於該阻障膜中。
  3. 如請求項1所述之微電子元件,其中該金屬摻雜劑是以少於20原子%存在於該阻障膜中。
  4. 如請求項1所述之微電子元件,其中該金屬摻雜劑包括釕(Ru)。
  5. 如請求項4所述之微電子元件,其中該釕(Ru)是以少於20原子%存在於該阻障膜中。
  6. 如請求項1所述之微電子元件,其中該金屬摻雜劑包括鋨(Os)。
  7. 如請求項6所述之微電子元件,其中該阻障膜與該金屬襯墊膜之各者包括鉭和該金屬摻雜劑的該合金,該金屬摻雜劑包括鋨(Os)。
  8. 如請求項7所述之微電子元件,其中該金屬襯墊膜包括鉭和該金屬摻雜劑的該合金,該金屬摻雜劑包括鋨(Os)成核,該金屬襯墊膜相較於包含鉭但不包括鋨(Os)的一襯墊膜改善銅的成核。
  9. 如請求項1所述之微電子元件,其中該阻障膜具有範圍從8埃至10埃的一厚度。
  10. 一種用於形成微電子元件之方法,該方法包括: 在一基板上形成一介電層,該介電層包括至少一個特徵,該至少一個特徵界定一隙縫; 在該介電層上形成一阻障膜,該阻障膜包含鉭和一金屬摻雜劑的一合金,該金屬摻雜劑選自由釕(Ru)、鋨(Os)、鈀(Pd)、鉑(Pt)和銥(Ir)所組成的群組; 在該阻障膜上沉積一金屬襯墊膜;以及 在該金屬襯墊膜上沉積一導電隙縫填充金屬。
  11. 如請求項10所述之方法,其中該金屬摻雜劑是以少於20原子%存在於該阻障膜中。
  12. 如請求項10所述之方法,其中添加該金屬摻雜劑以防止在該阻障膜中形成一穩定的氮化物。
  13. 如請求項10所述之方法,其中該金屬摻雜劑的密度大於包括氮化鉭(TaN)的一無摻雜阻障膜的密度。
  14. 如請求項10所述之方法,其中該金屬摻雜劑包括釕(Ru)。
  15. 如請求項14所述之方法,其中包括釕(Ru)的該金屬摻雜劑是藉由一化學氣相沉積(CVD)製程形成。
  16. 如請求項15所述之方法,其中形成鉭與含釕(Ru)的該金屬摻雜劑的該合金包括:分別地使一含鉭前驅物與一含釕前驅物共流。
  17. 如請求項16所述之方法,其中該含鉭前驅物包括五(二甲胺基)鉭(V)(PDMAT)。
  18. 如請求項10所述之方法,其中該金屬摻雜劑包括藉由一原子層沉積(ALD)製程所形成的鋨(Os)。
  19. 如請求項10所述之方法,其中在該介電層上形成該阻障膜包括:藉由原子層沉積在一基板上形成一第一阻障膜;藉由在一快閃化學氣相沉積製程期間將該第一阻障膜暴露於一金屬前驅物,而以一金屬摻雜劑摻雜該第一阻障膜,以形成一摻雜的第一阻障膜;以及藉由一或多個原子層沉積在該摻雜的第一阻障膜上形成一第二阻障膜,以形成一摻雜的阻障膜。
  20. 如請求項10所述之方法,其中該阻障膜實質上不包括氧原子或碳原子。
TW111123594A 2021-09-09 2022-06-24 經摻雜之含鉭阻障膜 TW202315118A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163242266P 2021-09-09 2021-09-09
US63/242,266 2021-09-09

Publications (1)

Publication Number Publication Date
TW202315118A true TW202315118A (zh) 2023-04-01

Family

ID=85386430

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111123594A TW202315118A (zh) 2021-09-09 2022-06-24 經摻雜之含鉭阻障膜

Country Status (3)

Country Link
US (1) US20230070489A1 (zh)
TW (1) TW202315118A (zh)
WO (1) WO2023038686A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US9659814B2 (en) * 2013-02-01 2017-05-23 Applied Materials, Inc. Doping control of metal nitride films
CN108063117B (zh) * 2016-11-09 2020-12-01 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
US9972672B1 (en) * 2017-01-11 2018-05-15 International Business Machines Corporation Tunable resistor with curved resistor elements
US10886226B2 (en) * 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers

Also Published As

Publication number Publication date
WO2023038686A1 (en) 2023-03-16
US20230070489A1 (en) 2023-03-09

Similar Documents

Publication Publication Date Title
US11587829B2 (en) Doping control of metal nitride films
KR102189781B1 (ko) 망간 및 망간 니트라이드들의 증착 방법들
TWI821661B (zh) 金屬阻障層之摻雜
US11286556B2 (en) Selective deposition of titanium films
US20200090991A1 (en) Method Of Forming Via With Embedded Barrier
TWI609095B (zh) 用於氮化錳整合之方法
TW202315118A (zh) 經摻雜之含鉭阻障膜
US20240006235A1 (en) Composite barrier layers
US11587873B2 (en) Binary metal liner layers
US20230072614A1 (en) Method Of Forming A Metal Liner For Interconnect Structures
US20230253248A1 (en) Methods of forming metal liner for interconnect structures