US20060283716A1 - Method of direct plating of copper on a ruthenium alloy - Google Patents

Method of direct plating of copper on a ruthenium alloy Download PDF

Info

Publication number
US20060283716A1
US20060283716A1 US11/373,635 US37363506A US2006283716A1 US 20060283716 A1 US20060283716 A1 US 20060283716A1 US 37363506 A US37363506 A US 37363506A US 2006283716 A1 US2006283716 A1 US 2006283716A1
Authority
US
United States
Prior art keywords
barrier
copper
layer
plating
adhesion layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/373,635
Inventor
Hooman Hafezi
Aron Rosenfeld
Zhi-Wen Sun
Hua Chung
Lei Zhu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/616,097 external-priority patent/US20050006245A1/en
Priority claimed from US11/007,857 external-priority patent/US20050274621A1/en
Priority claimed from US11/012,965 external-priority patent/US20050274622A1/en
Priority claimed from US11/255,368 external-priority patent/US20070125657A1/en
Application filed by Individual filed Critical Individual
Priority to US11/373,635 priority Critical patent/US20060283716A1/en
Priority to PCT/US2006/060072 priority patent/WO2007111676A2/en
Priority to TW095138839A priority patent/TWI376433B/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SUN, ZHI-WEN, ZHU, LEI, HAFEZI, HOOMAN, CHUNG, HUA, ROSENFELD, ARON
Publication of US20060283716A1 publication Critical patent/US20060283716A1/en
Priority to US13/150,850 priority patent/US20110259750A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/60Electroplating characterised by the structure or texture of the layers
    • C25D5/615Microstructure of the layers, e.g. mixed structure
    • C25D5/617Crystalline layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • Embodiments of the present invention generally relate to a method to deposit a metal layer with electrochemical plating and more particularly, to the direct plating of a copper layer onto a ruthenium alloy barrier or adhesion layer.
  • Metallization for sub-quarter micron sized features is a foundational technology for present and future generations of integrated circuit manufacturing processes.
  • the multilevel interconnects that lie at the heart of these devices are generally formed by filling high aspect ratio interconnect features with a conductive material (e.g., copper or aluminum).
  • a conductive material e.g., copper or aluminum.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • plating techniques such as electrochemical plating (ECP) and electroless plating have emerged as viable processes for filling sub-quarter micron sized, high aspect ratio interconnect features in integrated circuit manufacturing processes.
  • ECP processes In an ECP process, sub-quarter micron sized high aspect ratio features formed into the surface of a substrate may be efficiently filled with a conductive material, such as copper.
  • a conductive material such as copper.
  • Most ECP processes generally involve two stage processes, wherein a seed layer is first formed over the surface features of the substrate (this process may be performed in a separate system), and then the substrate surface features are exposed to an electrolyte solution while an electrical waveform is simultaneously applied between the substrate and an anode positioned within the electrolyte solution.
  • the electrolyte solution is generally rich in metal ions to be plated onto the surface of the substrate. Therefore, the application of the electrical waveform drives a reductive reaction to reduce the metal ions and precipitate the respective metal. Upon precipitating, the metal plates onto the seed layer to form a film.
  • a relatively thick copper layer e.g., >200 ⁇
  • a relatively thick copper layer e.g., >200 ⁇
  • a small (e.g. ⁇ 0.1 ⁇ m) high aspect ratio feature which during subsequent copper plating often causes the throat of the feature to close before the feature sidewalls are covered.
  • copper purity is generally questionable due to difficult complete precursor-ligand removal.
  • ALD techniques though capable of giving generally conformal deposition with good adhesion to the barrier layer, suffer from very low deposition rates for depositing a continuous copper film on the sidewalls of adequate thickness to serve as a seed layer.
  • PVD has been a preferred technique to deposit a copper seed layer.
  • electroless plating techniques for depositing a seed layer onto a barrier layer of tantalum or tantalum nitride are known.
  • these techniques have suffered from several problems, such as adhesion failure between the copper seed layer and the barrier layer, as well as the added complexity of a complete electroless deposition system and the associated difficulties of process control.
  • adhesion failure between the copper seed layer and the barrier layer as well as the added complexity of a complete electroless deposition system and the associated difficulties of process control.
  • the copper seed supports the subsequently deposited bulk copper and improves adhesion to the barrier layer.
  • Adhesion of a copper layer is very important for electronic device manufacturing to prevent device features from being damaged during subsequent CMP processes. Good adhesion between a barrier layer and a subsequently deposited copper layer also prevents stress migration failures in the device.
  • a stress migration failure is a highly localized delamination failure that takes place in an electronic device during the thermal cycling associated with normal usage. This thermal cycling may create voids that coalesce over time into points of failure. Hence, adhesion between a copper and barrier layers is an important factor to be considered for the manufacture of electronic devices.
  • Methods known in the art for determining the adhesion of a deposited film include the tape-pull test, or “pull test” and the scribe-hatch test, or “scribe test”.
  • the pull test involves applying a standard adhesive tape to the surface of a substrate on which the layers to be tested have been deposited. The tape is then removed and a film that is weakly adhered to the substrate will also be removed.
  • the scribe test is a more rigorous version of the pull test, in which the surface of the substrate is first cross-hatched with a scribe prior to application of the adhesive tape.
  • deposited films that pass these tests reliably will generally not show adhesion-related problems later, such as pull-out during CMP or stress migration failures during electronic device use.
  • a deposited film with poor adhesion to the underlying surface will routinely fail the pull test and may even spontaneously spall off the underlying surface.
  • a deposited film with marginal adhesion may pass the pull test but may fail the scribe test.
  • Another instance of marginal film adhesion is when the film passes both the pull and scribe tests, but not reliably.
  • the deposited film may only fail the scribe test at certain locations on the substrate, or it may only fail on intermittent substrates, or both.
  • a deposited film that is “adherent” or has “good adhesion”, as used herein, is defined as a deposited film or layer that reliably passes the pull test and scribe test on all regions of the substrate and for all substrates.
  • Direct plating is defined as the method of electrochemically plating a more conductive metal layer, such as a copper seed layer, onto a substantially less conductive layer, such as a barrier or barrier/adhesion layer, to facilitate the subsequent uniform and void-free deposition of a gapfill layer and/or an overfill layer.
  • Direct electroplating onto conventional barrier materials, such as tantalum or tantalum nitride is difficult, since these traditional barrier materials generally have insulating native oxides across the surface. The presence of tantalum oxides result in very little adhesion between an electroplated copper layer and the barrier layer.
  • the process should deposit the copper seed layer with a strong adhesion to the underlying layer and with good uniformity over the entire substrate surface. Also, the process should be applicable for a range of barrier layer materials, including tantalum (Ta), titanium, zirconium, hafnium, niobium, molybdenum and tungsten. Further, the barrier or adhesion layer should be maintained with little or no oxidation during seed layer deposition and also should not be chemically reduced during the deposition process. Finally, the process should allow the deposition of a seed layer and a gapfill layer sequentially in the same plating bath.
  • Embodiments of the present invention provide a method for depositing a copper seed layer onto a substrate surface, generally onto a barrier layer that is an alloy of a group VIII metal and a refractory metal.
  • the “term group VIII metals” i.e., old CAS system notation
  • group 8, 9 and 10 elements such as ruthenium (Ru), rhodium, palladium, cobalt, nickel, osmium, iridium, and platinum.
  • Refractory metals may include tantalum, titanium, zirconium, hafnium, niobium, molybdenum, tungsten and combinations thereof.
  • the alloy is an alloy consisting of at least 50 atomic % ruthenium and the balance tantalum.
  • a copper layer is electroplated on the alloy directly.
  • the substrate surface is immersed in an acidic plating bath and a nucleation waveform—to achieve critical overpotential nucleation density—is initially applied to the barrier layer to form a continuous and conformal copper seed layer.
  • a gapfill waveform may then be applied to the substrate surface to electrochemically plate a copper gapfill layer on the substrate surface.
  • the substrate is immersed in a neutral or alkaline (pH ⁇ 7.0) copper solution that includes complexed copper ions and a current or bias is applied across the substrate surface.
  • the complexed copper ions include a carboxylate ligand, such as oxalate or tartrate, or ethylenediamine (ED), EDTA and/or acetate.
  • the complexed copper ions are reduced to deposit a continuous and conformal copper seed layer onto the barrier layer.
  • a gapfill waveform may then be applied to the substrate surface to electrochemically plate a copper gapfill layer on the substrate surface.
  • a continuous copper seed layer is formed on the alloy barrier layer in a neutral or alkaline copper solution as described above, but the gapfill layer is plated onto the copper seed layer in an acidic plating solution.
  • the surface of the barrier layer is conditioned prior to plating to improve adhesion and reduce the critical current density for plating on the barrier layer.
  • the conditioning may include cathodic pre-treatment in an acid-containing solution that is free of copper ions or a plasma pre-treatment in a hydrogen or hydrogen/helium mixture.
  • FIGS. 1A and 1B illustrate cross-sectional views of a substrate at different stages of a copper interconnect fabrication sequence.
  • FIG. 2 illustrates a copper layer formed on a substrate that may be comprised of multiple copper layers deposited by different electrochemical plating processes.
  • FIG. 3 is a graph depicting the relationship of critical current density on a substrate surface during plating versus sulfuric acid concentration in the plating bath.
  • FIG. 3A is a simplified cross sectional view of a plasma surface treatment chamber.
  • FIG. 4 is a top plan view of an electrochemical processing system capable of implementing the methodology of the present invention.
  • FIG. 5 illustrates a sectional view of an exemplary plating cell and plating head assembly capable of implementing the methodology of the present invention.
  • FIG. 6 is a flow chart of a substrate process sequence for embodiments of the invention.
  • the present invention teaches a method for depositing a copper layer onto a substrate surface, generally onto a barrier layer that is an alloy of a group VIII metal and a refractory metal.
  • a cathodic electrochemical pre-treatment or a plasma treatment may be used to condition the surface of the barrier layer prior to plating a copper layer directly onto barrier layer.
  • the copper layer may be plated on the barrier layer in an acidic electrolyte using a nucleation voltage pulse or in an alkaline bath containing a copper complexing agent.
  • Ruthenium thin films are a potential candidate for an adhesion layer between intermetal dielectric (IMD) layers and copper interconnect layers for ⁇ 45 nm technology.
  • Ruthenium is a group VIII metal that has a relatively low electrical resistivity (resistivity ⁇ 7 ⁇ -cm) and high thermal stability (high melting point ⁇ 2300° C.). It is relatively stable even in the presence of oxygen and water at ambient temperature.
  • the thermal and electrical conductivities of ruthenium are twice those of tantalum. Ruthenium also does not form an alloy with copper below 900° C. and shows good adhesion to copper. Therefore, the semiconductor industry has shown an interest in using ruthenium as an interlayer layer or adhesion layer.
  • the relatively low resistivity of ruthenium can be an advantage when trying to fill ruthenium-coated features with copper without a seed layer.
  • ruthenium layers are often very thin (10-100 ⁇ ) and have over three times the electrical resistivity of copper, ruthenium layers still exhibit high sheet resistances, e.g. >20 ohm/square for 100 ⁇ thick ruthenium films.
  • the terminal effect associated with trying to plate onto materials that have a high sheet resistance can make obtaining uniform, void-free copper films on 200 and 300 mm substrates problematic.
  • ruthenium layers are unable to act as copper diffusion barrier layers alone.
  • ruthenium adhesion layer it has been necessary for a ruthenium adhesion layer to be used in combination with a conventional tantalum-based barrier layer to provide the benefits of copper layer adhesion and preventing copper ion diffusion.
  • a ruthenium adhesion layer it has been necessary for a ruthenium adhesion layer to be used in combination with a conventional tantalum-based barrier layer to provide the benefits of copper layer adhesion and preventing copper ion diffusion.
  • a ruthenium adhesion layer it has been necessary for a ruthenium adhesion layer to be used in combination with a conventional tantalum-based barrier layer to provide the benefits of copper layer adhesion and preventing copper ion diffusion.
  • aspects of the invention contemplate the use of a combined barrier/adhesion layer, wherein the barrier/adhesion layer is comprised of an alloy of at least 50 atomic % ruthenium and wherein the balance of the alloy is comprised of a copper diffusion barrier material, such as tantalum.
  • the barrier material of the alloy may be other refractory metals, such as titanium, zirconium, hafnium, niobium, molybdenum, tungsten and combinations thereof.
  • Adherent copper layers may then be electrochemically plated directly onto the barrier/adhesion layer without the need of an additional barrier or adhesion layer. Methods thereof are described below in conjunction with FIGS. 4, 5 and 6 .
  • the alloy is a homogeneous layer of ruthenium and tantalum.
  • the alloy is a Ru—Ta alloy and is ruthenium-rich at the interface between the copper seed and the barrier/adhesion layer.
  • the alloy is a Ru—Ta alloy and is tantalum-rich at the interface between the barrier/adhesion layer and the inter-metal dielectric.
  • the barrier/adhesion layer may be an alloy comprised of at least 50 atomic % of one or more group VIII metals besides ruthenium, such as rhodium, palladium, cobalt, nickel, osmium, iridium, and platinum.
  • FIGS. 1A and 1B illustrate cross-sectional views of a substrate at different stages of a copper interconnect fabrication sequence incorporating a barrier/adhesion layer.
  • FIG. 1A illustrates a cross-sectional view of a substrate 100 having metal contacts 104 and a dielectric layer 102 formed thereon.
  • the substrate 100 may comprise a semiconductor material such as, for example, silicon, germanium, or gallium arsenide.
  • the dielectric layer 102 may comprise an insulating material such as, silicon dioxide, silicon nitride, silicon oxynitride and/or carbon-doped silicon oxides, for example, BLACK DIAMONDTM low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • the metal contacts 104 may comprise, for example, copper, among others.
  • Apertures 120 may be defined in the dielectric layer 102 to provide openings over the metal contacts 104 .
  • the apertures 120 are defined in the dielectric layer 102 using conventional lithography and etching techniques.
  • the width of apertures 120 may be as large as about 900 ⁇ or larger and as small as about 400 ⁇ .
  • the thickness of dielectric layer 102 could be in the range between about 1000 ⁇ to about 10000 ⁇ .
  • a barrier/adhesion layer 106 may be formed in the apertures 120 formed on the dielectric layer 102 .
  • the barrier/adhesion layer 106 is a thin film of a metal alloy, wherein the alloy is comprised of at least 50 atomic % of a group VIII metal, such as ruthenium, and the balance is a barrier metal, such as tantalum or other refractory metal.
  • the alloy is selected to provide good adhesion to the dielectric layer 102 , to act as a diffusion barrier to copper and to allow subsequent direct plating of copper thereon.
  • the barrier/adhesion layer 106 may be formed using a suitable deposition process, such as ALD, chemical vapor deposition or physical vapor deposition.
  • the barrier/adhesion layer 106 is deposited in apertures 120 by a PVD process.
  • the thickness of the barrier/adhesion layer 106 along the sidewalls 120 a may be between about 5 ⁇ to about 50 ⁇ and preferably less than about 30 ⁇ .
  • a Ru—Ta alloy when used as a barrier/adhesion layer 106 as shown in FIGS. 1A and 1B , has the combined benefits of blocking copper diffusion as effectively as conventional tantalum barrier layers and providing a suitable surface for direct plating of a copper seed layer but does not suffer from the same adhesion problems as found with conventional Ta and TaN barrier layers.
  • the barrier/adhesion layer 106 contains a Ru—Ta alloy that contains between about 70 atomic % and about 95 atomic % ruthenium and the balance tantalum.
  • the barrier/adhesion layer 106 preferably contains a Ru—Ta alloy that contains between about 70 atomic % and about 90 atomic % ruthenium and the balance tantalum.
  • the barrier/adhesion layer more preferably contains a Ru—Ta alloy that contains between about 80 atomic % and about 90 atomic % ruthenium and the balance tantalum. In one aspect, it may be desirable to select a Ru—Ta alloy that does not contain regions of pure tantalum on the surface.
  • a PVD-deposited barrier/adhesion layer 106 is preferred because it is then possible to deposit a Ru—Ta alloy layer that can be inhomogeneous, i.e. the concentration of the alloy can be made to vary controllably. For example it may be beneficial to minimize the concentration of tantalum at the interface between the barrier/adhesion layer 106 and copper layer 110 (copper layer 110 is illustrated in FIG. 1B ). A lower total concentration of tantalum at this interface may minimize regions of pure tantalum that will reduce adhesion of copper layer 110 to barrier/adhesion layer 106 . It may also be beneficial to maximize the concentration of tantalum at the interface between the barrier/adhesion layer 106 and the dielectric layer 102 in order to improve the performance of barrier/adhesion layer 106 as a copper diffusion barrier.
  • the apertures 120 may thereafter be filled with copper layer 110 via one or more direct electroplating processes performed on a barrier/adhesion layer 106 to complete the copper interconnect.
  • Some aspects of the invention contemplate the conditioning of the surface of barrier/adhesion layer 106 prior to direct plating. Conditioning methods include cathodic electrochemical pre-treatment and plasma treatment in a hydrogen or hydrogen/helium gas. Conditioning of the surface of barrier/adhesion layer 106 may be beneficial to the direct plating process by reducing the critical current density or by ensuring more uniform and dense nucleation. Critical current density (CCD) and its effect on the direct plating process are described below in conjunction with FIG. 3 and the description of the cathodic electrochemical pre-treatment of a barrier/adhesion layer.
  • CCD Critical current density
  • conditioning of the surface of a barrier/adhesion layer 106 has been shown to improve the adhesion of plated copper layers directly plated thereon.
  • cathodic electrochemical pre-treatment of Ru—Ta alloys has been shown to improve the adhesion of subsequently plated copper layers.
  • Ru—Ta alloys may not simply be reducing tantalum oxides present on the surface of the alloy but may instead be preferentially removing small quantities of tantalum—perhaps as little as one atomic monolayer.
  • agglomerations or islands of pure tantalum may form, possibly in regions as thin as a monolayer. This may result from a lack of PVD target homogeneity, preferential deposition of each component of the alloy during alternating plasma pulses, preferential re-sputtering of the alloy surface, or even metal atom mobility and like-atom agglomeration after being sputtered onto a substrate surface. Any of these mechanisms may create significant regions on the surface of a nominally homogeneous alloy that consist of pure tantalum and, once exposed to atmosphere, tantalum oxides.
  • cathodic reduction has been shown to improve the adhesion of directly plated copper layers on Ru—Ta alloy surfaces, whereas such a pre-treatment has proven ineffective for conventional tantalum and TaN surfaces.
  • a pre-treatment has proven ineffective for conventional tantalum and TaN surfaces.
  • only marginal adhesion is present for copper directly plated on a 90/10 ruthenium-tantalum alloy, whereas good adhesion of plated copper has been obtained after a cathodic electrochemical pre-treatment, even when the alloy surface is rinsed and dried after the cathodic pre-treatment.
  • cathodic reduction is successful on Ru—Ta alloy surfaces for one of several reasons.
  • the oxide generated on the alloy may be a mixed Ru—Ta alloy more amenable to cathodic reduction than tantalum oxide.
  • the area-fraction of tantalum-rich areas may be small enough for some Ru—Ta alloys that a high enough copper nucleation density may be present on the surface for an adherent copper layer to be formed. Or, the re-passivation kinetics of a Ru—Ta alloy may be slow enough to maintain the activated regions for a sufficient time to alloy copper plating.
  • the pre-treatment of a barrier/adhesion layer does not permanently improve the adhesion of a subsequently plated copper layer.
  • a long wait time between pre-treatment and direct plating has been shown to negate the benefits of pre-treatment of the barrier/adhesion layer.
  • the directly plated copper layer should be plated onto the barrier/adhesion layer less than about 150 minutes after the pre-treatment has taken place, preferably less than about 120 minutes and ideally less than about 2 to 5 minutes. After a wait time between pre-treatment and plating of about 4 hours, improved adhesion has been shown to be substantially diminished.
  • Embodiments of the invention further contemplate different electroplating methods for the deposition of copper layer 110 .
  • copper layer 110 may be comprised of multiple copper layers deposited by different electrochemical plating processes.
  • layers deposited on the substrate prior to copper deposition such as dielectric layer 102 , metal contacts 104 and barrier/adhesion layer 106 are illustrated together in FIG. 2 as conductive substrate surface 114 .
  • Copper layer 110 may include a thin, substantially conformal, continuous, void-free layer, hereinafter referred to as a seed layer 111 , and a gap fill layer 112 .
  • a seed layer 111 is electrochemically plated onto conductive substrate surface 114 using a complex alkaline bath and plating process described below in conjunction with FIGS. 3, 5 and 6 or a conventional acid bath gapfill process, described below in conjunction with FIGS. 5 and 6 .
  • An example of an electrochemical plating (ECP) system and an exemplary plating cell are described below in conjunction with FIGS. 4, 5 and 6 .
  • a seed layer 111 is electrochemically plated onto conductive substrate surface 114 using an acidic plating bath wherein a nucleation pulse is initially applied to the conductive substrate surface 114 when forming seed layer 111 .
  • Nucleation pulse for direct plating of a seed layer onto a barrier layer is described below in conjunction with FIG. 3 .
  • Gap fill layer 112 is then electrochemically plated onto seed layer 111 using the same plating bath.
  • aspects of the invention contemplate a cathodic electrochemical pre-treatment of a substrate surface consisting of a Ru—Ta alloy prior to electrochemical plating of copper onto the alloy. Such pre-treatment has been demonstrated to enhance the adhesion of the electroplated copper layer onto the alloy.
  • the plating current for a typical ECP process onto a copper seed layer is typically in the range from about 2 mA/cm 2 to about 10 mA/cm 2 for filling copper into submicron trench and/or via structures, such as apertures 120 (illustrated in FIGS. 1A and 1B ).
  • a plating current density of 2-10 mA/cm 2 will not provide deposition of a continuous copper film on a ruthenium layer, creating voids.
  • a continuous copper film is formed on ruthenium when the plating current density is increased and/or the electrolyte resistivity is reduced beyond the values used in conventional copper plating.
  • a minimum or critical current density, or CCD has been determined wherein plating current densities equal to or above this value will form a thin continuous copper film on a ruthenium layer and current densities below this value will not form a thin continuous film on the ruthenium layer.
  • the magnitude of the CCD is strongly dependent on the resitivity of the plating solution.
  • FIG. 3 illustrates an example of the CCD versus sulfuric acid (H 2 SO 4 ) concentration.
  • the CCD as shown in FIG. 3 , is defined as the minimum current density required to form a 1000 ⁇ continuous copper film on a ruthenium surface. Below the CCD, no visually shiny continuous copper film will be deposited at the center regions of the substrate. The magnitude of CCD is shown to strongly depend on the acidity level of the plating bath.
  • Over-potential is defined as the difference between the actual potential and the zero-current (open-circuit) potential.
  • a high over-potential favors new crystal nucleation by lowering the critical nucleus size and increasing the density of nuclei, while a low electrochemical over-potential favors growth on existing crystallites. Since the plating current density depends on the electrochemical over-potential for a given bath, the copper deposit structure/morphology is therefore affected by the plating current density.
  • nucleation is also dependent on the “activity” of the substrate surface, i.e., the concentration of “active sites” on the substrate. Any kind of surface imperfection, such as a crystal dislocation, crystal boundary or incorporated alien atom may serve as the active site. At the same overvoltage, or at the same applied current density, the amount of nuclei formed will be much higher if the barrier layer is free from unwanted deposits, such as ruthenium oxides and some organic compounds, that block the active sites and, hence, inhibit nucleation.
  • a substrate with a copper film plated on a 100 ⁇ ruthenium film in a 10 g/l sulfuric acid containing plating solution with a plating current of 3 mA/cm 2 had large crystallites and poor film deposition in the center region of the substrate. Measured at the edge of the substrate, the thickness of the copper plated film was 1000 ⁇ . According to the results shown in FIG. 3 , the CCD is about 40 mA/cm 2 when the sulfuric acid concentration is 10 g/l. The current density of 3 mA/cm 2 is much lower than the 40 mA/cm 2 CCD shown in FIG.
  • a substrate that has a 5000 ⁇ thick continuous copper film can be formed on a 100 ⁇ ruthenium film (deposited by PVD), using a plating solution containing 60 g/l of H 2 SO 4 and a plating current density of about 10 mA/cm 2 (slightly lower than the CCD of 15 mA/cm 2 ).
  • a plating solution containing 60 g/l of H 2 SO 4 and a plating current density of about 10 mA/cm 2 (slightly lower than the CCD of 15 mA/cm 2 ).
  • plating current density to allow plating of a void-free, continuous film onto a ruthenium surface also has disadvantages; generally, a high plating current density tends to result in poor gap fill.
  • Plating current densities of less than about 10 mA/cm 2 have been found to encourage bottom-up deposition of trenches and vias, such as apertures 120 , with a gap fill layer 112 , shown in FIGS. 1A and 1B .
  • the ion concentration of the plating bath may be increased.
  • a continuous 1000 ⁇ copper film may be deposited on a 100 ⁇ ruthenium film on a substrate using a plating bath with a H 2 SO 4 concentration of 160 g/l and a plating current of 5 mA/cm 2 .
  • 5 mA/cm 2 is equal to the CCD for this particular acidic concentration.
  • cross-section SEM pictures show that voids were formed at the copper/ruthenium interface.
  • ruthenium oxide has a metal-like conductivity, and copper also plates and adheres strongly to ruthenium oxide or surface that is primarily ruthenium oxide.
  • the high CCD's observed on a ruthenium surface could be the result of unwanted deposits on the ruthenium surface.
  • “Unwanted deposits”, as used herein, is defined to include unwanted oxidation of a deposited surface as well as organic contaminants that accumulate on the fresh metallic surface after deposition.
  • a Ru/Ta alloy surface that is free of unwanted deposits is believed to be more active for copper nucleation.
  • Embodiments of the invention contemplate a pre-treatment process that includes a cathodic electrochemical pre-treatment of a barrier/adhesion layer, such as barrier/adhesion layer 106 , as shown in FIGS. 1A and 1B , wherein the barrier/adhesion layer consists of a ruthenium alloy.
  • the cathodic treatment mentioned above is an electrochemical treatment of a substrate surface in a copper-ion-free acid solution.
  • An oxidized metallic surface particularly a Ru—Ta—O x surface that has formed on a freshly deposited Ru/Ta barrier/adhesion layer on a substrate, may be cathodically reduced. Additionally, weakly-bound organic surface contaminants may be expelled from the surface by the cathodic polarization. The removal of these unwanted deposits on the substrate surface prior to electrochemical plating has been demonstrated to reduce the CCD of the barrier/adhesion layer.
  • One possible reduction reaction is shown in equation (1): RuO 2 +4H*+4 e ⁇ ----->Ru+2H 2 O (1)
  • the cathodic treatment may be performed in an electrochemical plating cell similar to the copper plating cell described below in association with FIG. 5 , or in a treatment cell separated from the copper plating system.
  • the cathodic treatment cell requires an anode, a cathode and a copper-ion-free acid bath.
  • the optimal process parameters may vary according to the composition of the alloy being treated.
  • the acidic concentration range should be in the range between about 10 g/l to about 100 g/l, and preferably in the range between about 10 g/l to about 50 g/l.
  • a preferred acid is H 2 SO 4 , but other types of acidic solutions, such as organic sulfonic acid solutions (e.g. methylsulfonic acid), may also be used.
  • the treatment time should be in the range of about 2 seconds to about 30 minutes, but in the interest of maintaining adequate throughput during large-scale processing of substrates, the treatment is preferably kept below 5 minutes.
  • the current density should be in the range of about 0.05 mA/cm 2 to about 5 mA/cm 2 .
  • the preferred current density is in the range of between about 1 mA/cm 2 and 5 mA/cm 2 , and more preferrably at about 3 mA/cm 2 for about 60 seconds.
  • the acidic bath needs to be free of copper ions to prevent copper deposition on the surface during the cathodic treatment. Such deposition would be in the form of poorly nucleated copper islands, leading to poor adhesion and/or voids.
  • the cathodic treatment can be realized through potential control or current control.
  • a reference electrode is needed to monitor the wafer potential, in addition to the working electrodes, which are the thin as-deposited ruthenium film on the wafer surface, and an anode.
  • Potential control can be realized through a potentiostat.
  • the controlled ruthenium electrode potential, with respect to the reference electrode is in the range of about 0 volt to about ⁇ 1.0 volt, preferably about ⁇ 0.8 volt vs. AgCl.
  • H 2 evolution may occur on the ruthenium film surface, hence, it is important to avoid applying a reduction potential to the substrate that is too high.
  • a cathodic current will be passed between the substrate, coated with a ruthenium film for example, and an anode.
  • the cathodic reduction process is not limited by the terminal effect.
  • it is necessary to make overpotential uniform over the substrate in order to achieve adequate current at the center of the substrate. This is due to the severe terminal effect associated with a substrate surface that is highly resistive compared to a conventional copper seed layer. It effects the uniformity of directly plated films as well as the ability to even plate a continuous film in the center of a substrate at all.
  • the cathodic reduction process is not limited to the exemplary process parameters described above.
  • the cathodic reduction process may also take place in a solution that does not contain an acid and instead is neutral or alkaline, i.e. the solution may have a pH ⁇ 7.0.
  • aspects of the invention contemplate performing a plasma surface treatment on a substrate prior to electrochemical plating of copper onto the surface, wherein the surface consists of an alloy, such as barrier/adhesion layer 106 .
  • the alloy consists of at least 50 atomic % group VIII metal and the balance a barrier metal.
  • the plasma surface treatment is preferably performed with an RF plasma in hydrogen or hydrogen/helium gas and with a bias applied to the substrate. It is known in the art that a plasma etch treatment of a substrate may effectively remove oxidized materials formed on the surface of the substrate.
  • plasma treatment of a Ru—Ta alloy prior to copper plating may have the same benefits as cathodic electrochemical pre-treatment by reducing and/or removing native oxides formed on the alloy surface, particularly barrier metal oxides.
  • the plasma treatment may be based on an inductively coupled plasma or a capacitively coupled plasma.
  • FIG. 3A is a simplified cross sectional view of a plasma surface treatment chamber, also known as a pre-clean chamber, capable of implementing aspects of the present invention.
  • Pre-clean chamber 310 may be incorporated onto an electrochemical processing system, such as electrochemical processing system (ECPS) 400 , described below in conjunction with FIG. 4 .
  • ECPS electrochemical processing system
  • pre-clean chamber 310 is positioned in FI 430 of ECPS 400 , i.e. in a region of ECPS 400 that is best suited for processing and handling of dry substrates. In this way, a substrate may undergo plating a very short time, e.g. minutes or seconds, after the plasma surface treatment is performed—unlike when the plasma surface treatment is performed on a separate processing platform.
  • the implementation of the pre-clean chamber 310 onto ECPS 400 also allows for a uniform wait-time between substrates. Hence, not only is the wait-time significantly reduced before plating, the wait-time between plasma surface treatment and plating may be controlled to be the same for each substrate processed on ECPS 400 , reducing process variation between substrates.
  • the pre-clean chamber 310 has a substrate support member 312 disposed in a chamber enclosure 314 under a quartz dome 316 .
  • the substrate support member 312 may include a central pedestal plate 318 disposed within a recess 320 on a quartz insulator plate 322 .
  • substrate support member 312 may be a heated substrate support member, to allow heating of the substrate during the plasma treatment process.
  • the upper surface of the central pedestal plate 318 typically extends above the upper surface of the quartz insulator plate 322 .
  • a gap 324 typically between about 5 mils and 15 mils, is formed between a bottom surface of the substrate 326 and the top surface of the quartz insulator plate 322 .
  • the substrate 326 is placed on the central pedestal plate 318 and may be located thereon by positioning pin 332 .
  • the peripheral portion of the substrate 326 may extend over the quartz insulator plate 322 and overhang the upper edge of the quartz insulator plate 322 .
  • a beveled portion 328 of the quartz insulator plate 322 is disposed below this overhanging peripheral portion of the substrate 326 , and a lower annular flat surface 330 extends from the lower outer edge of the beveled portion 328 .
  • the insulator plate 322 and the dome 316 may comprise other dielectric materials, such as aluminum oxide and silicon nitride.
  • the plasma surface treatment process for substrate 326 in pre-clean chamber 310 generally involves a sputter-etching process using the substrate 326 as the sputtering target.
  • a cleaning gas such as hydrogen or a helium/hydrogen mixture, is flowed through the chamber 310 .
  • Plasma is struck in the chamber by applying RF power to the chamber through coils 317 disposed outside of the chamber.
  • a DC bias may be applied to the substrate 326 to accelerate ions in the plasma toward the substrate 326 .
  • a hydrogen gas flow of between about 100 sccm and about 1200 sccm is used.
  • a 95% helium-5% hydrogen gas mixture may also be used at a gas flow up to about 500 sccm.
  • Pressure in the chamber 310 is maintained between about 1 mTorr and about 50 mTorr during processing, RF power is between about 1000 W and about 3000 W, and the substrate temperature is maintained between about 20° C. and about 350° C.
  • Process time varies depending on alloy composition and may be determined easily by one skilled in the art for a given alloy surface.
  • a 95% helium-5% hydrogen gas mixture is used at a gas flow of between about 50 sccm to about 200 sccm.
  • RF power during processing is between about 300 W and about 1000 W, and the substrate temperature is maintained between about 20° C. and about 350° C.
  • a substrate bias of between about 0 W and about 100 W is applied.
  • Process time varies depending on alloy composition and may be determined easily by one skilled in the art for a given alloy surface.
  • thermal pre-treatment in forming gas such as a 250° C. anneal in a 4% hydrogen-96% nitrogen mixture
  • forming gas such as a 250° C. anneal in a 4% hydrogen-96% nitrogen mixture
  • the thermal anneal process is unable to successfully reduce tantalum oxides because it is believed that tantalum oxides are thermodynamically stable at anneal temperatures that are low enough to avoid integration issues.
  • the more aggressive hydrogen-only or helium-hydrogen treatment, coupled with RF plasma and/or substrate bias may reduce any tantalum oxides present on an alloy surface, enabling adherent copper film deposition on the alloy surface.
  • Embodiments of the invention teach the use of complexed copper sources contained within an alkaline plating solution for the direct plating of copper layers on a barrier/adhesion layer. This process may be performed in a plating cell similar to the electrochemical processing cell described below in conjunction with FIG. 5 .
  • a plating solution containing complexed copper sources has a significantly more negative deposition potential than does a plating solution containing free copper ions.
  • complexed copper ions have a deposition potential from about ⁇ 1.1 V to about ⁇ 0.5 V, depending on the particular complexing agent.
  • Free copper ions have deposition potentials in the range from about ⁇ 0.3 V to about ⁇ 0.1 V, when referenced to Ag/AgCl (1M KCl), which has a potential of 0.235 V verses a standard hydrogen electrode.
  • Suitable plating solutions that may be used with the processes described herein to plate copper include at least one copper source compound, at least one chelating or complexing compound, optional wetting agents or suppressors, optional pH adjusting agents, and a solvent.
  • the plating solutions contain at least one copper source compound complexed or chelated with at least one of a variety of ligands.
  • Complexed copper includes a copper atom in the nucleus and surrounded by ligands, functional groups, molecules or ions with a strong affinity to the copper, as opposed to free copper ions with very low affinity, if any, to a ligand (e.g., water).
  • Complexed copper sources are either chelated before being added to the plating solution or are formed in situ by combining a free copper ion source with a complexing agent.
  • the copper atom may be in any oxidation state, such as 0, 1 or 2, before, during or after complexing with a ligand. Therefore, throughout the disclosure, the use of the word copper or elemental symbol Cu includes the use of copper metal (Cu 0 ), cupric (Cu +1 ) or cuprous (Cu +2 ), unless otherwise distinguished or noted.
  • copper source compounds include copper citrate, copper ED, copper EDTA, among others.
  • a particular copper source compound may have ligated varieties.
  • copper citrate may include at least one cupric atom, cuprous atom or combinations thereof and at least one citrate ligand and include Cu(C 6 H 7 O 7 ), Cu 2 (C 6 H 4 O 7 ), Cu 3 (C 6 H 5 O 7 ), or Cu(C 6 H 7 O 7 ) 2 .
  • copper EDTA may include at least one cupric atom, cuprous atom or combinations thereof, and at least one EDTA ligand and include Cu(C 10 H 15 O 8 N 2 ), Cu 2 (C 10 H 14 O 8 N 2 ), Cu 3 (C 10 H 13 O 8 N 2 ), Cu 4 (C 10 H 12 O 8 N 2 ), Cu(C 10 H 14 O 8 N 2 ), or Cu 2 (C 10 H 12 O 8 N 2 ).
  • suitable copper source compounds include copper sulphate, copper pyrophosphate, and copper fluoroborate.
  • the plating solution contains one or more chelating or complexing compounds that include compounds having one or more functional groups selected from the group of carboxylate groups, hydroxyl groups, alkoxyl groups, oxo acids groups, mixture of hydroxyl and carboxylate groups, and combinations thereof.
  • suitable chelating compounds include compounds having one or more amine and amide functional groups, such as ethylenediamine (ED), diethylenetriamine, diethylenetriamine derivatives, hexadiamine, amino acids, ethylenediaminetetraacetic acid (EDTA), methylformamide, and combinations thereof.
  • the plating solution may include one or more chelating agents at a concentration in the range from about 0.02 M to about 1.6 M.
  • the one or more chelating compounds may also include salts of the chelating compounds described herein, such as lithium, sodium, potassium, cesium, calcium, magnesium, ammonium, and combinations thereof.
  • Such salt combines with a copper source to produce NaCu(C 6 H 5 O 7 ).
  • suitable inorganic or organic acid salts include ammonium and potassium salts or organic acids, such as ammonium oxalate, ammonium citrate, ammonium succinate, monobasic potassium citrate, dibasic potassium citrate, tribasic potassium citrate, potassium tartrate, ammonium tartrate, potassium succinate, potassium oxalate, and combinations thereof.
  • the one or more chelating compounds may also include complexed salts, such as hydrates (e.g., sodium citrate dihydrate).
  • Wetting agents or suppressors may be added to the solution in a range from about 10 ppm to about 2,000 ppm, preferably in a range from about 50 ppm to about 1,000 ppm.
  • Suppressors include polyacrylamide, polyacrylic acid polymers, polycarboxylate copolymers, polyethers or polyesters of ethylene oxide and/or propylene oxide (EO/PO), coconut diethanolamide, oleic diethanolamide, ethanolamide derivatives, and combinations thereof.
  • One or more pH-adjusting agents are optionally added to the plating solution to achieve a pH ⁇ 7.0, preferably between about 7.0 and about 9.5.
  • the amount of pH adjusting agent can vary as the concentration of the other components is varied in different formulations. Different compounds may provide different pH levels for a given concentration, for example, the composition may include between about 0.1% and about 10% by volume of a base, such as potassium hydroxide, ammonium hydroxide, or combinations thereof, to provide the desired pH level.
  • the one or more pH adjusting agents may also include acids, including carboxylic acids, such as acetic acid, citric acid, oxalic acid, phosphate-containing components including phosphoric acid, ammonium phosphates, potassium phosphates, inorganic acids, such as sulfuric acid, nitric acid, hydrochloric acid, and combinations thereof.
  • acids including carboxylic acids, such as acetic acid, citric acid, oxalic acid, phosphate-containing components including phosphoric acid, ammonium phosphates, potassium phosphates, inorganic acids, such as sulfuric acid, nitric acid, hydrochloric acid, and combinations thereof.
  • a constant cathodic current is applied to the substrate resulting in a constant current density which may be in a range between about 1 mA/cm 2 to about 10 mA/cm 2 for a time period between about 0.1 seconds and 5.0 seconds. This results in the formation of a copper seed layer between about 50 ⁇ and about 300 ⁇ thick on the barrier layer.
  • embodiments of the invention teach direct plating of a copper layer on a barrier/adhesion layer in an acidic plating solution, wherein a “nucleation waveform” or “nucleation pulse” may be used when the substrate surface is first brought in contact with the plating solution.
  • “Nucleation waveform” or “nucleation pulse,” as used herein is defined as an initial higher plating current level intended to help the nucleation of the copper deposition on the substrate surface, wherein the initial plating current is at least equal to, or ideally greater than, the CCD. This plating current may exceed the maximum plating current that typically allows for bottom-up gapfill of substrate features and therefore is only applied for a short time to prevent incomplete filling, e.g.
  • the nucleation pulse is initially applied to the substrate surface to ensure that a uniform, well adhering, void-free layer, such as seed layer 111 illustrated in FIG. 2 , is formed on a highly resistive barrier/adhesion layer during the above-described direct plating process.
  • a constant plating current in the range of about 5 mA/cm 2 to about 20 mA/cm 2 is applied to the barrier layer during the nucleation pulse for a period of time between about 0.1 to about 10 seconds.
  • This process may be performed in a plating cell similar to the electrochemical processing cell described below in conjunction with FIG. 5 . No complexing agents are necessary in this plating process.
  • aspects of the invention teach the use of a complex alkaline electrolyte for plating a gapfill layer, such as gapfill layer 112 (see FIG. 2 ), onto a seed layer, such as seed layer 111 , that has been directly deposited on a barrier layer via an alkaline solution ECP process.
  • This process may be performed in an electrochemical plating cell similar to the electrochemical processing cell described below in conjunction with FIG. 5 .
  • This process is similar to that described above for direct plating on a barrier layer with a complex alkaline electrolyte.
  • Process parameters are believed to enhance the bottom-up gapfill process, however, including plating current and deposition time. Generally, higher deposition rates and, hence, plating current densities, may be utilized for this process.
  • the bath used for this process is also similar to that used for direct plating.
  • the complex alkaline bath for gapfill contains at least one copper source compound and at least one complexing compound, as detailed previously.
  • the one or more complexing compounds may also include salts of the chelating compounds, listed above.
  • the bath also may contain wetting agents and one or more pH-adjusting agents (see above). Concentrations of the bath's components are believed to enhance the bottom-up gapfill process.
  • nucleation pulse is unnecessary for the formation of a uniform, void-free metal layer to be formed on the seed layer.
  • aspects of the invention teach the use of a conventional acid electrolyte for plating a gap fill layer onto a seed layer that has been directly deposited on a barrier layer via an alkaline solution ECP process.
  • ECP gapfill deposition of copper onto a copper seed layer using an acidic plating solution is well known in the art and may be performed in an electrochemical plating cell similar to the copper plating cell described below in conjunction with FIGS. 4 and 5 .
  • a conventional, i.e., non-complex, electrochemical plating solution for ECP generally includes a copper source, an acid source, a chlorine ion source, and at least one plating solution additive, i.e., levelers, suppressors, accelerators, antifoaming agents, etc.
  • the plating solution may contain between about 30 g/l and about 60 g/l of copper, between about 10 g/l to about 50 g/l of sulfuric acid, between about 20 and about 100 ppm of chlorine ions, between about 5 and about 30 ppm of an additive accelerator, between about 100 and about 1000 ppm of an additive suppressor, and between about 1 and about 6 ml/l of an additive leveler.
  • the plating current may be in the range from about 2 mA/cm 2 to about 10 mA/cm 2 for filling about 300 ⁇ to about 3000 ⁇ copper into the sub-micron trench and/or via structure.
  • Examples of copper plating chemistries and processes can be found in commonly assigned U.S. patent application Ser. No. 10/616,097, titled “Multiple-Step Electrodeposition Process For Direct Copper Plating On Barrier Metals”, filed on Jul. 8, 2003, and U.S. patent application No. 60/510,190, titled “Methods And Chemistry For Providing Initial Conformal Electrochemical Deposition Of Copper In Sub-Micron Features”, filed on Oct. 10, 2003.
  • FIG. 4 is a top plan view of an embodiment of an electrochemical processing system (ECPS) 400 capable of implementing the methodology of the present invention.
  • the ECPS 400 generally includes a processing platform 413 having a robot 420 centrally positioned thereon.
  • the robot 420 generally includes one or more robot arms 422 and 424 configured to support substrates thereon. Additionally, the robot 420 and the robot arms 422 and 424 are generally configured to extend, rotate and vertically move so that the robot 420 may insert and remove substrates to and from a plurality of processing locations 402 , 404 , 406 , 408 , 410 , 412 , 414 and 416 positioned on the processing platform 413 .
  • Processing locations may be configured as electroless plating cells, electrochemical processing cells, substrate rinsing and/or drying cells, substrate bevel clean cells, substrate surface clean or preclean cells and/or other processing cells that are advantageous to plating processes.
  • aspects of the present invention involving wet processes such as cathodic electrochemical pre-treatment and electrochemical plating are conducted within at least one of the processing locations 402 , 404 , 406 , 408 , 410 and 412 .
  • the ECPS 400 further includes a factory interface, or FI 430 .
  • the FI 430 generally includes at least one FI robot 432 positioned adjacent one side of the FI 430 that is adjacent to the processing platform 413 .
  • the FI robot 432 is positioned to access a substrate 426 from substrate cassettes 434 .
  • the FI robot 432 delivers the substrate 426 to one of processing locations 414 and 416 to initiate a processing sequence.
  • FI robot 432 may be used to retrieve substrates from one of the processing locations 414 and 416 after a substrate processing sequence is complete. In this situation FI robot 432 may deliver the substrate 426 back to one of the cassettes 434 for removal from the system 400 .
  • robot 432 also extends into a link tunnel 415 that connects factory interface 430 to processing mainframe or platform 413 .
  • FI robot 432 is configured to access a processing chamber 435 positioned in communication with the FI 430 .
  • processing chamber 435 acts as the plasma treatment chamber 310 , as described above in conjunction with FIG. 3A . This is because FI 430 is best suited to handling and processing of dry substrates whereas platform 413 is best suited to handling and processing of wet substrates.
  • FIG. 5 illustrates a partial perspective and sectional view of an exemplary electrochemical processing cell, hereinafter referred to as plating cell 500 , that may be implemented in processing locations 402 , 404 , 406 , 408 , 410 , 412 , 414 , 416 of FIG. 4 .
  • the plating cell 500 generally includes a plating head assembly 600 , a frame member 503 , an outer basin 501 and an inner basin 502 positioned within outer basin 501 .
  • the plating head assembly 600 includes a receiving member 601 for supporting and rotating a substrate during immersion into the electrochemical processing solution and during electrochemical processing.
  • receiving member 601 includes a contact ring 602 and a thrust plate assembly 604 that are separated by a loading space 606 .
  • the contact ring 602 may be adapted to make electrical contact around the periphery of the substrate so that the necessary electrical waveform may be applied to the substrate.
  • the contact ring 602 may be further adapted to include a reference electrode that is located close to the substrate surface.
  • a more detailed description of the contact ring 602 and thrust plate assembly 604 may be found in commonly assigned U.S.
  • the frame member 503 of plating cell 500 supports an annular base member 504 on an upper portion thereof. Since frame member 503 is elevated on one side, the upper surface of base member 504 is generally tilted from the horizontal at an angle that corresponds to the tilt angle of frame member 503 relative to a horizontal position.
  • Base member 504 includes a disk-shaped anode 505 .
  • Plating cell 500 may be positioned at a tilt angle, i.e., the frame portion 503 of plating cell 500 may be elevated on one side such that the components of plating cell 500 are tilted between about 3° and about 30°.
  • Inner basin 502 is generally configured to contain a processing solution, such as a plating solution or a cathodic electrochemical pre-treatment solution, during electrochemical processing of substrates.
  • a processing solution such as a plating solution or a cathodic electrochemical pre-treatment solution
  • the processing solution is generally continuously supplied to inner basin 502 , and therefore, the processing solution continually overflows the uppermost point 502 a , generally termed a “weir”, of inner basin 502 and is collected by outer basin 501 and drained therefrom for chemical management and recirculation.
  • the exemplary electrochemical processing cell is further illustrated in commonly assigned U.S. patent application Ser. No. 10/268,284, filed on Oct. 9, 2002, and entitled “Electrochemical Processing Cell”, claiming priority to U.S. Provisional Application Ser. No. 60/398,345, which was filed on Jul. 24, 2002, both of which are incorporated herein by reference in their entireties.
  • a substrate may be transferred into an electrochemical processing cell, such as plating cell 500 for example, and positioned face-down on contact ring 602 .
  • Thrust plate assembly 604 holds the substrate in place during processing.
  • the substrate is then immersed in the electrolyte solution filling inner basin 502 , typically while being rotated by the contact ring 602 between about 5 rpm and about 60 rpm.
  • the electrolyte solution may comprise an acidic, copper free solution, a complexed-copper alkaline solution, or a conventional acidic copper-containing solution, depending on the process being performed on the substrate.
  • the substrate may be rotated between about 10 rpm and about 100 rpm during processing step by contact ring 602 .
  • the time required for processing is dependent on each particular process, such as cathodic pre-treatment, seed layer deposition, seed layer and gapfill layer deposition, etc.
  • the waveform is then removed and the substrate is positioned above the electrolyte solution and uppermost point 502 a of inner basin 502 for removal from plating cell 500 .
  • the substrate Prior to removal from plating cell 500 , the substrate may be rotated between about 100 and 1000 rpm for between about 1 second and about 10 seconds in order to remove excess solution from the substrate.
  • FIG. 6 is a flow chart of a substrate process sequence 610 .
  • Embodiments include a method for depositing a metal layer onto an alloy layer on a substrate, wherein the alloy consists of at least 50 atomic % group VIII metal and the balance a barrier metal.
  • the deposition method includes:
  • a pre-treatment of the substrate surface is performed.
  • the pre-treatment is a cathodic reduction, as described above in conjunction with FIG. 3 .
  • a cathodic electrochemical pre-treatment may reduce the critical current density required to form a uniform, void-free, conformal metal layer on a barrier/adhesion layer as well as improve the adhesion of the metal layer to the barrier/adhesion layer.
  • the cathodic electrochemical pre-treatment may be performed in an acid-containing bath or an alkaline bath.
  • pre-treatment 611 is a plasma pre-treatment, as described above in conjunction with FIG. 3A . It is believed that a plasma pre-treatment performed on a substrate prior to electroplating may yield the same advantages to copper layer adhesion and CCD reduction as a cathodic electrochemical pre-treatment.
  • the pre-treatment 611 is beneficial for the pre-treatment 611 to be performed on the same electrochemical processing system on which seed layer deposition 612 is subsequently performed, such as ECPS 400 , described above in conjunction with FIG. 4 .
  • This reduces the exposure time of the treated surface to oxygen and ambient contamination to minutes or even seconds, minimizing the formation of unwanted deposits on the treated barrier layer surface prior to seed layer deposition.
  • the wait time between pre-treatment step 611 and seed layer deposition 612 may be precisely controlled, whereas when pre-treatment step 611 is performed on a different substrate processing platform than seed layer deposition 612 , the wait time therebetween is longer and highly variable, leading to unwanted variation in electronic device properties.
  • seed layer deposition 612 takes place on the substrate, wherein a seed layer, such as seed layer 111 illustrated in FIG. 2 , is directly plated onto conductive substrate surface 114 with an electrochemical process.
  • seed layer 111 is plated using a complex alkaline bath.
  • seed layer 111 is plated in an acidic bath and a nucleation pulse is used to improve the quality and adherence of the seed layer.
  • Gapfill layer deposition 613 then takes place on the substrate, wherein a gapfill layer, such as gapfill layer 112 in FIG. 2 , is plated onto seed layer 111 .
  • an electrochemical gapfill process with a complex alkaline bath is used for gapfill layer deposition 613 .
  • This process is described above under Electrochemical Plating Processes.
  • it is beneficial for seed layer deposition 612 to take place in a complex alkaline bath since seed layer deposition 612 and gapfill layer deposition 613 may then be performed sequentially in the same substrate processing chamber. Because a single plating cell and solution are used for both process steps, the surface of the seed layer is never exposed to atmosphere prior to gapfill layer deposition 613 , eliminating the possibility of unwanted oxidation. Further, there is virtually no time for organic contaminants to accumulate on the seed layer surface since the seed layer deposition 612 may be followed immediately by the gapfill layer deposition 613 .
  • an electrochemical gapfill process with an acidic electrolyte is used for gapfill layer deposition 613 .
  • This process is described above under Electrochemical Plating Processes.
  • seed layer deposition 612 it is beneficial for seed layer deposition 612 to take place sequentially in the same acidic electrolyte for the same reasons detailed in the previous aspect, i.e. when seed layer deposition 612 and gapfill layer deposition 613 are both conducted sequentially in a complex alkaline plating solution.
  • a direct plating process with a complex alkaline bath is used for seed layer deposition 612 and a conventional acidic electrolyte is used for gapfill layer deposition 613 .
  • an additional rinsing step is performed on the substrate between seed layer deposition 612 and gapfill layer deposition 613 to prevent cross-contamination of the incompatible plating solutions.
  • the additional rinsing step may be performed in a dedicated rinsing chamber, preferably located on the same electrochemical processing system wherein the substrate process sequence 610 may be performed.
  • the substrate is rinsed with an aqueous solution while rotating at a rate from about 20 to about 100 rpm and subsequently dried via gas flow and/or spin-drying.
  • both ECP cells are preferably situated on the same substrate processing platform, such as the exemplary plating system described below in conjunction with FIG. 4 .

Abstract

A method is disclosed for depositing a copper seed layer onto a substrate surface, generally onto a barrier layer that is an alloy of a group VIII metal and a refractory metal. In one aspect, the alloy consists of at least 50% ruthenium and the balance a copper diffusion barrier material. A copper layer is electroplated on the alloy directly. In one aspect, the surface of the barrier layer is conditioned prior to plating to improve adhesion and reduce the critical current density for plating on the barrier layer. The conditioning may include cathodic pre-treatment or a plasma pre-treatment in a hydrogen or hydrogen/helium mixture. In one aspect, the substrate surface is immersed in an acidic plating bath and a nucleation waveform is applied to form a seed layer. In another aspect, the substrate is immersed in a neutral or alkaline copper solution that includes complexed copper ions.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of co-pending U.S. patent application Ser. No. 11/255,368 [APPM 8241.P01], filed Oct. 21, 2005, which claims benefit of U.S. Provisional Patent Application Ser. No. 60/621,173 [APPM 9762L], filed Oct. 21, 2004. This application is a continuation-in-part of co-pending U.S. patent application Ser. No. 11/007,857 [APPM 9200], filed Dec. 9, 2004, which claims benefit of U.S. Provisional Patent Application Ser. No. 60/579,129, filed Jun. 10, 2004. This application is also a continuation-in-part of co-pending U.S. patent application Ser. No. 11/012,965 [APPM 9201], filed Dec. 15, 2004, which claims benefit of U.S. Provisional Patent Application Ser. No. 60/579,129, filed Jun. 10, 2004, and U.S. Provisional Patent Application Ser. No. 60/621,215, filed Oct. 21, 2004. This application is also a continuation-in-part of co-pending U.S. patent application Ser. No. 10/616,097 [APPM 8241], filed Jul. 8, 2003. Each of the aforementioned related patent applications is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to a method to deposit a metal layer with electrochemical plating and more particularly, to the direct plating of a copper layer onto a ruthenium alloy barrier or adhesion layer.
  • 2. Description of the Related Art
  • Metallization for sub-quarter micron sized features is a foundational technology for present and future generations of integrated circuit manufacturing processes. In devices such as ultra large scale integration-type devices, i.e., devices having integrated circuits with more than a million logic gates, the multilevel interconnects that lie at the heart of these devices are generally formed by filling high aspect ratio interconnect features with a conductive material (e.g., copper or aluminum). Conventionally, deposition techniques such as chemical vapor deposition (CVD) and physical vapor deposition (PVD) have been used to fill these interconnect features. However, as interconnect sizes decrease and aspect ratios of device features increase, void-free filling of interconnect features via conventional metallization techniques becomes increasingly difficult. As a result, plating techniques, such as electrochemical plating (ECP) and electroless plating have emerged as viable processes for filling sub-quarter micron sized, high aspect ratio interconnect features in integrated circuit manufacturing processes.
  • In an ECP process, sub-quarter micron sized high aspect ratio features formed into the surface of a substrate may be efficiently filled with a conductive material, such as copper. Most ECP processes generally involve two stage processes, wherein a seed layer is first formed over the surface features of the substrate (this process may be performed in a separate system), and then the substrate surface features are exposed to an electrolyte solution while an electrical waveform is simultaneously applied between the substrate and an anode positioned within the electrolyte solution. The electrolyte solution is generally rich in metal ions to be plated onto the surface of the substrate. Therefore, the application of the electrical waveform drives a reductive reaction to reduce the metal ions and precipitate the respective metal. Upon precipitating, the metal plates onto the seed layer to form a film.
  • The process requirements for copper interconnects are becoming more stringent, as the critical dimensions for modern microelectronic devices shrink to 0.1 μm or less. As a result thereof, conventional plating processes will likely be inadequate to support the demands of future interconnect technologies. Conventional plating practices include depositing a copper seed layer via physical vapor deposition (PVD), chemical vapor deposition (CVD) or atomic layer deposition (ALD) onto a diffusion barrier layer (e.g., tantalum or tantalum nitride). However, it is extremely difficult to have adequate seed step coverage with PVD techniques, as discontinuous islands of copper are often obtained close to the feature bottom in high aspect ratio features with PVD techniques. In addition, for PVD techniques a relatively thick copper layer (e.g., >200 Å) over the field is generally needed to have continuous sidewall coverage throughout the depth of a small (e.g. <0.1 μm) high aspect ratio feature, which during subsequent copper plating often causes the throat of the feature to close before the feature sidewalls are covered. For CVD processes, copper purity is generally questionable due to difficult complete precursor-ligand removal. ALD techniques, though capable of giving generally conformal deposition with good adhesion to the barrier layer, suffer from very low deposition rates for depositing a continuous copper film on the sidewalls of adequate thickness to serve as a seed layer.
  • As noted above, PVD has been a preferred technique to deposit a copper seed layer. Also, electroless plating techniques for depositing a seed layer onto a barrier layer of tantalum or tantalum nitride are known. However, these techniques have suffered from several problems, such as adhesion failure between the copper seed layer and the barrier layer, as well as the added complexity of a complete electroless deposition system and the associated difficulties of process control. In addition, for interconnect features as small as 32 to 45 nm, it is beneficial to perform the copper seed layer deposition and the gapfill deposition uninterrupted to prevent formation of oxide or other contamination of the seed layer. Also, the copper seed supports the subsequently deposited bulk copper and improves adhesion to the barrier layer. Adhesion of a copper layer is very important for electronic device manufacturing to prevent device features from being damaged during subsequent CMP processes. Good adhesion between a barrier layer and a subsequently deposited copper layer also prevents stress migration failures in the device. A stress migration failure is a highly localized delamination failure that takes place in an electronic device during the thermal cycling associated with normal usage. This thermal cycling may create voids that coalesce over time into points of failure. Hence, adhesion between a copper and barrier layers is an important factor to be considered for the manufacture of electronic devices.
  • Methods known in the art for determining the adhesion of a deposited film include the tape-pull test, or “pull test” and the scribe-hatch test, or “scribe test”. The pull test involves applying a standard adhesive tape to the surface of a substrate on which the layers to be tested have been deposited. The tape is then removed and a film that is weakly adhered to the substrate will also be removed. The scribe test is a more rigorous version of the pull test, in which the surface of the substrate is first cross-hatched with a scribe prior to application of the adhesive tape. Although each of these tests are somewhat qualitative, it is known in the art that deposited films that pass these tests reliably will generally not show adhesion-related problems later, such as pull-out during CMP or stress migration failures during electronic device use. A deposited film with poor adhesion to the underlying surface will routinely fail the pull test and may even spontaneously spall off the underlying surface. A deposited film with marginal adhesion may pass the pull test but may fail the scribe test. Another instance of marginal film adhesion is when the film passes both the pull and scribe tests, but not reliably. For example, the deposited film may only fail the scribe test at certain locations on the substrate, or it may only fail on intermittent substrates, or both. Hence, a deposited film that is “adherent” or has “good adhesion”, as used herein, is defined as a deposited film or layer that reliably passes the pull test and scribe test on all regions of the substrate and for all substrates.
  • Because other methods of depositing a copper seed layer onto a barrier layer are problematic, direct electroplating of a copper layer onto barrier materials has been considered. “Direct plating”, as used herein, is defined as the method of electrochemically plating a more conductive metal layer, such as a copper seed layer, onto a substantially less conductive layer, such as a barrier or barrier/adhesion layer, to facilitate the subsequent uniform and void-free deposition of a gapfill layer and/or an overfill layer. Direct electroplating onto conventional barrier materials, such as tantalum or tantalum nitride, is difficult, since these traditional barrier materials generally have insulating native oxides across the surface. The presence of tantalum oxides result in very little adhesion between an electroplated copper layer and the barrier layer. Pre-plating treatments, such as thermal anneal in a reducing gas and cathodic reduction, have been attempted on tantalum-based barrier layers but have not improved adhesion. Thus, adhesion of direct-electroplated copper layers is still poor on tantalum-based barrier layers that have undergone pre-plating treatments to reduce tantalum oxide present on the surface of the barrier layer. This is because fresh tantalum surfaces are re-passivated so quickly in an aqueous electrolyte, i.e., on the order of 1 second, that adherent copper deposits cannot be obtained.
  • Therefore, there is a need for a process for directly plating a copper seed layer onto a barrier or adhesion layer. The process should deposit the copper seed layer with a strong adhesion to the underlying layer and with good uniformity over the entire substrate surface. Also, the process should be applicable for a range of barrier layer materials, including tantalum (Ta), titanium, zirconium, hafnium, niobium, molybdenum and tungsten. Further, the barrier or adhesion layer should be maintained with little or no oxidation during seed layer deposition and also should not be chemically reduced during the deposition process. Finally, the process should allow the deposition of a seed layer and a gapfill layer sequentially in the same plating bath.
  • SUMMARY OF THE INVENTION
  • Embodiments of the present invention provide a method for depositing a copper seed layer onto a substrate surface, generally onto a barrier layer that is an alloy of a group VIII metal and a refractory metal. The “term group VIII metals” (i.e., old CAS system notation) is generally intended to describe group 8, 9 and 10 elements, such as ruthenium (Ru), rhodium, palladium, cobalt, nickel, osmium, iridium, and platinum. Refractory metals may include tantalum, titanium, zirconium, hafnium, niobium, molybdenum, tungsten and combinations thereof. In one aspect, the alloy is an alloy consisting of at least 50 atomic % ruthenium and the balance tantalum. A copper layer is electroplated on the alloy directly.
  • In one aspect, the substrate surface is immersed in an acidic plating bath and a nucleation waveform—to achieve critical overpotential nucleation density—is initially applied to the barrier layer to form a continuous and conformal copper seed layer. A gapfill waveform may then be applied to the substrate surface to electrochemically plate a copper gapfill layer on the substrate surface. In another aspect, the substrate is immersed in a neutral or alkaline (pH≧7.0) copper solution that includes complexed copper ions and a current or bias is applied across the substrate surface. The complexed copper ions include a carboxylate ligand, such as oxalate or tartrate, or ethylenediamine (ED), EDTA and/or acetate. The complexed copper ions are reduced to deposit a continuous and conformal copper seed layer onto the barrier layer. A gapfill waveform may then be applied to the substrate surface to electrochemically plate a copper gapfill layer on the substrate surface. In another aspect, a continuous copper seed layer is formed on the alloy barrier layer in a neutral or alkaline copper solution as described above, but the gapfill layer is plated onto the copper seed layer in an acidic plating solution. In yet another aspect, the surface of the barrier layer is conditioned prior to plating to improve adhesion and reduce the critical current density for plating on the barrier layer. The conditioning may include cathodic pre-treatment in an acid-containing solution that is free of copper ions or a plasma pre-treatment in a hydrogen or hydrogen/helium mixture.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIGS. 1A and 1B illustrate cross-sectional views of a substrate at different stages of a copper interconnect fabrication sequence.
  • FIG. 2 illustrates a copper layer formed on a substrate that may be comprised of multiple copper layers deposited by different electrochemical plating processes.
  • FIG. 3 is a graph depicting the relationship of critical current density on a substrate surface during plating versus sulfuric acid concentration in the plating bath.
  • FIG. 3A is a simplified cross sectional view of a plasma surface treatment chamber.
  • FIG. 4 is a top plan view of an electrochemical processing system capable of implementing the methodology of the present invention.
  • FIG. 5 illustrates a sectional view of an exemplary plating cell and plating head assembly capable of implementing the methodology of the present invention.
  • FIG. 6 is a flow chart of a substrate process sequence for embodiments of the invention.
  • For clarity, identical reference numerals have been used, where applicable, to designate identical elements that are common between figures.
  • DETAILED DESCRIPTION
  • The present invention teaches a method for depositing a copper layer onto a substrate surface, generally onto a barrier layer that is an alloy of a group VIII metal and a refractory metal. A cathodic electrochemical pre-treatment or a plasma treatment may be used to condition the surface of the barrier layer prior to plating a copper layer directly onto barrier layer. The copper layer may be plated on the barrier layer in an acidic electrolyte using a nucleation voltage pulse or in an alkaline bath containing a copper complexing agent.
  • Ruthenium thin films, deposited by CVD, ALD or PVD, are a potential candidate for an adhesion layer between intermetal dielectric (IMD) layers and copper interconnect layers for ≦45 nm technology. Ruthenium is a group VIII metal that has a relatively low electrical resistivity (resistivity ˜7 μΩ-cm) and high thermal stability (high melting point ˜2300° C.). It is relatively stable even in the presence of oxygen and water at ambient temperature. The thermal and electrical conductivities of ruthenium are twice those of tantalum. Ruthenium also does not form an alloy with copper below 900° C. and shows good adhesion to copper. Therefore, the semiconductor industry has shown an interest in using ruthenium as an interlayer layer or adhesion layer. The relatively low resistivity of ruthenium can be an advantage when trying to fill ruthenium-coated features with copper without a seed layer. However, because ruthenium layers are often very thin (10-100 Å) and have over three times the electrical resistivity of copper, ruthenium layers still exhibit high sheet resistances, e.g. >20 ohm/square for 100 Å thick ruthenium films. The terminal effect associated with trying to plate onto materials that have a high sheet resistance can make obtaining uniform, void-free copper films on 200 and 300 mm substrates problematic. In addition, ruthenium layers are unable to act as copper diffusion barrier layers alone. Therefore, it has been necessary for a ruthenium adhesion layer to be used in combination with a conventional tantalum-based barrier layer to provide the benefits of copper layer adhesion and preventing copper ion diffusion. For interconnect features as small as 32 to 45 nm, however, separate adhesion and barrier layers may occupy a significant portion of an interconnect feature, impacting device performance.
  • Aspects of the invention contemplate the use of a combined barrier/adhesion layer, wherein the barrier/adhesion layer is comprised of an alloy of at least 50 atomic % ruthenium and wherein the balance of the alloy is comprised of a copper diffusion barrier material, such as tantalum. In other examples, the barrier material of the alloy may be other refractory metals, such as titanium, zirconium, hafnium, niobium, molybdenum, tungsten and combinations thereof. Adherent copper layers may then be electrochemically plated directly onto the barrier/adhesion layer without the need of an additional barrier or adhesion layer. Methods thereof are described below in conjunction with FIGS. 4, 5 and 6. In one aspect, the alloy is a homogeneous layer of ruthenium and tantalum. In another aspect, the alloy is a Ru—Ta alloy and is ruthenium-rich at the interface between the copper seed and the barrier/adhesion layer. In yet another aspect, the alloy is a Ru—Ta alloy and is tantalum-rich at the interface between the barrier/adhesion layer and the inter-metal dielectric. Aspects of the invention further contemplate that the barrier/adhesion layer may be an alloy comprised of at least 50 atomic % of one or more group VIII metals besides ruthenium, such as rhodium, palladium, cobalt, nickel, osmium, iridium, and platinum.
  • FIGS. 1A and 1B illustrate cross-sectional views of a substrate at different stages of a copper interconnect fabrication sequence incorporating a barrier/adhesion layer. FIG. 1A illustrates a cross-sectional view of a substrate 100 having metal contacts 104 and a dielectric layer 102 formed thereon. The substrate 100 may comprise a semiconductor material such as, for example, silicon, germanium, or gallium arsenide. The dielectric layer 102 may comprise an insulating material such as, silicon dioxide, silicon nitride, silicon oxynitride and/or carbon-doped silicon oxides, for example, BLACK DIAMOND™ low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, Calif. The metal contacts 104 may comprise, for example, copper, among others. Apertures 120 may be defined in the dielectric layer 102 to provide openings over the metal contacts 104. The apertures 120 are defined in the dielectric layer 102 using conventional lithography and etching techniques. The width of apertures 120 may be as large as about 900 Å or larger and as small as about 400 Å. The thickness of dielectric layer 102 could be in the range between about 1000 Å to about 10000 Å.
  • A barrier/adhesion layer 106 may be formed in the apertures 120 formed on the dielectric layer 102. As noted above, the barrier/adhesion layer 106 is a thin film of a metal alloy, wherein the alloy is comprised of at least 50 atomic % of a group VIII metal, such as ruthenium, and the balance is a barrier metal, such as tantalum or other refractory metal. The alloy is selected to provide good adhesion to the dielectric layer 102, to act as a diffusion barrier to copper and to allow subsequent direct plating of copper thereon. The barrier/adhesion layer 106 may be formed using a suitable deposition process, such as ALD, chemical vapor deposition or physical vapor deposition. In a preferred aspect, the barrier/adhesion layer 106 is deposited in apertures 120 by a PVD process. The thickness of the barrier/adhesion layer 106 along the sidewalls 120 a may be between about 5 Å to about 50 Å and preferably less than about 30 Å. A Ru—Ta alloy, when used as a barrier/adhesion layer 106 as shown in FIGS. 1A and 1B, has the combined benefits of blocking copper diffusion as effectively as conventional tantalum barrier layers and providing a suitable surface for direct plating of a copper seed layer but does not suffer from the same adhesion problems as found with conventional Ta and TaN barrier layers. Therefore, in one aspect of the invention, the barrier/adhesion layer 106 contains a Ru—Ta alloy that contains between about 70 atomic % and about 95 atomic % ruthenium and the balance tantalum. In another aspect, the barrier/adhesion layer 106 preferably contains a Ru—Ta alloy that contains between about 70 atomic % and about 90 atomic % ruthenium and the balance tantalum. In yet another aspect, the barrier/adhesion layer more preferably contains a Ru—Ta alloy that contains between about 80 atomic % and about 90 atomic % ruthenium and the balance tantalum. In one aspect, it may be desirable to select a Ru—Ta alloy that does not contain regions of pure tantalum on the surface.
  • A PVD-deposited barrier/adhesion layer 106 is preferred because it is then possible to deposit a Ru—Ta alloy layer that can be inhomogeneous, i.e. the concentration of the alloy can be made to vary controllably. For example it may be beneficial to minimize the concentration of tantalum at the interface between the barrier/adhesion layer 106 and copper layer 110 (copper layer 110 is illustrated in FIG. 1B). A lower total concentration of tantalum at this interface may minimize regions of pure tantalum that will reduce adhesion of copper layer 110 to barrier/adhesion layer 106. It may also be beneficial to maximize the concentration of tantalum at the interface between the barrier/adhesion layer 106 and the dielectric layer 102 in order to improve the performance of barrier/adhesion layer 106 as a copper diffusion barrier.
  • Referring to FIG. 1B, the apertures 120 may thereafter be filled with copper layer 110 via one or more direct electroplating processes performed on a barrier/adhesion layer 106 to complete the copper interconnect. Some aspects of the invention contemplate the conditioning of the surface of barrier/adhesion layer 106 prior to direct plating. Conditioning methods include cathodic electrochemical pre-treatment and plasma treatment in a hydrogen or hydrogen/helium gas. Conditioning of the surface of barrier/adhesion layer 106 may be beneficial to the direct plating process by reducing the critical current density or by ensuring more uniform and dense nucleation. Critical current density (CCD) and its effect on the direct plating process are described below in conjunction with FIG. 3 and the description of the cathodic electrochemical pre-treatment of a barrier/adhesion layer.
  • In addition to reducing CCD, conditioning of the surface of a barrier/adhesion layer 106 has been shown to improve the adhesion of plated copper layers directly plated thereon. As stated above, the reduction of tantalum oxides on conventional tantalum-based barrier layers—via cathodic reduction or thermal anneal in a reducing gas—has proven to be ineffective in improving adhesion of plated copper layers. Conversely, cathodic electrochemical pre-treatment of Ru—Ta alloys has been shown to improve the adhesion of subsequently plated copper layers. Hence, it is believed that certain pre-treatments of Ru—Ta alloys may not simply be reducing tantalum oxides present on the surface of the alloy but may instead be preferentially removing small quantities of tantalum—perhaps as little as one atomic monolayer. During deposition of a Ru—Ta alloy—especially during PVD deposition—agglomerations or islands of pure tantalum may form, possibly in regions as thin as a monolayer. This may result from a lack of PVD target homogeneity, preferential deposition of each component of the alloy during alternating plasma pulses, preferential re-sputtering of the alloy surface, or even metal atom mobility and like-atom agglomeration after being sputtered onto a substrate surface. Any of these mechanisms may create significant regions on the surface of a nominally homogeneous alloy that consist of pure tantalum and, once exposed to atmosphere, tantalum oxides.
  • In any event, cathodic reduction has been shown to improve the adhesion of directly plated copper layers on Ru—Ta alloy surfaces, whereas such a pre-treatment has proven ineffective for conventional tantalum and TaN surfaces. For example, only marginal adhesion is present for copper directly plated on a 90/10 ruthenium-tantalum alloy, whereas good adhesion of plated copper has been obtained after a cathodic electrochemical pre-treatment, even when the alloy surface is rinsed and dried after the cathodic pre-treatment. It is believed that cathodic reduction is successful on Ru—Ta alloy surfaces for one of several reasons. The oxide generated on the alloy may be a mixed Ru—Ta alloy more amenable to cathodic reduction than tantalum oxide. The area-fraction of tantalum-rich areas may be small enough for some Ru—Ta alloys that a high enough copper nucleation density may be present on the surface for an adherent copper layer to be formed. Or, the re-passivation kinetics of a Ru—Ta alloy may be slow enough to maintain the activated regions for a sufficient time to alloy copper plating.
  • It is also important to note that the pre-treatment of a barrier/adhesion layer does not permanently improve the adhesion of a subsequently plated copper layer. A long wait time between pre-treatment and direct plating has been shown to negate the benefits of pre-treatment of the barrier/adhesion layer. For good adhesion, the directly plated copper layer should be plated onto the barrier/adhesion layer less than about 150 minutes after the pre-treatment has taken place, preferably less than about 120 minutes and ideally less than about 2 to 5 minutes. After a wait time between pre-treatment and plating of about 4 hours, improved adhesion has been shown to be substantially diminished.
  • Embodiments of the invention further contemplate different electroplating methods for the deposition of copper layer 110. Referring to FIG. 2, copper layer 110 may be comprised of multiple copper layers deposited by different electrochemical plating processes. For clarity, layers deposited on the substrate prior to copper deposition, such as dielectric layer 102, metal contacts 104 and barrier/adhesion layer 106 are illustrated together in FIG. 2 as conductive substrate surface 114. Copper layer 110 may include a thin, substantially conformal, continuous, void-free layer, hereinafter referred to as a seed layer 111, and a gap fill layer 112.
  • In one embodiment, after conditioning of the substrate surface via cathodic pre-treatment or plasma treatment, a seed layer 111 is electrochemically plated onto conductive substrate surface 114 using a complex alkaline bath and plating process described below in conjunction with FIGS. 3, 5 and 6 or a conventional acid bath gapfill process, described below in conjunction with FIGS. 5 and 6. An example of an electrochemical plating (ECP) system and an exemplary plating cell are described below in conjunction with FIGS. 4, 5 and 6.
  • In another embodiment, after conditioning of the substrate surface via cathodic electrochemical pre-treatment or plasma treatment, a seed layer 111 is electrochemically plated onto conductive substrate surface 114 using an acidic plating bath wherein a nucleation pulse is initially applied to the conductive substrate surface 114 when forming seed layer 111. Nucleation pulse for direct plating of a seed layer onto a barrier layer is described below in conjunction with FIG. 3. Gap fill layer 112 is then electrochemically plated onto seed layer 111 using the same plating bath.
  • Conditioning Processes
  • Cathodic Electrochemical Pre-Treatment
  • Aspects of the invention contemplate a cathodic electrochemical pre-treatment of a substrate surface consisting of a Ru—Ta alloy prior to electrochemical plating of copper onto the alloy. Such pre-treatment has been demonstrated to enhance the adhesion of the electroplated copper layer onto the alloy.
  • The plating current for a typical ECP process onto a copper seed layer is typically in the range from about 2 mA/cm2 to about 10 mA/cm2 for filling copper into submicron trench and/or via structures, such as apertures 120 (illustrated in FIGS. 1A and 1B). However, it has been found that a plating current density of 2-10 mA/cm2 will not provide deposition of a continuous copper film on a ruthenium layer, creating voids. A continuous copper film is formed on ruthenium when the plating current density is increased and/or the electrolyte resistivity is reduced beyond the values used in conventional copper plating. A minimum or critical current density, or CCD, has been determined wherein plating current densities equal to or above this value will form a thin continuous copper film on a ruthenium layer and current densities below this value will not form a thin continuous film on the ruthenium layer. The magnitude of the CCD is strongly dependent on the resitivity of the plating solution.
  • FIG. 3 illustrates an example of the CCD versus sulfuric acid (H2SO4) concentration. The CCD, as shown in FIG. 3, is defined as the minimum current density required to form a 1000 Å continuous copper film on a ruthenium surface. Below the CCD, no visually shiny continuous copper film will be deposited at the center regions of the substrate. The magnitude of CCD is shown to strongly depend on the acidity level of the plating bath.
  • It is well known that the kinetics of nucleation and crystal growth for electro-deposition is intimately related to the local electrochemical over-potential at the nucleation/growth sites as well as the condition of the surface whereon crystal growth takes place. Over-potential is defined as the difference between the actual potential and the zero-current (open-circuit) potential. A high over-potential favors new crystal nucleation by lowering the critical nucleus size and increasing the density of nuclei, while a low electrochemical over-potential favors growth on existing crystallites. Since the plating current density depends on the electrochemical over-potential for a given bath, the copper deposit structure/morphology is therefore affected by the plating current density. Further, nucleation is also dependent on the “activity” of the substrate surface, i.e., the concentration of “active sites” on the substrate. Any kind of surface imperfection, such as a crystal dislocation, crystal boundary or incorporated alien atom may serve as the active site. At the same overvoltage, or at the same applied current density, the amount of nuclei formed will be much higher if the barrier layer is free from unwanted deposits, such as ruthenium oxides and some organic compounds, that block the active sites and, hence, inhibit nucleation.
  • As predicted by theory and confirmed by scanning electron microscopic (SEM) images, a substrate with a copper film plated on a 100 Å ruthenium film in a 10 g/l sulfuric acid containing plating solution with a plating current of 3 mA/cm2 had large crystallites and poor film deposition in the center region of the substrate. Measured at the edge of the substrate, the thickness of the copper plated film was 1000 Å. According to the results shown in FIG. 3, the CCD is about 40 mA/cm2 when the sulfuric acid concentration is 10 g/l. The current density of 3 mA/cm2 is much lower than the 40 mA/cm2 CCD shown in FIG. 3 and, as expected, a non-continuous layer was formed. It is believed that under this plating condition, only a few crystallites are stable enough to serve as the nucleation center for further crystal growth, and thus the energy from the plating current is primarily used in growing these crystals, with the help of fast copper surface diffusion. Therefore, the SEM shows large crystallites and copper island deposition in the center region of the substrate. To form a continuous copper film across the entire substrate under this condition, the deposited layer would have to be very thick and the deposited layer would likely contain voids, which would make it unsuitable for copper interconnect applications. Such poor deposition has been found even when the plating current density is only slightly lower than the CCD. For example, a substrate that has a 5000 Å thick continuous copper film can be formed on a 100 Å ruthenium film (deposited by PVD), using a plating solution containing 60 g/l of H2SO4 and a plating current density of about 10 mA/cm2 (slightly lower than the CCD of 15 mA/cm2). In agreement with theory, however, there were large voids at the copper/ruthenium interface.
  • Simply increasing plating current density to allow plating of a void-free, continuous film onto a ruthenium surface also has disadvantages; generally, a high plating current density tends to result in poor gap fill. Plating current densities of less than about 10 mA/cm2 have been found to encourage bottom-up deposition of trenches and vias, such as apertures 120, with a gap fill layer 112, shown in FIGS. 1A and 1B. In order to reduce the plating current density to the range suitable for bottom-up gap fill, the ion concentration of the plating bath may be increased. For example, it has been shown that a continuous 1000 Å copper film may be deposited on a 100 Å ruthenium film on a substrate using a plating bath with a H2SO4 concentration of 160 g/l and a plating current of 5 mA/cm2. Referring to FIG. 3, 5 mA/cm2 is equal to the CCD for this particular acidic concentration. However, cross-section SEM pictures show that voids were formed at the copper/ruthenium interface. When the plating current was raised to 10 mA/cm2 (2 times CCD of 5 mA/cm2) and the same plating bath was used, a continuous 5000 Å copper film was formed on a 100 Å ruthenium layer with no voids at the copper/ruthenium interface.
  • One of the reasons for the CCD dependence on bath acidity is related to the local electrochemical over-potential discussed above. In addition, higher acidity plating solutions may remove unwanted deposits from the surface and increase the activity of the plating surface. Increasing acid concentration to lower the CCD introduces other problems, however. Because the intention of direct plating is to form a uniform, conformal metal layer on a barrier layer, electrical conductivity of the bath should be reduced as much as is practicable. A more conductive plating bath, such as a bath containing a high concentration of acid, degrades the uniformity of the resultant film.
  • Recent research presented by Chyan, et al. from University of North Texas in American Chemical Society National Meeting in New Orleans, La., held in Mar. 23 to Mar. 27, 2003, shows that ruthenium oxide (RuO2) has a metal-like conductivity, and copper also plates and adheres strongly to ruthenium oxide or surface that is primarily ruthenium oxide. The high CCD's observed on a ruthenium surface could be the result of unwanted deposits on the ruthenium surface. “Unwanted deposits”, as used herein, is defined to include unwanted oxidation of a deposited surface as well as organic contaminants that accumulate on the fresh metallic surface after deposition. A Ru/Ta alloy surface that is free of unwanted deposits is believed to be more active for copper nucleation. Hence, removing the unwanted deposits by a pre-treatment process before copper plating may greatly reduce the plating current and the plating bath acidity required to form a continuous copper layer and avoid voids at the copper/ruthenium interface. Embodiments of the invention contemplate a pre-treatment process that includes a cathodic electrochemical pre-treatment of a barrier/adhesion layer, such as barrier/adhesion layer 106, as shown in FIGS. 1A and 1B, wherein the barrier/adhesion layer consists of a ruthenium alloy.
  • The cathodic treatment mentioned above is an electrochemical treatment of a substrate surface in a copper-ion-free acid solution. An oxidized metallic surface, particularly a Ru—Ta—Ox surface that has formed on a freshly deposited Ru/Ta barrier/adhesion layer on a substrate, may be cathodically reduced. Additionally, weakly-bound organic surface contaminants may be expelled from the surface by the cathodic polarization. The removal of these unwanted deposits on the substrate surface prior to electrochemical plating has been demonstrated to reduce the CCD of the barrier/adhesion layer. One possible reduction reaction is shown in equation (1):
    RuO2+4H*+4e ----->Ru+2H2O  (1)
  • The cathodic treatment may be performed in an electrochemical plating cell similar to the copper plating cell described below in association with FIG. 5, or in a treatment cell separated from the copper plating system. The cathodic treatment cell requires an anode, a cathode and a copper-ion-free acid bath. The optimal process parameters may vary according to the composition of the alloy being treated. The acidic concentration range should be in the range between about 10 g/l to about 100 g/l, and preferably in the range between about 10 g/l to about 50 g/l. For the treatment of a 90%-10% Ru—Ta alloy, the preferred acid concentration is about 10 g/l, or pH=0.7. A preferred acid is H2SO4, but other types of acidic solutions, such as organic sulfonic acid solutions (e.g. methylsulfonic acid), may also be used. The treatment time should be in the range of about 2 seconds to about 30 minutes, but in the interest of maintaining adequate throughput during large-scale processing of substrates, the treatment is preferably kept below 5 minutes. Generally, the current density should be in the range of about 0.05 mA/cm2 to about 5 mA/cm2. For treating a 90%-10% Ru—Ta alloy, the preferred current density is in the range of between about 1 mA/cm2 and 5 mA/cm2, and more preferrably at about 3 mA/cm2 for about 60 seconds. In addition, the acidic bath needs to be free of copper ions to prevent copper deposition on the surface during the cathodic treatment. Such deposition would be in the form of poorly nucleated copper islands, leading to poor adhesion and/or voids.
  • The cathodic treatment can be realized through potential control or current control. With the potential control approach, a reference electrode is needed to monitor the wafer potential, in addition to the working electrodes, which are the thin as-deposited ruthenium film on the wafer surface, and an anode. Potential control can be realized through a potentiostat. The controlled ruthenium electrode potential, with respect to the reference electrode, is in the range of about 0 volt to about −1.0 volt, preferably about −0.8 volt vs. AgCl. In addition to RuOx reduction to ruthenium, H2 evolution may occur on the ruthenium film surface, hence, it is important to avoid applying a reduction potential to the substrate that is too high. With the current control approach, a cathodic current will be passed between the substrate, coated with a ruthenium film for example, and an anode.
  • It is important to note that unlike direct plating on a highly resistive layer, such as barrier/adhesion layer 106 illustrated in FIG. 1B, the cathodic reduction process is not limited by the terminal effect. When directly plating on a resistive layer, it is necessary to make overpotential uniform over the substrate in order to achieve adequate current at the center of the substrate. This is due to the severe terminal effect associated with a substrate surface that is highly resistive compared to a conventional copper seed layer. It effects the uniformity of directly plated films as well as the ability to even plate a continuous film in the center of a substrate at all. To enable direct plating on such layers, a number of specialized features have been developed to enhance a standard electroplating cell, including an inert multi-zone anode, a collimator, an auxiliary thief electrode and continuous contact ring. For cathodic treatment, however, it has been shown that such hardware modifications are not necessary for the adequate treatment of the entire substrate surface. Nor is the application of a significant overpotential required. Instead, the passage of current at a relatively low current density, e.g. on the order of 1 mA/cm2, is all that is required for a uniform treatment of the substrate surface. It is believed that this is because cathodic reduction is based on current flow without any nucleation process and, hence, a minimum overpotential is not required, whereas when plating, a minimum nucleation overpotential should be applied across the entire surface of a substrate for the formation of a continuous copper film; a resistive substrate surface significantly reduces the resultant plating bias toward the center of the substrate.
  • The experimental results and discussion related to ruthenium are merely used as examples. The inventive concept may also be applied to other group VIII metals, such as rhodium, osmium and iridium. Further, the cathodic reduction process is not limited to the exemplary process parameters described above. For example, the cathodic reduction process may also take place in a solution that does not contain an acid and instead is neutral or alkaline, i.e. the solution may have a pH≧7.0.
  • Plasma Treatment
  • Aspects of the invention contemplate performing a plasma surface treatment on a substrate prior to electrochemical plating of copper onto the surface, wherein the surface consists of an alloy, such as barrier/adhesion layer 106. As described above in conjunction with FIGS. 1A and 1B, the alloy consists of at least 50 atomic % group VIII metal and the balance a barrier metal. The plasma surface treatment is preferably performed with an RF plasma in hydrogen or hydrogen/helium gas and with a bias applied to the substrate. It is known in the art that a plasma etch treatment of a substrate may effectively remove oxidized materials formed on the surface of the substrate. Because of this, it is believed that plasma treatment of a Ru—Ta alloy prior to copper plating may have the same benefits as cathodic electrochemical pre-treatment by reducing and/or removing native oxides formed on the alloy surface, particularly barrier metal oxides. The plasma treatment may be based on an inductively coupled plasma or a capacitively coupled plasma.
  • FIG. 3A is a simplified cross sectional view of a plasma surface treatment chamber, also known as a pre-clean chamber, capable of implementing aspects of the present invention. Pre-clean chamber 310 may be incorporated onto an electrochemical processing system, such as electrochemical processing system (ECPS) 400, described below in conjunction with FIG. 4. Preferably, pre-clean chamber 310 is positioned in FI 430 of ECPS 400, i.e. in a region of ECPS 400 that is best suited for processing and handling of dry substrates. In this way, a substrate may undergo plating a very short time, e.g. minutes or seconds, after the plasma surface treatment is performed—unlike when the plasma surface treatment is performed on a separate processing platform. The implementation of the pre-clean chamber 310 onto ECPS 400 also allows for a uniform wait-time between substrates. Hence, not only is the wait-time significantly reduced before plating, the wait-time between plasma surface treatment and plating may be controlled to be the same for each substrate processed on ECPS 400, reducing process variation between substrates.
  • Generally, the pre-clean chamber 310 has a substrate support member 312 disposed in a chamber enclosure 314 under a quartz dome 316. The substrate support member 312 may include a central pedestal plate 318 disposed within a recess 320 on a quartz insulator plate 322. In some aspects, substrate support member 312 may be a heated substrate support member, to allow heating of the substrate during the plasma treatment process. The upper surface of the central pedestal plate 318 typically extends above the upper surface of the quartz insulator plate 322. A gap 324, typically between about 5 mils and 15 mils, is formed between a bottom surface of the substrate 326 and the top surface of the quartz insulator plate 322. During processing, the substrate 326 is placed on the central pedestal plate 318 and may be located thereon by positioning pin 332. The peripheral portion of the substrate 326 may extend over the quartz insulator plate 322 and overhang the upper edge of the quartz insulator plate 322. A beveled portion 328 of the quartz insulator plate 322 is disposed below this overhanging peripheral portion of the substrate 326, and a lower annular flat surface 330 extends from the lower outer edge of the beveled portion 328. The insulator plate 322 and the dome 316 may comprise other dielectric materials, such as aluminum oxide and silicon nitride.
  • The plasma surface treatment process for substrate 326 in pre-clean chamber 310 generally involves a sputter-etching process using the substrate 326 as the sputtering target. A cleaning gas, such as hydrogen or a helium/hydrogen mixture, is flowed through the chamber 310. Plasma is struck in the chamber by applying RF power to the chamber through coils 317 disposed outside of the chamber. A DC bias may be applied to the substrate 326 to accelerate ions in the plasma toward the substrate 326.
  • In one exemplary plasma surface treatment process for a 300 mm diameter substrate, a hydrogen gas flow of between about 100 sccm and about 1200 sccm is used. A 95% helium-5% hydrogen gas mixture may also be used at a gas flow up to about 500 sccm. Pressure in the chamber 310 is maintained between about 1 mTorr and about 50 mTorr during processing, RF power is between about 1000 W and about 3000 W, and the substrate temperature is maintained between about 20° C. and about 350° C. Process time varies depending on alloy composition and may be determined easily by one skilled in the art for a given alloy surface.
  • In another exemplary plasma surface treatment process for a 300 mm diameter substrate, a 95% helium-5% hydrogen gas mixture is used at a gas flow of between about 50 sccm to about 200 sccm. RF power during processing is between about 300 W and about 1000 W, and the substrate temperature is maintained between about 20° C. and about 350° C. In this example, a substrate bias of between about 0 W and about 100 W is applied. Process time varies depending on alloy composition and may be determined easily by one skilled in the art for a given alloy surface.
  • It has been demonstrated that thermal pre-treatment in forming gas, such as a 250° C. anneal in a 4% hydrogen-96% nitrogen mixture, is not effective for improving plated copper adhesion to a tantalum-based barrier layer. The thermal anneal process is unable to successfully reduce tantalum oxides because it is believed that tantalum oxides are thermodynamically stable at anneal temperatures that are low enough to avoid integration issues. However, the more aggressive hydrogen-only or helium-hydrogen treatment, coupled with RF plasma and/or substrate bias, may reduce any tantalum oxides present on an alloy surface, enabling adherent copper film deposition on the alloy surface.
  • Electrochemical Plating Processes
  • Direct Plating on a Barrier/Adhesion Layer with a Complex Alkaline Electrolyte
  • Embodiments of the invention teach the use of complexed copper sources contained within an alkaline plating solution for the direct plating of copper layers on a barrier/adhesion layer. This process may be performed in a plating cell similar to the electrochemical processing cell described below in conjunction with FIG. 5.
  • A plating solution containing complexed copper sources has a significantly more negative deposition potential than does a plating solution containing free copper ions. Generally, complexed copper ions have a deposition potential from about −1.1 V to about −0.5 V, depending on the particular complexing agent. Free copper ions have deposition potentials in the range from about −0.3 V to about −0.1 V, when referenced to Ag/AgCl (1M KCl), which has a potential of 0.235 V verses a standard hydrogen electrode. For example:
    Cu2(C6H4O7)+2H2O→2Cu0+C6H8O7+O2Δε=−0.7 V
    Cu+2+2e →Cu0Δε=−0.2 V.
    Further, the current dependence on potential for the complex bath is substantially reduced when compared to a bath with free copper ions. Therefore, the local current density variation across the substrate surface will be improved, even in the presence of a large potential gradient across the substrate surface due to the low electrical conductivity of thin barrier metals. This leads to better deposition uniformity across the substrate surface. A more detailed description of electrochemical polarization of copper complex baths may be found in commonly owned U.S. patent application Ser. No. 10/616,097 [APPM 8241], filed Jul. 8, 2003, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.
  • Suitable plating solutions that may be used with the processes described herein to plate copper include at least one copper source compound, at least one chelating or complexing compound, optional wetting agents or suppressors, optional pH adjusting agents, and a solvent.
  • The plating solutions contain at least one copper source compound complexed or chelated with at least one of a variety of ligands. Complexed copper includes a copper atom in the nucleus and surrounded by ligands, functional groups, molecules or ions with a strong affinity to the copper, as opposed to free copper ions with very low affinity, if any, to a ligand (e.g., water). Complexed copper sources are either chelated before being added to the plating solution or are formed in situ by combining a free copper ion source with a complexing agent. The copper atom may be in any oxidation state, such as 0, 1 or 2, before, during or after complexing with a ligand. Therefore, throughout the disclosure, the use of the word copper or elemental symbol Cu includes the use of copper metal (Cu0), cupric (Cu+1) or cuprous (Cu+2), unless otherwise distinguished or noted.
  • Examples of suitable copper source compounds include copper citrate, copper ED, copper EDTA, among others. A particular copper source compound may have ligated varieties. For example, copper citrate may include at least one cupric atom, cuprous atom or combinations thereof and at least one citrate ligand and include Cu(C6H7O7), Cu2(C6H4O7), Cu3(C6H5O7), or Cu(C6H7O7)2. In another example, copper EDTA may include at least one cupric atom, cuprous atom or combinations thereof, and at least one EDTA ligand and include Cu(C10H15O8N2), Cu2(C10H14O8N2), Cu3(C10H13O8N2), Cu4(C10H12O8N2), Cu(C10H14O8N2), or Cu2(C10H12O8N2). Examples of suitable copper source compounds include copper sulphate, copper pyrophosphate, and copper fluoroborate.
  • The plating solution contains one or more chelating or complexing compounds that include compounds having one or more functional groups selected from the group of carboxylate groups, hydroxyl groups, alkoxyl groups, oxo acids groups, mixture of hydroxyl and carboxylate groups, and combinations thereof. Further examples of suitable chelating compounds include compounds having one or more amine and amide functional groups, such as ethylenediamine (ED), diethylenetriamine, diethylenetriamine derivatives, hexadiamine, amino acids, ethylenediaminetetraacetic acid (EDTA), methylformamide, and combinations thereof. The plating solution may include one or more chelating agents at a concentration in the range from about 0.02 M to about 1.6 M.
  • The one or more chelating compounds may also include salts of the chelating compounds described herein, such as lithium, sodium, potassium, cesium, calcium, magnesium, ammonium, and combinations thereof. The salts of chelating compounds may completely or only partially contain the aforementioned cations (e.g., sodium) as well as acidic protons, such as Nax(C6H8-xO7) or NaxEDTA, whereas X=1-4. Such salt combines with a copper source to produce NaCu(C6H5O7). Examples of suitable inorganic or organic acid salts include ammonium and potassium salts or organic acids, such as ammonium oxalate, ammonium citrate, ammonium succinate, monobasic potassium citrate, dibasic potassium citrate, tribasic potassium citrate, potassium tartrate, ammonium tartrate, potassium succinate, potassium oxalate, and combinations thereof. The one or more chelating compounds may also include complexed salts, such as hydrates (e.g., sodium citrate dihydrate).
  • Wetting agents or suppressors may be added to the solution in a range from about 10 ppm to about 2,000 ppm, preferably in a range from about 50 ppm to about 1,000 ppm. Suppressors include polyacrylamide, polyacrylic acid polymers, polycarboxylate copolymers, polyethers or polyesters of ethylene oxide and/or propylene oxide (EO/PO), coconut diethanolamide, oleic diethanolamide, ethanolamide derivatives, and combinations thereof.
  • One or more pH-adjusting agents are optionally added to the plating solution to achieve a pH≧7.0, preferably between about 7.0 and about 9.5. The amount of pH adjusting agent can vary as the concentration of the other components is varied in different formulations. Different compounds may provide different pH levels for a given concentration, for example, the composition may include between about 0.1% and about 10% by volume of a base, such as potassium hydroxide, ammonium hydroxide, or combinations thereof, to provide the desired pH level. The one or more pH adjusting agents may also include acids, including carboxylic acids, such as acetic acid, citric acid, oxalic acid, phosphate-containing components including phosphoric acid, ammonium phosphates, potassium phosphates, inorganic acids, such as sulfuric acid, nitric acid, hydrochloric acid, and combinations thereof.
  • In an exemplary direct plating process using a Cu-ED alkaline electrolyte, a constant cathodic current is applied to the substrate resulting in a constant current density which may be in a range between about 1 mA/cm2 to about 10 mA/cm2 for a time period between about 0.1 seconds and 5.0 seconds. This results in the formation of a copper seed layer between about 50 Å and about 300 Å thick on the barrier layer.
  • Direct Plating on a Barrier/Adhesion Layer with a Acidic Electrolyte
  • Alternately, embodiments of the invention teach direct plating of a copper layer on a barrier/adhesion layer in an acidic plating solution, wherein a “nucleation waveform” or “nucleation pulse” may be used when the substrate surface is first brought in contact with the plating solution. “Nucleation waveform” or “nucleation pulse,” as used herein, is defined as an initial higher plating current level intended to help the nucleation of the copper deposition on the substrate surface, wherein the initial plating current is at least equal to, or ideally greater than, the CCD. This plating current may exceed the maximum plating current that typically allows for bottom-up gapfill of substrate features and therefore is only applied for a short time to prevent incomplete filling, e.g. voids, in high aspect ratio features on the substrate. The nucleation pulse is initially applied to the substrate surface to ensure that a uniform, well adhering, void-free layer, such as seed layer 111 illustrated in FIG. 2, is formed on a highly resistive barrier/adhesion layer during the above-described direct plating process. For example, in the case of plating a copper seed layer onto a ruthenium alloy barrier layer, a constant plating current in the range of about 5 mA/cm2 to about 20 mA/cm2 is applied to the barrier layer during the nucleation pulse for a period of time between about 0.1 to about 10 seconds. This process may be performed in a plating cell similar to the electrochemical processing cell described below in conjunction with FIG. 5. No complexing agents are necessary in this plating process.
  • Plating on Copper Seed with a Complex Alkaline Electrolyte
  • Aspects of the invention teach the use of a complex alkaline electrolyte for plating a gapfill layer, such as gapfill layer 112 (see FIG. 2), onto a seed layer, such as seed layer 111, that has been directly deposited on a barrier layer via an alkaline solution ECP process. This process may be performed in an electrochemical plating cell similar to the electrochemical processing cell described below in conjunction with FIG. 5.
  • This process is similar to that described above for direct plating on a barrier layer with a complex alkaline electrolyte. Process parameters are believed to enhance the bottom-up gapfill process, however, including plating current and deposition time. Generally, higher deposition rates and, hence, plating current densities, may be utilized for this process.
  • The bath used for this process is also similar to that used for direct plating. The complex alkaline bath for gapfill contains at least one copper source compound and at least one complexing compound, as detailed previously. The one or more complexing compounds may also include salts of the chelating compounds, listed above. The bath also may contain wetting agents and one or more pH-adjusting agents (see above). Concentrations of the bath's components are believed to enhance the bottom-up gapfill process.
  • Additionally, the use of a nucleation pulse is unnecessary for the formation of a uniform, void-free metal layer to be formed on the seed layer.
  • Plating on Copper Seed with an Acidic Electrolyte
  • Aspects of the invention teach the use of a conventional acid electrolyte for plating a gap fill layer onto a seed layer that has been directly deposited on a barrier layer via an alkaline solution ECP process. ECP gapfill deposition of copper onto a copper seed layer using an acidic plating solution is well known in the art and may be performed in an electrochemical plating cell similar to the copper plating cell described below in conjunction with FIGS. 4 and 5.
  • A conventional, i.e., non-complex, electrochemical plating solution for ECP generally includes a copper source, an acid source, a chlorine ion source, and at least one plating solution additive, i.e., levelers, suppressors, accelerators, antifoaming agents, etc. For example, the plating solution may contain between about 30 g/l and about 60 g/l of copper, between about 10 g/l to about 50 g/l of sulfuric acid, between about 20 and about 100 ppm of chlorine ions, between about 5 and about 30 ppm of an additive accelerator, between about 100 and about 1000 ppm of an additive suppressor, and between about 1 and about 6 ml/l of an additive leveler. The plating current may be in the range from about 2 mA/cm2 to about 10 mA/cm2 for filling about 300 Å to about 3000 Å copper into the sub-micron trench and/or via structure. Examples of copper plating chemistries and processes can be found in commonly assigned U.S. patent application Ser. No. 10/616,097, titled “Multiple-Step Electrodeposition Process For Direct Copper Plating On Barrier Metals”, filed on Jul. 8, 2003, and U.S. patent application No. 60/510,190, titled “Methods And Chemistry For Providing Initial Conformal Electrochemical Deposition Of Copper In Sub-Micron Features”, filed on Oct. 10, 2003.
  • Exemplary Plating Apparatus
  • Electrochemical Processing System
  • FIG. 4 is a top plan view of an embodiment of an electrochemical processing system (ECPS) 400 capable of implementing the methodology of the present invention. The ECPS 400 generally includes a processing platform 413 having a robot 420 centrally positioned thereon. The robot 420 generally includes one or more robot arms 422 and 424 configured to support substrates thereon. Additionally, the robot 420 and the robot arms 422 and 424 are generally configured to extend, rotate and vertically move so that the robot 420 may insert and remove substrates to and from a plurality of processing locations 402, 404, 406, 408, 410, 412, 414 and 416 positioned on the processing platform 413. Processing locations may be configured as electroless plating cells, electrochemical processing cells, substrate rinsing and/or drying cells, substrate bevel clean cells, substrate surface clean or preclean cells and/or other processing cells that are advantageous to plating processes. Preferably, aspects of the present invention involving wet processes such as cathodic electrochemical pre-treatment and electrochemical plating are conducted within at least one of the processing locations 402, 404, 406, 408, 410 and 412.
  • The ECPS 400 further includes a factory interface, or FI 430. The FI 430 generally includes at least one FI robot 432 positioned adjacent one side of the FI 430 that is adjacent to the processing platform 413. The FI robot 432 is positioned to access a substrate 426 from substrate cassettes 434. The FI robot 432 delivers the substrate 426 to one of processing locations 414 and 416 to initiate a processing sequence. Similarly, FI robot 432 may be used to retrieve substrates from one of the processing locations 414 and 416 after a substrate processing sequence is complete. In this situation FI robot 432 may deliver the substrate 426 back to one of the cassettes 434 for removal from the system 400. Further, robot 432 also extends into a link tunnel 415 that connects factory interface 430 to processing mainframe or platform 413. Additionally, FI robot 432 is configured to access a processing chamber 435 positioned in communication with the FI 430. For aspects of the invention including plasma treatment, it is preferred that processing chamber 435 acts as the plasma treatment chamber 310, as described above in conjunction with FIG. 3A. This is because FI 430 is best suited to handling and processing of dry substrates whereas platform 413 is best suited to handling and processing of wet substrates.
  • Electrochemical Plating Cell
  • FIG. 5 illustrates a partial perspective and sectional view of an exemplary electrochemical processing cell, hereinafter referred to as plating cell 500, that may be implemented in processing locations 402, 404, 406, 408, 410, 412, 414, 416 of FIG. 4. The plating cell 500 generally includes a plating head assembly 600, a frame member 503, an outer basin 501 and an inner basin 502 positioned within outer basin 501.
  • The plating head assembly 600 includes a receiving member 601 for supporting and rotating a substrate during immersion into the electrochemical processing solution and during electrochemical processing. In this example, receiving member 601 includes a contact ring 602 and a thrust plate assembly 604 that are separated by a loading space 606. The contact ring 602 may be adapted to make electrical contact around the periphery of the substrate so that the necessary electrical waveform may be applied to the substrate. The contact ring 602 may be further adapted to include a reference electrode that is located close to the substrate surface. A more detailed description of the contact ring 602 and thrust plate assembly 604 may be found in commonly assigned U.S. patent application Ser. No. 10/278,527, filed on Oct. 22, 2002 and entitled “Plating Uniformity Control By Contact Ring Shaping”, and commonly assigned U.S. Pat. No. 6,251,236 entitled Cathode Contact Ring for Electrochemical Deposition, both of which are hereby incorporated by reference in their entirety to the extent not inconsistent with the present invention.
  • The frame member 503 of plating cell 500 supports an annular base member 504 on an upper portion thereof. Since frame member 503 is elevated on one side, the upper surface of base member 504 is generally tilted from the horizontal at an angle that corresponds to the tilt angle of frame member 503 relative to a horizontal position. Base member 504 includes a disk-shaped anode 505. Plating cell 500 may be positioned at a tilt angle, i.e., the frame portion 503 of plating cell 500 may be elevated on one side such that the components of plating cell 500 are tilted between about 3° and about 30°.
  • Inner basin 502 is generally configured to contain a processing solution, such as a plating solution or a cathodic electrochemical pre-treatment solution, during electrochemical processing of substrates. During processing, the processing solution is generally continuously supplied to inner basin 502, and therefore, the processing solution continually overflows the uppermost point 502 a, generally termed a “weir”, of inner basin 502 and is collected by outer basin 501 and drained therefrom for chemical management and recirculation. The exemplary electrochemical processing cell is further illustrated in commonly assigned U.S. patent application Ser. No. 10/268,284, filed on Oct. 9, 2002, and entitled “Electrochemical Processing Cell”, claiming priority to U.S. Provisional Application Ser. No. 60/398,345, which was filed on Jul. 24, 2002, both of which are incorporated herein by reference in their entireties.
  • In an exemplary electrochemical process, such as substrate process sequence 610, described below in conjunction with FIG. 6, a substrate may be transferred into an electrochemical processing cell, such as plating cell 500 for example, and positioned face-down on contact ring 602. Thrust plate assembly 604 holds the substrate in place during processing. The substrate is then immersed in the electrolyte solution filling inner basin 502, typically while being rotated by the contact ring 602 between about 5 rpm and about 60 rpm. The electrolyte solution may comprise an acidic, copper free solution, a complexed-copper alkaline solution, or a conventional acidic copper-containing solution, depending on the process being performed on the substrate. The substrate may be rotated between about 10 rpm and about 100 rpm during processing step by contact ring 602. The time required for processing is dependent on each particular process, such as cathodic pre-treatment, seed layer deposition, seed layer and gapfill layer deposition, etc. Once the processing step is complete, the waveform is then removed and the substrate is positioned above the electrolyte solution and uppermost point 502 a of inner basin 502 for removal from plating cell 500. Prior to removal from plating cell 500, the substrate may be rotated between about 100 and 1000 rpm for between about 1 second and about 10 seconds in order to remove excess solution from the substrate.
  • Process Sequences
  • FIG. 6 is a flow chart of a substrate process sequence 610. Embodiments include a method for depositing a metal layer onto an alloy layer on a substrate, wherein the alloy consists of at least 50 atomic % group VIII metal and the balance a barrier metal. The deposition method includes:
      • A pre-treatment 611 of the alloy layer.
      • Seed layer deposition 612 of a continuous, void-free seed layer onto the pre-treated alloy layer.
      • Gapfill layer deposition 613 of a gapfill layer on the seed layer.
  • During pre-treatment 611, a pre-treatment of the substrate surface, such as conductive substrate surface 114 in FIG. 2, is performed. In one aspect, the pre-treatment is a cathodic reduction, as described above in conjunction with FIG. 3. As noted above, a cathodic electrochemical pre-treatment may reduce the critical current density required to form a uniform, void-free, conformal metal layer on a barrier/adhesion layer as well as improve the adhesion of the metal layer to the barrier/adhesion layer. The cathodic electrochemical pre-treatment may be performed in an acid-containing bath or an alkaline bath. An important benefit of cathodic pre-treatment in an alkaline bath is that complete rinsing of a substrate prior to seed layer deposition 612 is not necessary when seed layer deposition 612 is performed in an alkaline bath, since cross-contamination of incompatible chemistries is not an issue. Conversely, performing the cathodic pre-treatment in an acid-containing bath allows seed layer deposition 612 to be performed without extensive rinsing prior thereto. In another aspect, pre-treatment 611 is a plasma pre-treatment, as described above in conjunction with FIG. 3A. It is believed that a plasma pre-treatment performed on a substrate prior to electroplating may yield the same advantages to copper layer adhesion and CCD reduction as a cathodic electrochemical pre-treatment.
  • For either cathodic electrochemical pre-treatment or plasma-pre-treatment, it is beneficial for the pre-treatment 611 to be performed on the same electrochemical processing system on which seed layer deposition 612 is subsequently performed, such as ECPS 400, described above in conjunction with FIG. 4. This reduces the exposure time of the treated surface to oxygen and ambient contamination to minutes or even seconds, minimizing the formation of unwanted deposits on the treated barrier layer surface prior to seed layer deposition. In addition, the wait time between pre-treatment step 611 and seed layer deposition 612 may be precisely controlled, whereas when pre-treatment step 611 is performed on a different substrate processing platform than seed layer deposition 612, the wait time therebetween is longer and highly variable, leading to unwanted variation in electronic device properties.
  • Next, seed layer deposition 612 takes place on the substrate, wherein a seed layer, such as seed layer 111 illustrated in FIG. 2, is directly plated onto conductive substrate surface 114 with an electrochemical process. In one aspect, seed layer 111 is plated using a complex alkaline bath. In another aspect, seed layer 111 is plated in an acidic bath and a nucleation pulse is used to improve the quality and adherence of the seed layer.
  • Gapfill layer deposition 613 then takes place on the substrate, wherein a gapfill layer, such as gapfill layer 112 in FIG. 2, is plated onto seed layer 111.
  • In one aspect, an electrochemical gapfill process with a complex alkaline bath is used for gapfill layer deposition 613. This process is described above under Electrochemical Plating Processes. In this aspect, it is beneficial for seed layer deposition 612 to take place in a complex alkaline bath, since seed layer deposition 612 and gapfill layer deposition 613 may then be performed sequentially in the same substrate processing chamber. Because a single plating cell and solution are used for both process steps, the surface of the seed layer is never exposed to atmosphere prior to gapfill layer deposition 613, eliminating the possibility of unwanted oxidation. Further, there is virtually no time for organic contaminants to accumulate on the seed layer surface since the seed layer deposition 612 may be followed immediately by the gapfill layer deposition 613. This is especially useful for gapfill of interconnect features smaller than 65 nm; such small interconnect features are particularly sensitive to the formation of voids during gapfill as well as to the presence of unwanted deposits at the interface between the seed layer and the gapfill layer. Lastly, this method increases the productivity of electrochemical processing systems by combining two process steps into a single plating cell.
  • In another aspect, an electrochemical gapfill process with an acidic electrolyte is used for gapfill layer deposition 613. This process is described above under Electrochemical Plating Processes. In this aspect, it is beneficial for seed layer deposition 612 to take place sequentially in the same acidic electrolyte for the same reasons detailed in the previous aspect, i.e. when seed layer deposition 612 and gapfill layer deposition 613 are both conducted sequentially in a complex alkaline plating solution.
  • In another aspect, a direct plating process with a complex alkaline bath is used for seed layer deposition 612 and a conventional acidic electrolyte is used for gapfill layer deposition 613. In this aspect, an additional rinsing step is performed on the substrate between seed layer deposition 612 and gapfill layer deposition 613 to prevent cross-contamination of the incompatible plating solutions. The additional rinsing step may be performed in a dedicated rinsing chamber, preferably located on the same electrochemical processing system wherein the substrate process sequence 610 may be performed. The substrate is rinsed with an aqueous solution while rotating at a rate from about 20 to about 100 rpm and subsequently dried via gas flow and/or spin-drying. Due to the inherent incompatibility of acidic and basic solutions, as well as the serious problems associated with cross-contamination of organic additives between plating solutions, rigorous cleaning of the plating cell would have to be performed between seed layer deposition 612 and gapfill layer deposition 613 for each substrate processed therein. Instead, it is preferred that two separate ECP cells are used to complete the formation of copper layer 110 in apertures 120 on a substrate: one cell dedicated to an alkaline-based plating process, i.e., seed layer deposition 612, and one cell dedicated to acid-based plating processes, i.e., gapfill layer deposition 613. To minimize waiting time and the associated oxidation and contamination of the seed layer prior to gapfill deposition 613, both ECP cells are preferably situated on the same substrate processing platform, such as the exemplary plating system described below in conjunction with FIG. 4.
  • Although several preferred embodiments which incorporate the teachings of the present invention have been shown and described in detail, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (39)

1. A method of processing a substrate, comprising:
providing a dielecric layer on a substrate;
forming features into the surface of the dielectric layer;
depositing an electrically continuous barrier/adhesion layer onto the surface of the dielectric layer, wherein the barrier/adhesion layer comprises an alloy, wherein at least 50 atomic % of the alloy comprises a metal from the group consisting of ruthenium, rhodium, palladium, cobalt, nickel, osmium, iridium, platinum and combinations thereof and wherein the balance of the alloy is selected from the group consisting of tantalum, titanium, zirconium, hafnium, niobium, molybdenum, tungsten and combinations thereof; and
directly plating a first copper layer onto the barrier/adhesion layer, the first copper layer being an electrically continuous layer, wherein the process of directly plating a first copper layer comprises:
placing the barrier/adhesion layer into contact with a copper solution, wherein the copper solution comprises copper ions; and
applying a first plating waveform to the barrier/adhesion layer.
2. The method of claim 1, further comprising conditioning the surface of the electrically continuous barrier/adhesion layer prior to plating the first copper layer.
3. The method of claim 2, wherein the process of conditioning the surface of the barrier/adhesion layer comprises:
placing the substrate in a plasma-etch chamber;
flowing a process gas into the chamber, wherein the process gas comprises at least one of the group consisting of hydrogen, a helium-hydrogen mixture and combinations thereof; and
generating a plasma in the chamber.
4. The method of claim 2, wherein the process of conditioning the surface of the barrier/adhesion layer comprises cathodically pre-treating the barrier/adhesion layer in an acid-containing bath.
5. The method of claim 4, wherein the acid-containing bath contains sulfuric acid, wherein the concentration of the sulfuric acid is in the range between about 10 g/l and about 50 g/l.
6. The method of claim 4, wherein cathodically pre-treating the barrier/adhesion layer further comprises performing the cathodic pre-treatment at a current density in the range of about 1 mA/cm2 to about 5 mA/cm2.
7. The method of claim 4, wherein the cathodic pre-treatment is performed at a potential in the range of about 0 volt to about −1.0 volt vs. AgCl.
8. The method of claim 2, wherein the process of conditioning the surface of the barrier/adhesion layer comprises cathodically pre-treating the barrier/adhesion layer in an alkaline or neutral bath.
9. The method of claim 2, wherein the process of directly plating a first copper layer onto the barrier/adhesion layer occurs less than about 150 minutes after the process of conditioning the surface of the barrier/adhesion layer.
10. The method of claim 1, wherein the process of applying a first plating waveform to the barrier/adhesion layer further comprises:
applying a nucleation waveform to the barrier/adhesion layer, the nucleation waveform being configured to generate a first current density across the barrier/adhesion layer greater than a critical current density.
11. The method of claim 1, further comprising applying a second plating waveform to the first copper layer while in contact with the copper solution to deposit a second copper layer onto the first copper layer.
12. The method of claim 1, wherein the copper solution further comprises a complexing agent and a pH equal to or greater than 7.0.
13. The method of claim 12, further comprising depositing a second copper layer onto the first copper layer, wherein the process of depositing the second copper layer comprises:
placing the first copper layer into a second copper solution, wherein the second copper solution is acidic and includes free-copper ions; and
applying a second plating waveform to the first copper layer.
14. The method of claim 1, wherein the barrier/adhesion layer comprises a Ru—Ta alloy of at least about 50 atomic % ruthenium and wherein the balance of the alloy is tantalum.
15. The method of claim 14, wherein the features have sidewalls and the thickness of the barrier/adhesion layer is between about 10 Å and about 50 Å on the sidewalls.
16. The method of claim 2 wherein the process of conditioning the surface of the barrier/adhesion layer and the process of plating the first copper layer take place on the same copper plating system.
17. A method of processing a substrate, comprising:
providing a dielecric layer on a substrate;
forming features into the surface of the dielectric layer;
depositing an electrically continuous barrier/adhesion layer onto the surface of the dielectric layer, wherein the barrier/adhesion layer comprises a Ru—Ta alloy of between about 70 atomic % and 95 atomic % ruthenium and wherein the balance of the alloy is tantalum;
conditioning the surface of the electrically continuous barrier/adhesion layer prior to plating the first copper layer; and
directly plating a first copper layer onto the barrier/adhesion layer, the first copper layer being an electrically continuous layer wherein the process of directly plating a first copper layer comprises:
placing the barrier/adhesion layer into contact with a copper solution, wherein the copper solution comprises copper ions; and
applying a first plating waveform to the barrier/adhesion layer.
18. The method of claim 17, wherein the process of conditioning the surface of the barrier/adhesion layer comprises:
placing the substrate in a plasma-etch chamber;
flowing a process gas into the chamber, wherein the process gas comprises at least one of the group consisting of hydrogen, a helium-hydrogen mixture and combinations thereof; and generating a plasma in the chamber.
19. The method of claim 17, wherein the process of conditioning the surface of the barrier/adhesion layer comprises cathodically pre-treating the barrier/adhesion layer in an acid-containing bath.
20. The method of claim 19, wherein cathodically pre-treating the barrier/adhesion layer further comprises performing the cathodic pre-treatment at a current density in the range of about 1 mA/cm2 to about 5 mA/cm2.
21. The method of claim 19, wherein the cathodic pre-treatment is performed at a potential in the range of about 0 volt to about −1.0 volt vs. AgCl.
22. The method of claim 17, wherein the process of conditioning the surface of the barrier/adhesion layer comprises cathodically pre-treating the barrier/adhesion layer in an alkaline or neutral bath.
23. The method of claim 17, wherein the process of directly plating a first copper layer onto the barrier/adhesion layer occurs less than about 150 minutes after the process of conditioning the surface of the barrier/adhesion layer.
24. The method of claim 17, wherein the process of applying a first plating waveform to the substrate surface further comprises:
applying a nucleation waveform to the substrate surface, the nucleation waveform being configured to generate a first current density across the substrate surface greater than a critical current density.
25. The method of claim 17, further comprising applying a second plating waveform to the substrate surface while in contact with the copper solution to deposit a second copper layer onto the first copper layer.
26. The method of claim 17, wherein the copper solution further comprises a complexing agent and a pH equal to or greater than 7.0.
27. The method of claim 26, further comprising depositing a second copper layer onto the first copper layer, wherein the process of depositing the second copper layer comprises:
placing the first copper layer into a second copper solution, wherein the second copper solution is acidic and includes free-copper ions; and
applying a second plating waveform to the first copper layer.
28. The method of claim 17, wherein the composition of the Ru—Ta alloy is not homogeneous through the thickness of the alloy.
29. The method of claim 28, wherein the Ru—Ta alloy is ruthenium-rich adjacent the interface between the barrier/adhesion layer and the first copper layer and is tantalum-rich adjacent the interface between the barrier/adhesion layer and the dielectric layer.
30. The method of claim 17 wherein the features have sidewalls and the thickness of the barrier/adhesion layer is between about 10 Å and about 50 Å on the sidewalls.
31. A method of plating an adherent copper layer onto a barrier layer, comprising:
depositing an electrically continuous barrier/adhesion layer onto a substrate surface, wherein the barrier/adhesion layer comprises a Ru—Ta alloy of between about 70 atomic % and 95 atomic % ruthenium and wherein the balance of the alloy is tantalum;
conditioning the surface of the electrically continuous barrier/adhesion layer prior to plating the first copper layer; and
directly plating a first copper layer onto the barrier/adhesion layer, the first copper layer being an electrically continuous layer wherein the process of directly plating a first copper layer comprises:
placing the substrate surface into contact with a copper solution, wherein the copper solution comprises copper ions; and
applying a first plating waveform to the substrate surface.
32. The method of claim 31, wherein the process of conditioning the surface of the barrier/adhesion layer comprises:
placing the substrate in a plasma-etch chamber;
flowing a process gas into the chamber, wherein the process gas comprises at least one of the group consisting of hydrogen, a helium-hydrogen mixture and combinations thereof; and
generating a plasma in the chamber.
33. The method of claim 31, wherein the process of conditioning the surface of the barrier/adhesion layer comprises cathodically pre-treating the barrier/adhesion layer.
34. The method of claim 31, wherein the process of conditioning the surface of the barrier/adhesion layer comprises cathodically pre-treating the barrier/adhesion layer in an acid-containing bath at a current density in the range of about 1 mA/cm2 to about 5 mA/cm2.
35. The method of claim 31, wherein the cathodic pre-treatment is performed at a potential in the range of about 0 volt to about −1.0 volt vs. AgCl.
36. The method of claim 31, wherein the process of directly plating a first copper layer onto the barrier/adhesion layer occurs less than about 150 minutes after the process of conditioning the surface of the barrier/adhesion layer.
37. The method of claim 31, wherein the process of applying a first plating waveform to the substrate surface further comprises:
applying a nucleation waveform to the substrate surface, the nucleation waveform being configured to generate a first current density across the substrate surface greater than a critical current density.
38. The method of claim 31, wherein the copper solution further comprises a complexing agent and a pH equal to or greater than 7.0.
39. The method of claim 31, wherein the Ru—Ta alloy is ruthenium-rich adjacent the interface between the barrier/adhesion layer and the first copper layer and is tantalum-rich adjacent the interface between the barrier/adhesion layer and the dielectric layer.
US11/373,635 2003-07-08 2006-03-09 Method of direct plating of copper on a ruthenium alloy Abandoned US20060283716A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/373,635 US20060283716A1 (en) 2003-07-08 2006-03-09 Method of direct plating of copper on a ruthenium alloy
PCT/US2006/060072 WO2007111676A2 (en) 2005-10-21 2006-10-19 Method of direct plating of copper on a substrate structure
TW095138839A TWI376433B (en) 2005-10-21 2006-10-20 Method of direct plating of copper on a substrate structure
US13/150,850 US20110259750A1 (en) 2003-07-08 2011-06-01 Method of direct plating of copper on a ruthenium alloy

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US10/616,097 US20050006245A1 (en) 2003-07-08 2003-07-08 Multiple-step electrodeposition process for direct copper plating on barrier metals
US57912904P 2004-06-10 2004-06-10
US62121504P 2004-10-21 2004-10-21
US62117304P 2004-10-21 2004-10-21
US11/007,857 US20050274621A1 (en) 2004-06-10 2004-12-09 Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US11/012,965 US20050274622A1 (en) 2004-06-10 2004-12-15 Plating chemistry and method of single-step electroplating of copper on a barrier metal
US11/255,368 US20070125657A1 (en) 2003-07-08 2005-10-21 Method of direct plating of copper on a substrate structure
US11/373,635 US20060283716A1 (en) 2003-07-08 2006-03-09 Method of direct plating of copper on a ruthenium alloy

Related Parent Applications (4)

Application Number Title Priority Date Filing Date
US10/616,097 Continuation-In-Part US20050006245A1 (en) 2000-06-05 2003-07-08 Multiple-step electrodeposition process for direct copper plating on barrier metals
US11/007,857 Continuation-In-Part US20050274621A1 (en) 2003-07-08 2004-12-09 Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US11/012,965 Continuation-In-Part US20050274622A1 (en) 2003-07-08 2004-12-15 Plating chemistry and method of single-step electroplating of copper on a barrier metal
US11/255,368 Continuation-In-Part US20070125657A1 (en) 2003-07-08 2005-10-21 Method of direct plating of copper on a substrate structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/150,850 Continuation US20110259750A1 (en) 2003-07-08 2011-06-01 Method of direct plating of copper on a ruthenium alloy

Publications (1)

Publication Number Publication Date
US20060283716A1 true US20060283716A1 (en) 2006-12-21

Family

ID=38541573

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/373,635 Abandoned US20060283716A1 (en) 2003-07-08 2006-03-09 Method of direct plating of copper on a ruthenium alloy
US13/150,850 Abandoned US20110259750A1 (en) 2003-07-08 2011-06-01 Method of direct plating of copper on a ruthenium alloy

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/150,850 Abandoned US20110259750A1 (en) 2003-07-08 2011-06-01 Method of direct plating of copper on a ruthenium alloy

Country Status (3)

Country Link
US (2) US20060283716A1 (en)
TW (1) TWI376433B (en)
WO (1) WO2007111676A2 (en)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050274621A1 (en) * 2004-06-10 2005-12-15 Zhi-Wen Sun Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US20080131708A1 (en) * 2004-04-15 2008-06-05 Toshinobu Ninae Method for Imparting Hydrogen Resistance to Articles
US20080299772A1 (en) * 2007-06-04 2008-12-04 Hyungsuk Alexander Yoon Methods of fabricating electronic devices using direct copper plating
EP2067879A1 (en) * 2007-07-31 2009-06-10 Nippon Mining & Metals Co., Ltd. Plated material having metal thin film formed by electroless plating, and method for production thereof
US20100084373A1 (en) * 2008-09-29 2010-04-08 Showa Denko K.K. Method for manufacturing perpendicular magnetic recording medium
US20100193365A1 (en) * 2009-02-04 2010-08-05 Applied Materials, Inc. Porous three dimensional copper, tin, copper-tin, copper-tin-cobalt, and copper-tin-cobalt-titanium electrodes for batteries and ultra capacitors
US20100203391A1 (en) * 2009-02-09 2010-08-12 Applied Materials, Inc. Mesoporous carbon material for energy storage
EP2237313A1 (en) * 2008-03-19 2010-10-06 Nippon Mining & Metals Co., Ltd. Electronic member wherein barrier-seed layer is formed on base
WO2011018478A1 (en) * 2009-08-12 2011-02-17 Alchimer Electrolyte and method for the electroplating of copper on a barrier layer, and semiconductor substrate obtained with said method
US20110094888A1 (en) * 2009-10-26 2011-04-28 Headway Technologies, Inc. Rejuvenation method for ruthenium plating seed
US20140103534A1 (en) * 2012-04-26 2014-04-17 Applied Materials, Inc. Electrochemical deposition on a workpiece having high sheet resistance
US20150299886A1 (en) * 2014-04-18 2015-10-22 Lam Research Corporation Method and apparatus for preparing a substrate with a semi-noble metal layer
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US10006144B2 (en) 2011-04-15 2018-06-26 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US20190333985A1 (en) * 2016-07-13 2019-10-31 Samsung Electronics Co., Ltd. Semiconductor device
US10886232B2 (en) 2019-05-10 2021-01-05 Applied Materials, Inc. Package structure and fabrication methods
US10937726B1 (en) * 2019-11-27 2021-03-02 Applied Materials, Inc. Package structure with embedded core
US11063169B2 (en) 2019-05-10 2021-07-13 Applied Materials, Inc. Substrate structuring methods
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI469219B (en) * 2009-02-16 2015-01-11 Nat Univ Tsing Hua A method for reducing a roughness of a surface of a metal thin film
US9496145B2 (en) * 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
US10648096B2 (en) * 2014-12-12 2020-05-12 Infineon Technologies Ag Electrolyte, method of forming a copper layer and method of forming a chip
CN108149292A (en) * 2016-12-02 2018-06-12 臻鼎科技股份有限公司 Copper clad laminate and preparation method thereof
US11652067B2 (en) 2016-12-28 2023-05-16 Intel Corporation Methods of forming substrate interconnect structures for enhanced thin seed conduction
US10930511B2 (en) 2018-03-30 2021-02-23 Lam Research Corporation Copper electrodeposition sequence for the filling of cobalt lined features
TWI711724B (en) * 2018-11-30 2020-12-01 台灣積體電路製造股份有限公司 Electrochemical plating system, method for performing electrochemical plating process, and method of forming semiconductor substrate
US20230070489A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Doped tantalum-containing barrier films

Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4366035A (en) * 1979-04-24 1982-12-28 Engelhard Corporation Electrodeposition of gold alloys
US4867882A (en) * 1987-11-09 1989-09-19 Aluminum Company Of America Method for reducing the amount of anionic metal ligand complex in a solution
US5055199A (en) * 1987-11-09 1991-10-08 Aluminum Company Of America Method for reducing the amount of anionic metal-ligand complex in a solution
US5141626A (en) * 1989-11-30 1992-08-25 Daido Metal Company Ltd. Method of and apparatus for surface treatment for half bearings
US5200048A (en) * 1989-11-30 1993-04-06 Daido Metal Company Ltd. Electroplating apparatus for plating half bearings
US5234628A (en) * 1988-11-24 1993-08-10 Henkel Kommanditgesellschaft Auf Aktien Paste-form, low-foaming non-phosphate detergent
US5246565A (en) * 1992-05-07 1993-09-21 The United States Of America As Represented By The United States Department Of Energy High adherence copper plating process
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6277263B1 (en) * 1998-03-20 2001-08-21 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US6309969B1 (en) * 1998-11-03 2001-10-30 The John Hopkins University Copper metallization structure and method of construction
US6319387B1 (en) * 1998-06-30 2001-11-20 Semitool, Inc. Copper alloy electroplating bath for microelectronic applications
US20020000382A1 (en) * 1999-12-15 2002-01-03 Shipley Company, L.L.C. Of Marlborough Seed layer repair method
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US20020027081A1 (en) * 2000-06-30 2002-03-07 Mizuki Nagai Copper-plating liquid, plating method and plating apparatus
US20020066671A1 (en) * 2000-10-25 2002-06-06 Shipley Company, L.L.C. Seed layer deposition
US6426673B2 (en) * 1997-07-30 2002-07-30 Programmable Silicon Solutions High performance integrated radio frequency circuit devices
US6432821B1 (en) * 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US6517894B1 (en) * 1998-04-30 2003-02-11 Ebara Corporation Method for plating a first layer on a substrate and a second layer on the first layer
US6544399B1 (en) * 1999-01-11 2003-04-08 Applied Materials, Inc. Electrodeposition chemistry for filling apertures with reflective metal
US6551483B1 (en) * 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US20040072419A1 (en) * 2002-01-10 2004-04-15 Rajesh Baskaran Method for applying metal features onto barrier layers using electrochemical deposition
US20040069651A1 (en) * 2002-10-15 2004-04-15 Applied Materials, Inc. Oxide treatment and pressure control for electrodeposition
US20040173465A1 (en) * 2003-03-03 2004-09-09 Com Dev Ltd. Method of surface treating titanium-containing metals followed by plating in the same electrolyte bath and parts made in accordance therewith
US6806186B2 (en) * 1998-02-04 2004-10-19 Semitool, Inc. Submicron metallization using electrochemical deposition
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
US20050072682A1 (en) * 2003-10-07 2005-04-07 Kenneth Lore Process and apparatus for coating components of a shopping cart and a product
US20060063375A1 (en) * 2004-09-20 2006-03-23 Lsi Logic Corporation Integrated barrier and seed layer for copper interconnect technology
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
US7341946B2 (en) * 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
DE10296935T5 (en) * 2001-06-14 2004-04-22 Mattson Technology Inc., Fremont Barrier reinforcement process for copper vias (or interconnects)
US7109111B2 (en) * 2002-02-11 2006-09-19 Applied Materials, Inc. Method of annealing metal layers
US20040154926A1 (en) * 2002-12-24 2004-08-12 Zhi-Wen Sun Multiple chemistry electrochemical plating method

Patent Citations (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4366035A (en) * 1979-04-24 1982-12-28 Engelhard Corporation Electrodeposition of gold alloys
US4867882A (en) * 1987-11-09 1989-09-19 Aluminum Company Of America Method for reducing the amount of anionic metal ligand complex in a solution
US5055199A (en) * 1987-11-09 1991-10-08 Aluminum Company Of America Method for reducing the amount of anionic metal-ligand complex in a solution
US5234628A (en) * 1988-11-24 1993-08-10 Henkel Kommanditgesellschaft Auf Aktien Paste-form, low-foaming non-phosphate detergent
US5141626A (en) * 1989-11-30 1992-08-25 Daido Metal Company Ltd. Method of and apparatus for surface treatment for half bearings
US5200048A (en) * 1989-11-30 1993-04-06 Daido Metal Company Ltd. Electroplating apparatus for plating half bearings
US5246565A (en) * 1992-05-07 1993-09-21 The United States Of America As Represented By The United States Department Of Energy High adherence copper plating process
US6426673B2 (en) * 1997-07-30 2002-07-30 Programmable Silicon Solutions High performance integrated radio frequency circuit devices
US6806186B2 (en) * 1998-02-04 2004-10-19 Semitool, Inc. Submicron metallization using electrochemical deposition
US6632345B1 (en) * 1998-03-20 2003-10-14 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a workpiece
US6638410B2 (en) * 1998-03-20 2003-10-28 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US20010042689A1 (en) * 1998-03-20 2001-11-22 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US6277263B1 (en) * 1998-03-20 2001-08-21 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US6811675B2 (en) * 1998-03-20 2004-11-02 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US6290833B1 (en) * 1998-03-20 2001-09-18 Semitool, Inc. Method for electrolytically depositing copper on a semiconductor workpiece
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6517894B1 (en) * 1998-04-30 2003-02-11 Ebara Corporation Method for plating a first layer on a substrate and a second layer on the first layer
US6368966B1 (en) * 1998-06-30 2002-04-09 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6486533B2 (en) * 1998-06-30 2002-11-26 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6319387B1 (en) * 1998-06-30 2001-11-20 Semitool, Inc. Copper alloy electroplating bath for microelectronic applications
US6309969B1 (en) * 1998-11-03 2001-10-30 The John Hopkins University Copper metallization structure and method of construction
US6596151B2 (en) * 1999-01-11 2003-07-22 Applied Materials, Inc. Electrodeposition chemistry for filling of apertures with reflective metal
US6544399B1 (en) * 1999-01-11 2003-04-08 Applied Materials, Inc. Electrodeposition chemistry for filling apertures with reflective metal
US20020000382A1 (en) * 1999-12-15 2002-01-03 Shipley Company, L.L.C. Of Marlborough Seed layer repair method
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US6551483B1 (en) * 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US20020027081A1 (en) * 2000-06-30 2002-03-07 Mizuki Nagai Copper-plating liquid, plating method and plating apparatus
US20020066671A1 (en) * 2000-10-25 2002-06-06 Shipley Company, L.L.C. Seed layer deposition
US6432821B1 (en) * 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US20040072419A1 (en) * 2002-01-10 2004-04-15 Rajesh Baskaran Method for applying metal features onto barrier layers using electrochemical deposition
US20040069651A1 (en) * 2002-10-15 2004-04-15 Applied Materials, Inc. Oxide treatment and pressure control for electrodeposition
US20040173465A1 (en) * 2003-03-03 2004-09-09 Com Dev Ltd. Method of surface treating titanium-containing metals followed by plating in the same electrolyte bath and parts made in accordance therewith
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
US20050072682A1 (en) * 2003-10-07 2005-04-07 Kenneth Lore Process and apparatus for coating components of a shopping cart and a product
US7341946B2 (en) * 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20060063375A1 (en) * 2004-09-20 2006-03-23 Lsi Logic Corporation Integrated barrier and seed layer for copper interconnect technology
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof

Cited By (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7972491B2 (en) * 2004-04-15 2011-07-05 Hitachi Metals, Ltd. Method for imparting hydrogen resistance to articles
US20080131708A1 (en) * 2004-04-15 2008-06-05 Toshinobu Ninae Method for Imparting Hydrogen Resistance to Articles
US20050274621A1 (en) * 2004-06-10 2005-12-15 Zhi-Wen Sun Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US20080299772A1 (en) * 2007-06-04 2008-12-04 Hyungsuk Alexander Yoon Methods of fabricating electronic devices using direct copper plating
US8058164B2 (en) 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
EP2067879A1 (en) * 2007-07-31 2009-06-10 Nippon Mining & Metals Co., Ltd. Plated material having metal thin film formed by electroless plating, and method for production thereof
EP2554711A1 (en) * 2007-07-31 2013-02-06 Nippon Mining & Metals Co., Ltd. Plated article having metal thin film formed by electroless plating, and manufacturing method thereof
EP2067879A4 (en) * 2007-07-31 2012-08-08 Nippon Mining Co Plated material having metal thin film formed by electroless plating, and method for production thereof
US20110006427A1 (en) * 2008-03-19 2011-01-13 Junnosuke Sekiguchi Electronic component formed with barrier-seed layer on base material
EP2237313A1 (en) * 2008-03-19 2010-10-06 Nippon Mining & Metals Co., Ltd. Electronic member wherein barrier-seed layer is formed on base
EP2237313A4 (en) * 2008-03-19 2012-08-01 Nippon Mining Co Electronic member wherein barrier-seed layer is formed on base
US20100084373A1 (en) * 2008-09-29 2010-04-08 Showa Denko K.K. Method for manufacturing perpendicular magnetic recording medium
US8398870B2 (en) * 2008-09-29 2013-03-19 Showa Denko K.K. Method for manufacturing perpendicular magnetic recording medium
US9567683B2 (en) 2009-02-04 2017-02-14 Applied Materials, Inc. Porous three dimensional copper, tin, copper-tin, copper-tin-cobalt, and copper-tin-cobalt-titanium electrodes for batteries and ultra capacitors
US8206569B2 (en) 2009-02-04 2012-06-26 Applied Materials, Inc. Porous three dimensional copper, tin, copper-tin, copper-tin-cobalt, and copper-tin-cobalt-titanium electrodes for batteries and ultra capacitors
US20100193365A1 (en) * 2009-02-04 2010-08-05 Applied Materials, Inc. Porous three dimensional copper, tin, copper-tin, copper-tin-cobalt, and copper-tin-cobalt-titanium electrodes for batteries and ultra capacitors
US20100203391A1 (en) * 2009-02-09 2010-08-12 Applied Materials, Inc. Mesoporous carbon material for energy storage
WO2011018478A1 (en) * 2009-08-12 2011-02-17 Alchimer Electrolyte and method for the electroplating of copper on a barrier layer, and semiconductor substrate obtained with said method
US20110094888A1 (en) * 2009-10-26 2011-04-28 Headway Technologies, Inc. Rejuvenation method for ruthenium plating seed
US10006144B2 (en) 2011-04-15 2018-06-26 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US20140103534A1 (en) * 2012-04-26 2014-04-17 Applied Materials, Inc. Electrochemical deposition on a workpiece having high sheet resistance
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US20150299886A1 (en) * 2014-04-18 2015-10-22 Lam Research Corporation Method and apparatus for preparing a substrate with a semi-noble metal layer
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9607822B2 (en) 2014-04-21 2017-03-28 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US20190333985A1 (en) * 2016-07-13 2019-10-31 Samsung Electronics Co., Ltd. Semiconductor device
US11670673B2 (en) 2016-07-13 2023-06-06 Samsung Electronics Co., Ltd. Semiconductor device
US10903308B2 (en) * 2016-07-13 2021-01-26 Samsung Electronics Co., Ltd. Semiconductor device
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US11208732B2 (en) 2017-03-30 2021-12-28 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
US11063169B2 (en) 2019-05-10 2021-07-13 Applied Materials, Inc. Substrate structuring methods
US11476202B2 (en) 2019-05-10 2022-10-18 Applied Materials, Inc. Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US11887934B2 (en) 2019-05-10 2024-01-30 Applied Materials, Inc. Package structure and fabrication methods
US11264333B2 (en) 2019-05-10 2022-03-01 Applied Materials, Inc. Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US11264331B2 (en) 2019-05-10 2022-03-01 Applied Materials, Inc. Package structure and fabrication methods
US11837680B2 (en) * 2019-05-10 2023-12-05 Applied Materials, Inc. Substrate structuring methods
US11362235B2 (en) 2019-05-10 2022-06-14 Applied Materials, Inc. Substrate structuring methods
US11398433B2 (en) 2019-05-10 2022-07-26 Applied Materials, Inc. Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US11715700B2 (en) 2019-05-10 2023-08-01 Applied Materials, Inc. Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US10886232B2 (en) 2019-05-10 2021-01-05 Applied Materials, Inc. Package structure and fabrication methods
US11417605B2 (en) 2019-05-10 2022-08-16 Applied Materials, Inc. Reconstituted substrate for radio frequency applications
US20220278248A1 (en) * 2019-05-10 2022-09-01 Applied Materials, Inc. Substrate structuring methods
US11521935B2 (en) 2019-05-10 2022-12-06 Applied Materials, Inc. Package structure and fabrication methods
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
JP7454668B2 (en) 2019-11-27 2024-03-22 アプライド マテリアルズ インコーポレイテッド Package core assembly and manufacturing method
US11881447B2 (en) 2019-11-27 2024-01-23 Applied Materials, Inc. Package core assembly and fabrication methods
US10937726B1 (en) * 2019-11-27 2021-03-02 Applied Materials, Inc. Package structure with embedded core
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
US11742330B2 (en) 2020-03-10 2023-08-29 Applied Materials, Inc. High connectivity device stacking
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11927885B2 (en) 2020-04-15 2024-03-12 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging

Also Published As

Publication number Publication date
WO2007111676A3 (en) 2007-12-27
TW200732518A (en) 2007-09-01
US20110259750A1 (en) 2011-10-27
TWI376433B (en) 2012-11-11
WO2007111676A2 (en) 2007-10-04

Similar Documents

Publication Publication Date Title
US20060283716A1 (en) Method of direct plating of copper on a ruthenium alloy
US20070125657A1 (en) Method of direct plating of copper on a substrate structure
US20050006245A1 (en) Multiple-step electrodeposition process for direct copper plating on barrier metals
US8513124B1 (en) Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US7964506B1 (en) Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
JP5346215B2 (en) Method and composition for direct copper plating and filling to form interconnects in the manufacture of semiconductor devices
JP4598945B2 (en) Seed layer repair method
US6740221B2 (en) Method of forming copper interconnects
US6399479B1 (en) Processes to improve electroplating fill
JP5203602B2 (en) Method for direct electroplating of copper onto a non-copper plateable layer
US7405157B1 (en) Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US8703615B1 (en) Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US7799684B1 (en) Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
WO2005123988A1 (en) Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US20050274622A1 (en) Plating chemistry and method of single-step electroplating of copper on a barrier metal
US20050081744A1 (en) Electroplating compositions and methods for electroplating
KR102206291B1 (en) Electrolyte and process for electroplating copper onto a barrier layer
WO2003083182A2 (en) Process window for gap-fill on very high aspect ratio structures using additives in low acid copper baths
US6858123B1 (en) Galvanizing solution for the galvanic deposition of copper
US7504335B2 (en) Grafted seed layer for electrochemical plating
US20050109627A1 (en) Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
US7544281B2 (en) Uniform current distribution for ECP loading of wafers
US20030146102A1 (en) Method for forming copper interconnects
KR20230085131A (en) Electrolysis and Deposition of Copper Barrier Layers in Damascene Processes
JP3864138B2 (en) Method for forming copper wiring on substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HAFEZI, HOOMAN;ROSENFELD, ARON;SUN, ZHI-WEN;AND OTHERS;REEL/FRAME:018425/0164;SIGNING DATES FROM 20060517 TO 20060929

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION