KR102189781B1 - 망간 및 망간 니트라이드들의 증착 방법들 - Google Patents

망간 및 망간 니트라이드들의 증착 방법들 Download PDF

Info

Publication number
KR102189781B1
KR102189781B1 KR1020147031850A KR20147031850A KR102189781B1 KR 102189781 B1 KR102189781 B1 KR 102189781B1 KR 1020147031850 A KR1020147031850 A KR 1020147031850A KR 20147031850 A KR20147031850 A KR 20147031850A KR 102189781 B1 KR102189781 B1 KR 102189781B1
Authority
KR
South Korea
Prior art keywords
manganese
substrate
precursor
reactant
layer
Prior art date
Application number
KR1020147031850A
Other languages
English (en)
Other versions
KR20150000507A (ko
Inventor
징 탕
제펭 리
파울 에프. 마
데이비드 톰슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150000507A publication Critical patent/KR20150000507A/ko
Application granted granted Critical
Publication of KR102189781B1 publication Critical patent/KR102189781B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

망간-함유 필름들, 뿐만 아니라 망간-함유 필름들을 제공하기 위한 방법들이 본원에 기재된다. 망간-함유 필름들을 Co, Mn, Ru, Ta, Al, Mg, Cr, Nb, Ti 또는 V로 도핑하는 것은 망간-함유 필름들의 향상된 구리 배리어 특성들을 가능하게 한다. 또한, 망간 실리케이트를 포함하는 제 1 층 및 망간-함유 필름을 포함하는 제 2 층을 필름들에 제공하는 방법들이 본원에 기재된다.

Description

망간 및 망간 니트라이드들의 증착 방법들{METHODS FOR DEPOSITING MANGANESE AND MANGANESE NITRIDES}
본 발명의 구체예들은 일반적으로 반도체 장치치들에서의 배리어 층들, 및 그러한 배리어 층들을 형성시키는 방법들에 관한 것이다. 더욱 특히, 본 발명의 구체예들은 망간, 망간 니트라이드들(MnNx), 망간 실리케이트들 및 선택된 도펀트들을 포함하는 필름들에 관한 것이다.
마이크로전자 장치들, 예컨대, 반도체들 또는 집적 회로들은 수백만의 전자 회로 장치들, 예컨대, 트랜지스터들, 커패시터들 등을 포함할 수 있다. 집적 회로들 상에서 발견되는 장치들의 밀도를 추가로 증가시키기 위하여, 더욱 더 작은 피쳐 크기들이 요망된다. 이러한 더 작은 피쳐 크기들을 달성하기 위하여, 전도성 배선들(lines), 비아들(vias), 및 연결재들(interconnects), 게이트들(gates) 등의 크기가 감소되어야 한다. 다층 연결재 구조들의 신뢰할만한 형성에는 또한 회로 밀도 및 품질을 증가시키는 것이 필요하다. 제작 기술들의 진보들에 의해서 전도성 배선들, 연결재들, 비아들, 및 그 밖의 구조들에 대한 구리의 사용이 가능해졌다. 그러나, 연결재 구조들에서의 전자이동(electromigration)은 피쳐 크기의 감소 및 연결재들에 대한 구리의 사용 증가로 인해서 극복하기에 더욱 큰 장애물이 되고 있다.
탄탈 니트라이드(TaN)는 필름이 연속적인 10Å보다 두꺼운 필름 두께들에서 구리 배리어이다. 그러나, Ta 원자는 직경이 약 4Å이기 때문에, 약 5Å 두께의 TaN 필름들은 연속적이지 않다. 더 얇은 TaN이 요구되는 더 작은 노드들의 경우에, TaN 자체는 비연속 필름일 수 있고, 그에 따라서, 이의 구리 배리어 특성들을 제한한다. 현재 방법들은 TaN 층의 상부 상에 Ta 층을 포함하는데, 이는 구리에 대하여 습윤 층으로서 작용하고, 배리어 필름의 연속성을 제공한다. 그러나, 더 작은 노드들(32nm 미만)의 경우, 이러한 방법은 더 큰 배선 저항을 초래하고, 이로 인해 충분한 해결책이 아니다.
물리 기상 증착된(PVD) 탄탈 니트라이드(TaN)는 구리 연결재들에서 확산 배리어들을 위한 표준 물질이다. TaN에 대한 구리의 불량한 접착으로 인해, 탄탈 라이너가 또한 연결재 구조들의 내구성을 향상시키기 위해 사용된다. 구리 연결재들의 치수가 20nm 미만으로 감소됨에 따라서, PVD TaN 배리어와 Ta 라이너의 비-등각 성질이 구리 갭 충전 결여 및 높은 배선 저항과 같은 문제들을 초래하였다. 원자 층 증착(atomic layer deposition: ALD) TaN은 더 우수한 등각을 지니는 진보된 기술로서 이용되지만; ALD TaN의 필름 품질은 계속해서 상당한 개선들을 필요로 한다.
따라서, 효과적인 구리 배리어들인 박층들이 당해 기술 분야에 필요하다.
본 발명의 하나 이상의 구체예들은 망간-함유 필름을 형성시키는 방법들에 관한 것이다. 제 1 전구체 및 반응물에 노출되는 기판이 제공된다. 제 1 전구체는 망간-함유 필름을 증착시키는 망간-함유 유기금속 화합물을 포함한다. 망간-함유 유기금속 화합물은 하기 화학식을 지닌다:
Figure 112014109298299-pct00001
상기 식에서, 각각의 A는 탄소 또는 규소로부터 독립적으로 선택되며, 각각의 R은 수소, 메틸, 치환되거나 비치환된 알칸들, 분지되거나 비분지된 알칸들, 치환되거나 비치환된 알켄들, 분지되거나 비분지된 알켄들, 치환되거나 비치환된 알킨들, 분지되거나 비분지된 알킨들 또는 치환되거나 비치환된 방향족 물질들로부터 독립적으로 선택된다.
본 발명의 일부 구체예들은 망간-함유 필름을 형성시키는 방법들에 관한 것이다. 그 위에 배치된 유전층을 포함하는 기판이 제공되는데, 이러한 유전층은 개구부, 측벽 및 하부를 지니는 트렌치(trench)를 지닌다. 기판은 제 1 전구체 및 반응물에 노출된다. 제 1 전구체는 트렌치의 측벽 및/또는 하부의 적어도 일부 상에 망간-함유 필름을 증착시키는 망간-함유 유기금속 화합물을 포함한다. 망간-함유 유기금속 화합물은 하기 화학식을 지닌다:
Figure 112014109298299-pct00002
상기 식에서, 각각의 A는 탄소 또는 규소로부터 독립적으로 선택되며, 각각의 R은 수소, 메틸, 치환되거나 비치환된 알칸들, 분지되거나 비분지된 알칸들, 치환되거나 비치환된 알켄들, 분지되거나 비분지된 알켄들, 치환되거나 비치환된 알킨들, 분지되거나 비분지된 알킨들 또는 치환되거나 비치환된 방향족 물질들로부터 독립적으로 선택된다.
일부 구체예들에서, 본 방법은 망간 함유 필름 위에 구리를 포함한 전도성 물질을 증착시킴을 추가로 포함한다.
하나 이상의 구체예들에서, 망간-함유 필름은 Co, Mn, Ru, Ta, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 하나 이상의 도펀트들을 추가로 포함한다. 일부 구체예들에서, 도펀트는 제 2 전구체 및 반응물에 기판을 노출시킴으로써 포함되고, 제 2 전구체는 도펀트 원소를 포함하는 화합물을 포함한다.
하나 이상의 구체예들에서, 기판은 제 1 전구체 및 반응물에 실질적으로 동시에 노출된다. 일부 구체예들에서, 기판은 제 1 전구체 및 반응물에 순차적으로 노출된다.
일부 구체예들에서, 각각의 A는 질소이다. 하나 이상의 구체예들에서, 각각의 R 기는 메틸 기이다. 하나 이상의 구체예들에서, 망간-함유 유기금속 화합물은 망간 비스[비스(트리메틸실릴)아미드]를 포함한다.
일부 구체예들에서, 반응물은 암모니아 및 수소 중 하나 이상이다. 하나 이상의 구체예들에서, 반응물은 암모니아를 포함한다.
일부 구체예들에서, 망간-함유 필름은 MnNx를 포함하고, 여기서 x는 약 0.1 내지 약 3의 범위이다. 일부 구체예들은 MnNx 필름을 망간 실리케이트를 생성시키도록 처리함을 추가로 포함한다. 일부 구체예들에서, 망간 실리케이트는 유전층 상에 형성된다.
일부 구체예들은 제 1 전구체와 상이한 제 2 전구체, 및 임의로 상기 반응물과 상이한 제 2 반응물에 기판을 노출시킴을 추가로 포함한다.
하나 이상의 구체예들에서, 기판은 그 위에 배치된 유전층을 포함하고, 유전층은 개구부, 측벽 및 하부를 지니는 트렌치를 지니며, 망간-함유 필름은 트렌치의 측벽 및 하부 중 하나 이상의 적어도 일부 상에 증착된다. 일부 구체예들에서, 망간-함유 필름은 다른 유전층 및 기판 위의 유전층 또는 기판 중 하나 상에 선택적으로 증착된다.
하나 이상의 구체예들에서, 망간 실리케이트는 유전층 상에 형성된다. 일부 구체예들에서, 망간 함유 필름은 약 2nm 미만의 두께에서 연속적이다.
일부 구체예들에서, 망간 함유 필름에서 망간 옥사이드는 실질적으로 존재하지 않는다.
본 발명의 추가의 구체예들은 마이크로전자 장치에서 연결재들을 형성시키는 방법들에 관한 것이다. 그 위에 배치된 유전층을 포함하는 기판이 제공된다. 유전층은 기저층에 전도를 가능하게 하는 개구부를 지닌다. 기판은 제 1 전구체 및 반응물에 노출된다. 제 1 전구체는 유전층의 적어도 일부 상에 망간-함유 필름을 증착시키는 망간-함유 유기금속 화합물을 포함한다. 망간-함유 유기금속 화합물은 하기 화학식을 지닌다:
Figure 112014109298299-pct00003
각각의 A는 탄소 또는 규소로부터 독립적으로 선택되며, 각각의 R은 수소, 메틸, 치환되거나 비치환된 알칸들, 분지되거나 비분지된 알칸들, 치환되거나 비치환된 알켄들, 분지되거나 비분지된 알켄들, 치환되거나 비치환된 알킨들, 분지되거나 비분지된 알킨들 또는 치환되거나 비치환된 방향족 물질들로부터 독립적으로 선택된다. 전도성 물질은 망간-함유 필름 위에 증착된다. 전도성 물질은 임의로, 기저층에 전도를 가능하게 하는 개구부 위에 있을 수 있다.
본 발명의 추가의 구체예들은 마이크로전자 장치에서 연결재들을 형성시키는 방법들에 관한 것이다. 유전층을 포함하는 기판이 제공된다. 유전층은 개구부, 측벽 및 하부를 지니는 트렌치를 지닌다. 기판은 제 1 전구체 및 반응물에 노출된다. 제 1 전구체는 트렌치의 측벽 및/또는 하부의 적어도 일부 상에 망간-함유 필름을 증착시키는 망간-함유 유기금속 화합물을 포함한다. 망간-함유 유기금속 화합물은 하기 화학식을 지닌다:
Figure 112014109298299-pct00004
상기 식에서, 각각의 A는 탄소 또는 규소로부터 독립적으로 선택되며, 각각의 R은 수소, 메틸, 치환되거나 비치환된 알칸들, 분지되거나 비분지된 알칸들, 치환되거나 비치환된 알켄들, 분지되거나 비분지된 알켄들, 치환되거나 비치환된 알킨들, 분지되거나 비분지된 알킨들 또는 치환되거나 비치환된 방향족 물질들로부터 독립적으로 선택된다. Cu를 포함하는 전도성 물질은 라이닝된 트렌치로 증착된다.
일부 구체예들에서, 망간 함유 필름은 MnNx로 전이하는 유전체의 표면에서 망간 실리케이트를 포함한다. 하나 이상의 구체예들에서, 필름은 망간 실리케이트를 포함하고, 망간 실리케이트는 기판 상에 직접적으로 증착된다.
하나 이상의 구체예들은 전도성 물질을 증착시키기 전에 제 1 층을 플라즈마 처리에 노출시킴을 추가로 포함한다. 일부 구체예들에서, 플라즈마는 He, Ar, NH3, H2 및 N2 중 하나 이상을 포함한다.
본 발명의 추가의 구체예들은 마이크로전자 장치에서 연결재들을 형성시키는 방법들에 관한 것이다. 유전체를 포함하는 기판이 제공된다. 유전층은 개구부, 측벽 및 하부를 지니는 트렌치를 지닌다. 기판은 제 1 전구체 및 반응물에 순차적으로 노출되고, 제 1 전구체는 트렌치의 측벽 및/또는 하부의 적어도 일부 상에 망간-함유 필름을 증착시키는 하기 화학식의 망간-함유 유기금속 화합물을 포함한다:
Figure 112014109298299-pct00005
상기 식에서, 각각의 A는 탄소 또는 규소로부터 독립적으로 선택되며, 각각의 R은 수소, 메틸, 치환되거나 비치환된 알칸들, 분지되거나 비분지된 알칸들, 치환되거나 비치환된 알켄들, 분지되거나 비분지된 알켄들, 치환되거나 비치환된 알킨들, 분지되거나 비분지된 알킨들 또는 치환되거나 비치환된 방향족 물질들로부터 독립적으로 선택된다. Cu를 포함한 전도성 물질이 라이닝된 트렌치로 증착된다.
본 발명의 상기 언급된 특징들이 상세하게 이해될 수 있도록 하기 위해서, 상기 간략하게 요약된 본 발명에 대한 더욱 특정의 설명이 첨부된 도면들에서 일부 도시되고 있는 구체예들을 참조로 하여 기재될 수 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 구체예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 동등하게 효과적인 구체예들을 허용할 수 있기 때문이다.
도 1a 및 1b는 본 발명의 하나 이상의 구체예들에 따른 배리어 층 및 전도성 충전 물질의 증착 전 및 후의 유전층을 도시한 것이다.
도 2는 본 발명의 하나 이상의 구체예들에 따른 제 1 층, 제 2층, 및 전도성 충전 물질을 지니는 유전층을 도시한 것이다.
본 발명의 몇 가지 예시적인 구체예들을 기재하기 전에, 본 발명이 하기 설명에 기재된 구성 또는 공정 단계들의 세부 사항으로 제한되지 않음을 이해해야 한다. 본 발명은 다른 구체예들이 가능하며 다양한 방식들로 실시 또는 수행될 수 있다.
본 발명의 구체예들은 유기금속 전구체로부터의 망간(Mn) 또는 망간 니트라이드(MnNx)의 생산에 관한 것이다. 증착 방법들은 원자층 증착(ALD) 또는 화학 기상 증착(CVD)일 수 있다. 유기금속 전구체는 망간 실릴 아미도 착물을 포함할 수 있다. 증착된 망간 또는 MnNx 필름은 현재 사용되는 PVD TaN 또는 ALD TaN을 대체하기 위해서 배선의 백엔드(back-end-of-line) 구리 연결재들에서 대안적인 확산 배리어로서 사용될 수 있다. 증착 방법은 ALD TaN 증착과 통합되어 망간 도핑된 TaN 또는 MnNx로 도핑된 탄탈을 생성시킬 수 있다.
망간 또는 MnNx는 이러한 적용들을 위해 제안된 신규한 물질들이다. 망간은 배리어로서 망간 실리케이트들을 형성시시키기 위하여 유전 기저층과 반응할 수 있다. 어떠한 특정 작용 이론으로 국한시키려 하는 것은 아니지만, MnNx는 확산 배리어일 뿐만 아니라, 구리와 유전체들 사이의 접착을 증진시키는 것으로 여겨진다.
고품질 및 고순도 MnNx 또는 망간의 CVD/ALD 필름들에 대한 몇 가지 예들이 있다. 일부 전구체들은 MnOx 형성을 야기하는 산소 함유 리간드들을 지닌다. 구리 표면들 상에 형성된 망간 산화물들은 분리시키는데 어려움이 있고, 비아 저항을 증가시킬 것이다. 일부 전구체들은 매우 낮은 증기압 및 반응 속도를 지니는데, 이는 ALD 증착 동안 챔버 설계 및 불량한 필름 형태에 대한 문제들을 제기한다. 일부 구체예들의 전구체는 매끄러운 형태로 CVD에 의한 고순도 망간 필름 및 ALD에 의한 고순도 MnNx 필름을 생성시킬 수 있다.
일부 구체예들의 트리메틸 실릴 아미도 망간 착물들로부터 MnNx의 ALD는 표준 ALD 챔버들 상에서 수행되었다. 필름은 고도의 다공성 탄소 도핑된 낮은-k 실리콘 디옥사이드를 포함하여 시험된 모든 유전 물질에서 매끄러운 표면 형태를 나타낸다. 트리메틸 실릴 아미도 망간으로부터 순수 망간의 CVD가 얻어질 수 있다. 망간은 구리에 대한 우수한 확산 배리어들인 것으로 입증된 망간 실리케이트들을 형성시키도록 기저층 유전체들과 반응할 수 있다.
본 발명의 한 가지 양태는 기판, 유전층, 배리어 층, 및 전도성 물질을 포함하는 마이크로전자 장치에 관한 것이다. 도 1a는 기판(105) 및 유전층(110)을 포함하는 마이크로전자 장치(100)의 구체예를 도시한 것이다. 유전층(110)은 기판(105) 상에 배치되고, 유전층(110)은 트렌치 하부(120), 측벽들(115), 및 개구부(160)에 의해 한정된 트렌치(150)를 지닌다.
하나 이상의 구체예들에서, 유전층(110)은 낮은-k 유전층이다. 일부 구체예들에서, 유전층은 SiOx를 포함한다. 추가의 구체예들은 유전층이 다공성 탄소-도핑된 SiOx를 포함함을 제공한다. 하나 이상의 구체예들에서, 유전층은 3 미만의 k값을 지니는 다공성 탄소-도핑된 SiOx 층이다.
도 1b는 배리어 층(130)의 증착 후의 동일한 마이크로전자 장치(100)를 나타낸 것이고, 이러한 배리어 층은 측벽(115) 및/또는 트렌치 하부(120)의 적어도 일부를 덮고 있다. 도 1b에 나타나 있는 바와 같이, 배리어 층(130)은 측벽(115) 및 트렌치 하부(120)의 전체를 덮을 수 있다. 배리어 층(130)은 MnNx 및 하나 이상의 도펀트들, 예컨대, Co, Mn, Ru, Ta, Al, Mg, Cr, Nb, Ti 또는 V를 포함할 수 있다.
하나 이상의 구체예들에서, 배리어 층은 망간 층의 중량을 기준으로 하여 0.1% 내지 10%의 도펀트를 포함한다. 일부 구체예들에서, 배리어 층은 0.2중량% 내지 8중량%의 도펀트를 포함한다. 특정 구체예들에서, 배리어 층은 0.5중량% 내지 5중량%의 도펀트를 포함한다.
하나 이상의 구체예들에 따르면, 본원에 사용되는 "배리어 층"은 TaN 및 하나 이상의 도펀트들을 증착시킴으로써 형성된 별개의 층을 지칭하는 것이며, 이는 제 2 원소 또는 도펀트가 배리어 층의 단지 일부로 확산되는 영역을 포함하지 않는다. 다시 말해서, 도펀트는 이의 표면 일부에서만이 아니라 TaN 층의 전체 두께에 걸쳐서 존재한다.
전도성 충전 물질(140)은 배리어 층(130)으로 라이닝되는 트렌치(150)의 적어도 일부를 충전한다. 하나 이상의 구체예들에 따르면, 전도성 충전 물질은 구리 또는 구리 합금을 포함한다. 다른 구체예들에서, 전도성 충전 물질은 A1을 추가로 포함한다.
도 1b에서 전도성 충전 물질(140)이 배리어 층(130)과 직접적으로 접촉되어 있는 것으로 나타나 있지만, 중간층들, 예컨대, 접착층들 또는 시딩층들이 전도성 충전 물질(140)과 배리어 층(130) 사이에 있을 수 있다. 하나 이상의 구체예들에 따르면, 마이크로전자 장치는 Ru 및 Co, Mn 중 하나 이상을 포함하는 접착층을 추가로 포함한다. Ru 및/또는 Co에 더하여, 접착층은 하나 이상의 도펀트들, 예컨대, Ta, Al, Mg, Cr, Nb, Ti 또는 V를 포함할 수 있다. 특정 구체예에서, 접착층은 Ru 및 Mn을 포함한다. 통상의 라이너들에 더하여, 망간 및 망간 니트라이드는 라이너들로서 사용될 수 있다. 예를 들어, PVD Cu가 CVD Cu로 대체되는 경우, 망간 니트라이드는 유망한 라이너일 수 있다. 또한, 망간 니트라이드는 Mn으로 환원되어, Cu와의 접착을 증진시키는 라이너로서 역할을 할 수 있다.
일부 구체예들에서, 시딩 층은 배리어 층의 상부 상에 증착된다. 하나 이상의 구체예들에 따르면, 시딩 층은 구리의 합금, 예컨대, Cu-Mn 합금을 포함한다. 일부 구체예들에서, 시딩 층은 약 5중량% 미만의 Mn, 약 4중량% 미만의 Mn, 약 3중량% 미만의 Mn, 또는 약 2중량% 미만의 Mn을 포함한다. 하나 이상의 구체예들에서, 시딩 층은 약 1중량%의 Mn을 포함한다. 1중량%의 Mn을 함유하는 구리 합금들의 배선 저항은 순수한 구리의 배선 저항과 동일하거나 유사할 것으로 예상된다.
어떠한 특정 이론으로 국한시키려 하는 것은 아니지만, 도펀트는 배리어 층(130)을 통해서 유전층(110)으로 선택적으로 확산되며, 전자이동에 대해 저항성일 유전 물질과 착물을 형성시킬 수 있는 것으로 사료된다. 따라서, 일부 구체예들에서, Mn은 배리어 층을 통해 확산되고, MnSiOx를 형성시킬 수 있다. MnSiOx의 이러한 자가-형성 배리어 층은 이후 전도성 물질(140)에서부터 유전층(110)으로의 구리 전자이동을 방지할 수 있다.
구리 배리어인 것에 더하여, 도핑된 망간은 또한 유전층(110)에서부터 전도성 물질(140)로 확산되는 산소에 대한 배리어일 수 있다. 유전층(110)에서부터 전도성 물질(140)로의 산소 확산은 산소가 전도성 물질 및/또는 시드 층에서의 성분들과 반응하게 할 수 있다. 예를 들어, 산소는 배리어 층(130)과 전도성 물질(140)의 계면에서의 층과 반응하고, 그에 따라서 배리어 층/전도성 물질 계면에 Mn을 "피닝(pinning)"할 수 있다. 유사하게는, Mn을 포함하는 시드 층이 존재하는 경우, 산소는 시드 층/배리어 층 계면에서 시드 층 중의 Mn과 반응하고, Mn을 계면에 피닝할 수 있다.
일부 구체예들에서, 기판은 제 1 전구체 및 반응물에 노출된다. 이러한 전구체들에 대한 노출은 CVD 반응에서와 같이 실질적으로 동시에, 또는 ALD 반응에서와 같이 순차적일 수 있다. 본 명세서 및 첨부된 특허청구범위들에 사용되는 용어 "실질적으로 동시에"는 전구체와 반응물 가스들이 서로 그리고 기판 표면과 함께 반응할 챔버로 유동되는 것을 의미한다. 전구체 및 반응물 가스 중 하나에 간단히 노출되는 기판의 영역들이 존재할 수 있는데, 다른 것이 그러한 동일한 영역으로 확산될 때까지만 간단히 노출되는 기판의 영역들이 존재할 수 있음이 당업자에게 이해될 것이다.
일부 구체예들에서, 망간-함유 유기금속 화합물은 하기 화학식을 지닌다:
Figure 112014109298299-pct00006
상기 식에서, 각각의 A는 탄소 또는 규소로부터 독립적으로 선택되며, 각각의 R은 수소, 메틸, 치환되거나 비치환된 알칸들, 분지되거나 비분지된 알칸들, 치환되거나 비치환된 알켄들, 분지되거나 비분지된 알켄들, 치환되거나 비치환된 알킨들, 분지되거나 비분지된 알킨들 또는 치환되거나 비치환된 방향족 물질들로부터 독립적으로 선택된다. 망간의 산화 상태는 기판 또는 반응물과 반응할 수 있는 어떠한 적합한 산화 상태일 수 있다. 일부 구체예들에서, 망간은 Mn(II) 또는 Mn(III)이다.
망간-함유 필름의 증착은 베어 기판 표면(bare substrate surface) 상에서 또는 기판 표면 상에 이미 존재하는 필름 상에서 수행될 수 있다. 예를 들어, 망간-함유 필름은 표면 상에 존재하는 유전 필름 상에 증착될 수 있다. 유전 필름은 상부들, 하부들 및 측벽들을 지니는 이에 형성된 다양한 구조물들(예, 트렌치들)을 지닐 수 있다. 일부 구체예들에서, 측벽 및 하부를 지니는 적어도 하나의 트렌치를 지니는 유전 필름이 존재한다. 하부는 유전체 또는 유전체 아래의 표면(예, 베어 표면 또는 또 다른 물질)일 수 있다. 망간-함유 필름의 증착은 상이한 표면들에 대해 선택적일 수 있다. 일부 구체예들에서, 망간-함유 필름의 증착은 유전층 또는 기저층에 대해 선택적이다.
일부 구체예들에서, 각각의 A는 질소 원자이다. 하나 이상의 구체예들에서, 각가의 R 기는 메틸이다. 일부 구체예들에서, 망간-함유 유기금속 화합물은 망간 비스[비스(트리메틸실릴)아미드]를 포함한다.
일부 구체예들에서, 반응물은 암모니아 및 수소 중 하나 이상이다. 어떠한 특정 작용 이론에 국한시키려 하는 것은 아니지만, Mn-N 결합들은 필름 형성 동안 파괴되는 것으로 여겨진다. 따라서, 예로서, 암모니아가 사용되는 경우, 망간 니트라이드 필름이 형성될 수 있다. 반면에, 수소가 반응물로서 사용되는 경우, 망간 필름이 형성될 수 있다.
일부 구체예들에서, 망간 필름은 MnNx를 포함한다. 일부 구체예의 x는 약 0.1 내지 약 3의 범위, 또는 약 0.2 내지 약 2의 범위, 또는 약 0.25 내지 약 1의 범위이다. 망간 니트라이드 필름은, 망간 실리케이트가 형성되는 후속-증착 공정에 주어질 수 있다. 일부 구체예들에서, 필름은 망간 실리케이트를 포함하고, 유전층 상에 직접적으로 형성될 수 있다. 하나 이상의 구체예들에서, 망간 필름은 유전체 표면 근처에 망간 실리케이트를 포함하고 그러한 표면으로부터 떨어진 곳에 망간 니트라이드를 포함한다. 실리케이트에서부터 니트라이드로의 전이는 점진적이거나 별개의 단계들일 수 있다.
일부 구체예들에서, 망간 함유 필름은 약 2nm 미만의 두께로 연속적이다. 본 명세서 및 첨부된 특허청구범위들에 사용되는 용어 "연속적인"은 전체 필름 면적의 약 10%를 초과하는 필름에 갭이 없음을 의미한다. 일부 구체예들에서, 필름은 3nm, 2.5nm, 1.5nm, 1nm 또는 0.5nm 미만의 두께로 연속적이다.
일부 구체예들에서, 망간 함유 필름에서 망간 옥사이드는 실질적으로 존재하지 않는다. 본 명세서 및 첨부된 특허청구범위들에서 사용되는 용어 "실질적으로 존재하지 않음"은 층에서 약 5원자% 미만의 망간 옥사이드가 존재함을 의미한다. 일부 구체예들에서, 약 4원자%, 3원자%, 2원자% 또는 1원자% 미만의 망간 옥사이드가 존재한다.
도 2는 기판(205) 및 유전층(210)을 지니는 마이크로전자 장치(200)를 도시한 것이다. 유전층(210)은 제 1 층(230)에 의해 적어도 부분적으로 덮여지는 측벽들(215) 및 트렌치 하부(220)를 지닌다. 제 1층(230)은 망간 실리케이트를 포함하는 배리어 층을 지닐 수 있다. 제 2 층(235)은 제 1 층(230) 위에 배치되고, 망간 또는 망간 니트라이드 중 하나 이상을 포함할 수 있다. 제 2 층은 하나 이상의 도펀트들, 예컨대, Ta, Al, Mg, Cr, Nb, Ti 또는 V를 추가로 포함할 수 있다. 제 1 층(230)과 제 2 층(235) 사이의 전이는 구배 조성으로 부드러울 수 있거나, 별개의 단계들일 수 있다.
전도성 충전 물질(240)은 측벽(215) 및 트렌치 하부(220)에 의해 한정되는 트렌치의 나머지에 증착된다. 하나 이상의 구체예들에 따르면, 전도성 충전 물질은 구리 또는 구리 합금을 포함한다. 추가의 구체예들에서, 전도성 충전 물질은 또한 Mn을 포함한다. 전도성 물질(240)은 제 2 층(235) 상에 직접적으로 증착될 수 있거나, 제 2 층(235)의 상부 상의 시딩 층(미도시) 상에 증착될 수 있다. 하나 이상의 구체예들에 따르면, 시딩 층은 구리, 예컨대, Mn, Co 및 Ru의 합금을 포함한다. 일부 구체예들에서, 시딩 층은 약 5% 미만의 Mn, 또는 약 4% 미만의 Mn, 또는 약 3% 미만의 Mn, 또는 약 2% 미만의 Mn 또는 약 1% 미만의 Mn을 포함한다.
상기 기재된 필름들에 더하여, 본 발명의 또 다른 양태는, 기판에 그 위에 배치된 유전층을 제공하고, 유전층 위에 배리어 층을 증착시키고, 배리어 층 위에 전도성 물질을 증착시킴을 포함하는 마이크로전자 장치에서 연결재들을 형성시키는 방법에 관한 것이다. 이러한 양태의 하나 이상의 구체예들에 따르면, 배리어 층은 망간 니트라이드, 및 Mn, Co, Ru, Ta, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 도펀트를 포함한다.
망간 층(금속성, 니트라이드 또는 실리사이드)은 어떠한 적절한 증착 공정에 의해 형성될 수 있다. 예를 들어, 망간 층은 교대층 증착(alternating layer deposition: ALD) 공정 또는 플라즈마 강화 원자층 증착(plasma enhanced atomic layer deposition: PEALD)에 의해 증착될 수 있다. 그 후에, 도펀트는 화학 기상 증착(CVD), 물리 기상 증착(PVD) 또는 ALD에 의해 증착될 수 있다. 이후, 도펀트는 망간-함유 층으로 확산되어 통합된 망간-함유 도펀트 층을 형성시킨다. 도펀트는 플라즈마 처리에 의해서 그리고 가열에 의해서를 포함하여 다양한 공정들을 통해 망간-함유 층으로 확산될 수 있다.
대안적으로, 망간 및 도펀트는 교대 층들로 증착될 수 있다. 이러한 구체예에 따르면, 제 1 망간-함유 층, 예컨대, 망간 단층은 유전 필름 상에 증착된다. 유전 필름이 망간-함유 필름의 증착을 위한 기저층으로서 기술되지만, 기저층은 금속 층 또는 베이스 기판을 포함하지만 이로 제한되지 않는 어떠한 적합한 층일 수음이 이해될 것이다. 제 1 도펀트 층, 예컨대, 도펀트, 도펀트 합금 또는 그 밖의 도펀트-함유 화합물의 층은 이후 제 1 망간-함유 층의 상부 상에 증착된다. 이러한 제 1 도펀트 층은 또한 단층일 수 있다. 제 2 망간-함유 층은 이후 제 1 도펀트 층의 상부 상에 증착된다. 이러한 공정은 요망되는 두께의 도핑된 망간-함유 필름이 생성될 때까지 반복된다. 망간-함유 층들 대 도펀트 층들의 비는 어떠한 적합한 조합일 수 있고, 1:1로 제한되지 않는다. 예를 들어, 1개의 도펀트 층 당 증착되는 망간-함유 층들은 10개일 수 있다.
일부 구체예들에서, 동시에 또는 개별적으로 가공 챔버로 유동되는 하나 이상의 전구체들 가스들이 존재한다. 예를 들어, 망간-함유 전구체 및 코발트 전구체는 함께 챔버로 유동되어 표면들과 반응할 수 있다. 사용되는 반응물은 전구체 화학종들 중 하나에 특이적이거나, 이 둘 모두의 화학종들에 일반적일 수 있다. 일부 구체예들에서, 기판 또는 표면은 제 1 전구체에 이어서 제 1 반응물에 노출되고, 제 1 전구체와 상이한 제 2 전구체에 이어서 제 1 반응물 또는 제 1 반응물과 상이한 제 2 반응물에 노출된다.
도펀트 금속을 증착시키기 위하여, 적절한 금속-함유 전구체가 사용될 수 있다. 적합한 전구체들의 예들은 요망되는 도펀트, 예컨대, 유기 또는 카보닐 리간드들로 배위되는 도펀트 금속들을 함유하는 금속 착물들을 포함한다. 적합한 도펀트 전구체는 적절한 공정, 예컨대, ALD, CVD 및 PVD에 증착되기에 충분한 증기압을 지녀야 한다. 사용되는 도펀트 전구체에 좌우하여, 공-반응물이 도펀트를 증착시키기 위해 사용될 수 있다. 예를 들어, 환원 가스들, 예컨대, 수소 및 암모니아가 일부 도펀트들을 위한 공-반응물들로서 사용될 수 있다.
본 발명의 일부 구체예들은 도핑된 망간-함유 필름이 전도성 물질을 증착시키기 전에 플라즈마로 처리됨을 제공한다. 하나 이상의 구체예들에 따르면, 플라즈마는 He, Ar, NH3, H2 및 N2 중 하나 이상을 포함한다. 전도성 물질은 무전해 증착 공정(electroless deposition process), 전기도금(ECP) 공정, CVD 공정 또는 PVD 공정에 의한 것을 포함하여 다양한 방식들로 증착될 수 있다. 일부 구체예들에서, 제 1 시드 층은 배리어 층 위에 증착되고, 이후에 벌크 전도성 층이 시드 층 위에 형성된다.
본 발명의 다양한 구체예들에 따른 필름들은 실제 어떠한 기판 물질 위에 증착될 수 있다. 본원에 사용되는 "기판 표면"은 제작 공정 동안 필름 가공이 수행되는 어떠한 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 가공이 수행될 수 있는 기판 표면은 적용에 좌우하여 실리콘, 실리콘 옥사이드, 변형 실리콘, 실리콘 온 인슐레이터(silicon on insulator: SOI), 탄소 도핑된 실리콘 옥사이드들, 실리콘 니트라이드, 도핑된 실리콘, 게르마늄, 갈륨 아르세나이드, 유리, 사파이어와 같은 물질들, 및 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들과 같은 어떠한 다른 물질들을 포함한다. 기판 표면 상의 배리어 층들, 금속들 또는 금속 질화물들은 티타늄, 티타늄 니트라이드, 텅스텐 니트라이드, 탄탈 및 탄탈 니트라이드, 알루미늄, 구리, 또는 장치 제작에 유용한 어떠한 다른 전도체 또는 전도성 또는 비전도성 배리어 층을 포함한다. 기판들은 다양한 치수들, 예컨대, 200mm 또는 300mm 직경의 웨이퍼들뿐만 아니라, 직사각형 또는 정사각형 판들을 지닐 수 있다. 본 발명의 구체예들이 유용할 수 있는 기판들은 반도체 웨이퍼들, 예컨대, 결정질 실리콘(예, Si<100> 또는 Si<111>), 실리콘 옥사이드, 변형 실리콘, 실리콘 게르마늄, 도핑되거나 비도핑된 폴리실리콘, 도핑되거나 비도핑된 실리콘 웨이퍼들, III-V족 물질들, 예컨대, GaAs, GaN, InP 등 및 패턴화되거나 비패턴화된 웨이퍼들을 포함하지만, 이로 제한되지 않는다. 기판들은, 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링 및/또는 베이킹하는 전처리 공정에 노출될 수 있다.
본 발명의 구체예들이 도핑된 망간-함유 필름들을 증착시키거나 형성시키는 방법을 제공함에 따라서, 가공 챔버는 기상 증착 공정 동안 일련의 가스들 및/또는 플라즈마들에 기판을 노출시키도록 구성된다. 가공 챔버는 각각의 반응물들 및 가스들을 위한 가스 유입구들과 유체 소통되는 캐리어, 퍼지 및 불활성 가스들, 예컨대, 아르곤 및 질소의 어떠한 공급과 함께 반응물들의 별개의 공급부들을 포함할 것이다. 각각의 유입구는 본원에 기재된 바와 같은 증착 공정을 수행하기 위해서 각각의 반응물들을 기판으로 유동시키는 중앙 처리 장치(central processing unit: CPU)와 소통되는 적절한 유동 제어기, 예컨대, 질량 유동 제어기 또는 부피 유동 제어기에 의해 제어될 수 있다. 중앙 처리 장치는 다양한 챔버들 및 서브-프로세서들을 제어하기 위한 산업적 세팅에서 사용될 수 있는 어떠한 컴퓨터 프로세서 형태들 중 하나일 수 있다. CPU는 메모리에 커플링될 수 있고, 용이하게 이용가능한 메모리, 예컨대, 랜덤 액세스 메모리(random access memory: RAM), 읽기 전용 메모리(read only memory: ROM), 플래쉬 메모리, 콤팩트 디스크, 플로피 디스크, 하드 디스크, 또는 어떠한 다른 형태의 로컬 또는 원격 디지털 저장 장치 중 하나 이상일 수 있다. 보조 회로들이 CPU에 커플링되어 통상적인 방식으로 CPU를 보조할 수 있다. 이러한 회로들은 캐시(cache), 전력 공급부들, 클록 회로들, 입력/출력 회로, 및 서브시스템들 등을 포함한다.
원자층 증착 유형의 챔버들에서, 기판은 공간적으로 또는 시간적으로 분리된 공정들로 제 1 전구체들 및 반응물에 노출될 수 있다. 시간-영역 ALD로도 지칭되는 시간적 ALD는 제 1 전구체가 챔버로 유동되어 표면과 반응하는(예를 들어, 화학흡착) 통상의 공정이다. 제 1 전구체는 반응물을 챔버로 유동시키기 전에 챔버로부터 퍼징된다. 공간적 ALD에서, 제 1 전구체와 반응물 가스들 둘 모두는 챔버로 동시에 유동되지만, 전구체들의 혼합을 방지하는 유동들 사이의 영역이 존재하도록 공간적으로 분리된다. 흔히, 분리를 보장하기 위해서 제 1 전구체와 반응물 사이에 가스 커튼(예, 퍼지 가스들, 진공 포트들 또는 이들의 조합들)이 존재한다. 공간적 ALD에서, 기판은 각각의 기판 부분이 제 1 전구체와 반응물 가스들 둘 모두에 노출되도록 가스 분배 플레이트에 대해 이동하거나 이의 반대여야 한다.
기판은 단일 기판 증착 챔버들에서 가공될 수 있는데, 여기서 단일 기판은 또 다른 기판이 가공되기 전에 올려지고, 가공되고, 내려진다. 기판은 또한 컨베이어 시스템과 같은 연속 방식으로 가공될 수 있는데, 여기서 다중 기판은 제 1 챔버 부분으로 개별적으로 올려지고, 챔버를 통해 이동하며, 제 2 챔버 부분으로부터 내려진다. 챔버 및 관련 컨베이어 시스템의 모양은 직선 경로 또는 곡선 경로를 형성할 수 있다. 추가로, 가공 챔버는 다중 기판들이 중심 축에서 이동되고 상이한 위치들에서 증착 가스들에 노출되는 캐러셀(carousel)일 수 있다.
공-반응물들은 전형적으로 증기 또는 가스 형태이이다. 반응물들은 캐리어 가스로 전달될 수 있다. 캐리어 가스, 퍼지 가스, 증착 가스, 또는 다른 공정은 질소, 수소, 아르곤, 네온, 헬륨, 또는 이들의 조합물을 함유할 수 있다. 본원에 기재된 다양한 플라즈마들, 예컨대, 질소 플라즈마 또는 불활성 가스 플라즈마는 플라즈마 공-반응물 가스로부터 점화되고/거나 이를 함유할 수 있다.
하나 이상의 구체예들에서, 공정을 위한 다양한 가스들은 가스 통로를 통해 다양한 구멍들 또는 유출구들로부터 유입구로, 그리고 중앙 통로로 펄싱될 수 있다. 하나 이상의 구체예들에서, 증착 가스들은 샤워헤드로 그리고 이를 통해 순차적으로 펄싱될 수 있다. 대안적으로, 상기 기재된 바와 같이, 가스들은 가스 공급 노즐 또는 헤드를 통해 동시에 유동할 수 있고, 기판 및/또는 가스 공급 헤드는 기판이 가스들에 순차적으로 노출되도록 이동될 수 있다.
또 다른 구체예에서, 망간-함유 필름은, 전구체들과 플라즈마의 순차적인 펄스들을 제공하는 플라즈마 강화 원자층 증착(plasma enhanced atomic layer deposition: PEALD) 공정 동안 형성될 수 있다. 특정의 구체예들에서, 공-반응물은 플라즈마를 수반할 수 있다. 플라즈마의 사용을 수반하는 다른 구체예들에서, 플라즈마 단계 동안 시약들은 일반적으로 공정 동안 이온화되지만, 이온들 또는 다른 에너지 또는 발광 화학종들이 증착 필름과 직접적으로 접촉되지 않도록 증착 챔버의 상류로만 발생할 수 있고, 이러한 형태는 흔히 원격 플라즈마라고 칭해진다. 따라서, 이러한 유형의 PEALD 공정에서, 플라즈마는 원격 플라즈마 발생기 시스템에 의한 것과 같이 가공 챔버의 외부에서 발생된다. PEALD 공정들 동안, 플라즈마는 마이크로파(MW) 주파수 발생기 또는 라디오 주파수(RF) 발생기로부터 발생될 수 있다. 플라즈마들이 본원에 개시된 증착 공정들 동안 사용될 수 있지만, 플라즈마가 필요하지 않음을 주지해야 한다. 실제로, 다른 구체예들이 플라즈마가 없는 매우 약한 조건하의 증착 공정들과 관련된다.
본 발명의 또 다른 양태는 상기 기재된 어떠한 구체예들에 따라 공정을 수행하는 기판 상의 필름의 증착을 위한 장치에 관한 것이다. 한 가지 구체예에서, 장치는 기판 상의 필름의 증착을 위한 증착 챔버를 포함한다. 챔버는 기판을 지지하기 위한 공정 영역을 포함한다. 장치는 망간 전구체, 예컨대, 망간[비스(비스(트리메틸실릴)아미드]의 공급과 유체 소통되는 전구체 유입구를 포함한다. 장치는 또한 질소-함유 전구체, 예컨대, 암모니아의 공급과 유체 소통되는 반응물 가스 유입구를 포함한다. 장치는 또한 도펀트 전구체, 예컨대, 도펀트-함유 금속 착물의 공급과 유체 소통되는 반응물 가스 유입구를 포함한다. 장치는 추가로 퍼지 가스와 유체 소통되는 퍼지 가스 유입구를 포함한다. 장치는 추가로 증착 챔버로부터 가스를 제거하기 위한 진공 포트를 포함할 수 있다. 장치는 추가로 하나 이상의 보조 가스들, 예컨대, 불활성 가스들을 증착 챔버에 공급하기 위한 보조 가스 유입구를 포함할 수 있다. 증착은 추가로 복사 및/또는 저항 열에 의해 기판을 가열하기 위한 수단을 포함할 수 있다.
일부 구체예들에서, 필름들을 증착시키거나 형성시키기 위한 본원에 기재된 방법들 동안 사용될 수 있는 플라즈마 시스템 및 가공 챔버들 또는 시스템들은, Applied Materials, Inc.(Santa Clara, Calif에 위치)로부터 모두 입수가능한 PRODUCER®, CENTURA®, 또는 ENDURA® 시스템들 상에서 수행될 수 있다. ALD 가공 챔버에 대한 상세한 설명은 양수인이 동일한 U.S. 특허 제6,821,563호, 제6,878,206호, 제6,916,398호, 및 제7,780,785호에서 찾아볼 수 있다.
"하나의 구체예", "특정의 구체예들", "하나 이상의 구체예들", "구체예"에 대한 본 명세서 전체에 걸친 언급은 구체예와 연관되어 기재된 특정 특징, 구조, 재료 또는 성질이 본 발명의 적어도 하나의 구체예에 포함됨을 의미한다. 따라서, 본 명세서 전체에 걸친 다양한 곳에서의 "하나 이상의 구체예들에서", "특정의 구체예들에서", "하나의 구체예에서" 또는 "구체예에서"와 같은 문구들의 표현은 반드시 본 발명의 동일한 구체예를 언급하는 것은 아니다. 추가로, 특정 특징들, 구조들, 재료들, 또는 성질들은 하나 이상의 구체예들에서 어떠한 적합한 방식으로 조합될 수 있다.
본원에서 본 발명은 특정 구체예들을 참조로 기술되었지만, 이러한 구체예들은 본 발명의 원리들 및 적용들을 단지 예시하는 것임을 이해해야 한다. 본 발명의 사상 및 범위로부터 벗어남 없이 본 발명의 방법 및 장치에는 다양한 변경들 및 변형들이 이루어질 수 있음이 당업자들에게 자명할 것이다. 따라서, 본 발명은 첨부된 특허청구범위들 및 이들의 등가물들의 범위 내에 있는 변경들 및 변형들을 포함하는 것으로 의도된다.

Claims (15)

  1. 기판을 제공하고;
    기판을 제 1 전구체 및 반응물에 노출시킴
    을 포함하는, 망간-함유 필름을 형성시키는 방법으로서,
    상기 제 1 전구체가 망간-함유 필름을 증착시키는 망간-함유 유기금속 화합물을 포함하고, 상기 망간-함유 유기금속 화합물이 하기 화학식을 지니는 방법:
    Figure 112020087589823-pct00007

    상기 식에서, A는 질소이며, 각각의 R은 수소, 메틸, 치환되거나 비치환된 알칸들, 분지되거나 비분지된 알칸들, 치환되거나 비치환된 알켄들, 분지되거나 비분지된 알켄들, 치환되거나 비치환된 알킨들, 분지되거나 비분지된 알킨들 또는 치환되거나 비치환된 방향족 물질들로부터 독립적으로 선택된다.
  2. 그 위에 배치된 유전층을 포함하는 기판을 제공하고;
    기판을 제 1 전구체 및 반응물에 노출시키고;
    기저층에 전도를 가능하게 하는 개구부 위에 또한 있을 수 있는 망간-함유 필름 위에 전도성 물질을 증착시킴
    을 포함하는, 마이크로전자 장치에서 연결재(interconnection)들을 형성시키는 방법으로서,
    상기 유전층이 기저층에 전도를 가능하게 하는 개구부를 지니고,
    상기 제 1 전구체가 유전층의 일부 또는 전부 상에 망간-함유 필름을 증착시키는 망간-함유 유기금속 화합물을 포함하고, 상기 망간-함유 유기금속 화합물이 하기 화학식을 지니는 방법:
    Figure 112020087589823-pct00008

    상기 식에서, A는 질소이며, 각각의 R은 수소, 메틸, 치환되거나 비치환된 알칸들, 분지되거나 비분지된 알칸들, 치환되거나 비치환된 알켄들, 분지되거나 비분지된 알켄들, 치환되거나 비치환된 알킨들, 분지되거나 비분지된 알킨들 또는 치환되거나 비치환된 방향족 물질들로부터 독립적으로 선택된다.
  3. 제 1항 또는 제 2항에 있어서, 각각의 R이 메틸인 방법.
  4. 제 1항 또는 제 2항에 있어서, 반응물이 암모니아 및 수소 중 하나 이상인 방법.
  5. 삭제
  6. 제 1항 또는 제 2항에 있어서, 망간 함유 필름이 Co, Mn, Ru, Ta, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 하나 이상의 도펀트들을 추가로 포함하는 방법.
  7. 제 6항에 있어서, 도펀트가 기판을 제 2 전구체 및 반응물에 노출시킴으로써 포함되고, 제 2 전구체가 도펀트 원소를 포함하는 화합물을 포함하는 방법.
  8. 제 1항 또는 제 2항에 있어서, 기판이 제 1 전구체 및 반응물에 실질적으로 동시에 노출되는 방법.
  9. 제 1항 또는 제 2항에 있어서, 기판이 제 1 전구체 및 반응물에 순차적으로 노출되는 방법.
  10. 제 1항 또는 제 2항에 있어서, 망간-함유 필름이 MnNx 필름이고, x가 0.1 내지 3의 범위인 방법.
  11. 제 10항에 있어서, MnNx 필름을 망간 실리케이트를 생성시키도록 처리함을 추가로 포함하는 방법.
  12. 제 1항 또는 제 2항에 있어서, 망간 실리케이트가 기판 상에 증착되는 방법.
  13. 제 1항 또는 제 2항에 있어서, 망간-함유 필름이 2nm 미만의 두께로 연속적인 방법.
  14. 제 1항 또는 제 2항에 있어서, 제 1 전구체와 상이한 제 2 전구체 및 임의로 반응물과 상이한 제 2 반응물에 기판을 노출시킴을 추가로 포함하는 방법.
  15. 제 2항에 있어서, 전도성 물질을 증착시키기 전에 망간-함유 필름을 플라즈마 처리에 노출시킴을 추가로 포함하는 방법.
KR1020147031850A 2012-04-13 2013-04-12 망간 및 망간 니트라이드들의 증착 방법들 KR102189781B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261623972P 2012-04-13 2012-04-13
US61/623,972 2012-04-13
US13/860,618 US9048294B2 (en) 2012-04-13 2013-04-11 Methods for depositing manganese and manganese nitrides
US13/860,618 2013-04-11
PCT/US2013/036407 WO2013155436A1 (en) 2012-04-13 2013-04-12 Methods for depositing manganese and manganese nitrides

Publications (2)

Publication Number Publication Date
KR20150000507A KR20150000507A (ko) 2015-01-02
KR102189781B1 true KR102189781B1 (ko) 2020-12-11

Family

ID=49325471

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147031850A KR102189781B1 (ko) 2012-04-13 2013-04-12 망간 및 망간 니트라이드들의 증착 방법들

Country Status (6)

Country Link
US (1) US9048294B2 (ko)
EP (1) EP2837022B1 (ko)
KR (1) KR102189781B1 (ko)
CN (1) CN104221132B (ko)
TW (1) TWI576459B (ko)
WO (1) WO2013155436A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
US9362228B2 (en) * 2013-10-22 2016-06-07 Globalfoundries Inc. Electro-migration enhancing method for self-forming barrier process in copper metalization
TW201545895A (zh) * 2014-01-08 2015-12-16 Applied Materials Inc 鈷錳氣相沉積
US9275952B2 (en) * 2014-01-24 2016-03-01 International Business Machines Corporation Ultrathin superlattice of MnO/Mn/MnN and other metal oxide/metal/metal nitride liners and caps for copper low dielectric constant interconnects
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9224686B1 (en) * 2014-09-10 2015-12-29 International Business Machines Corporation Single damascene interconnect structure
US9728502B2 (en) * 2014-11-10 2017-08-08 Samsung Electronics Co., Ltd. Metal oxysilicate diffusion barriers for damascene metallization with low RC delays and methods for forming the same
US9711456B2 (en) 2015-12-19 2017-07-18 International Business Machines Corporation Composite manganese nitride/low-K dielectric cap
US10049974B2 (en) 2016-08-30 2018-08-14 International Business Machines Corporation Metal silicate spacers for fully aligned vias
US9786760B1 (en) * 2016-09-29 2017-10-10 International Business Machines Corporation Air gap and air spacer pinch off
JP6522040B2 (ja) * 2017-04-28 2019-05-29 キヤノン株式会社 積層体の製造方法および液体吐出ヘッドの製造方法
CN108315717A (zh) * 2018-01-24 2018-07-24 复旦大学 一种氮化锰薄膜的制备方法
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11270911B2 (en) * 2020-05-06 2022-03-08 Applied Materials Inc. Doping of metal barrier layers
US20220122923A1 (en) * 2020-10-16 2022-04-21 Applied Materials, Inc. Methods and materials for enhanced barrier performance and reduced via resistance
WO2022173632A1 (en) * 2021-02-12 2022-08-18 Lam Research Corporation Quantum efficient photoresists and methods thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010050073A1 (ja) * 2008-10-31 2010-05-06 デンタルサポート株式会社 再生医療に利用する生体組織などの低酸素滅菌・保存・運搬・管理用システム
WO2011050073A1 (en) * 2009-10-23 2011-04-28 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100814980B1 (ko) * 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4478038B2 (ja) 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
US7253097B2 (en) 2005-06-30 2007-08-07 Chartered Semiconductor Manufacturing, Ltd. Integrated circuit system using dual damascene process
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
WO2008007732A1 (en) 2006-07-14 2008-01-17 Ulvac, Inc. Method for manufacturing semiconductor device
KR101629965B1 (ko) 2007-04-09 2016-06-13 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 구리 배선용 코발트 질화물층 및 이의 제조방법
US7884475B2 (en) 2007-10-16 2011-02-08 International Business Machines Corporation Conductor structure including manganese oxide capping layer
US20090257927A1 (en) 2008-02-29 2009-10-15 Applied Materials, Inc. Folded coaxial resonators
JP5820267B2 (ja) 2008-03-21 2015-11-24 プレジデント アンド フェローズ オブ ハーバード カレッジ 配線用セルフアライン(自己整合)バリア層
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
US8039390B2 (en) 2008-08-05 2011-10-18 Rohm Co., Ltd. Method of manufacturing semiconductor device
JP5530118B2 (ja) 2009-04-08 2014-06-25 東京エレクトロン株式会社 酸化マンガン膜の形成方法、半導体装置の製造方法および半導体装置
DE112010001589T5 (de) * 2009-04-08 2012-06-28 Efficient Power Conversion Corporation Kompensierter GATE-MISFET und Verfahren zu seiner Herstellung
US8039966B2 (en) 2009-09-03 2011-10-18 International Business Machines Corporation Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
US8920597B2 (en) 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US8941239B2 (en) 2012-04-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Copper interconnect structure and method for forming the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010050073A1 (ja) * 2008-10-31 2010-05-06 デンタルサポート株式会社 再生医療に利用する生体組織などの低酸素滅菌・保存・運搬・管理用システム
WO2011050073A1 (en) * 2009-10-23 2011-04-28 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects

Also Published As

Publication number Publication date
US20130273733A1 (en) 2013-10-17
TWI576459B (zh) 2017-04-01
EP2837022A4 (en) 2016-01-20
KR20150000507A (ko) 2015-01-02
EP2837022A1 (en) 2015-02-18
TW201348492A (zh) 2013-12-01
CN104221132A (zh) 2014-12-17
WO2013155436A1 (en) 2013-10-17
EP2837022B1 (en) 2018-06-06
US9048294B2 (en) 2015-06-02
CN104221132B (zh) 2017-03-01

Similar Documents

Publication Publication Date Title
KR102189781B1 (ko) 망간 및 망간 니트라이드들의 증착 방법들
US11587829B2 (en) Doping control of metal nitride films
US10784157B2 (en) Doped tantalum nitride for copper barrier applications
US9076661B2 (en) Methods for manganese nitride integration
KR20190101497A (ko) 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
US10283352B2 (en) Precursors of manganese and manganese-based compounds for copper diffusion barrier layers and methods of use
US10665542B2 (en) Cobalt manganese vapor phase deposition
TWI609095B (zh) 用於氮化錳整合之方法
WO2023033901A1 (en) Method of forming a metal liner for interconnect structures
TW202315118A (zh) 經摻雜之含鉭阻障膜

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant