KR100814980B1 - 산화물, 규산염 및 인산염의 증기를 이용한 석출 - Google Patents

산화물, 규산염 및 인산염의 증기를 이용한 석출 Download PDF

Info

Publication number
KR100814980B1
KR100814980B1 KR1020077024096A KR20077024096A KR100814980B1 KR 100814980 B1 KR100814980 B1 KR 100814980B1 KR 1020077024096 A KR1020077024096 A KR 1020077024096A KR 20077024096 A KR20077024096 A KR 20077024096A KR 100814980 B1 KR100814980 B1 KR 100814980B1
Authority
KR
South Korea
Prior art keywords
metal
vapor
ald
precursor
silicon
Prior art date
Application number
KR1020077024096A
Other languages
English (en)
Other versions
KR20070107813A (ko
Inventor
로이 지이. 고돈
질 베커
데니스 하우스만
세이기 수우
Original Assignee
프레지던트 앤드 펠로우즈 오브 하바드 칼리지
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=26929629&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100814980(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 filed Critical 프레지던트 앤드 펠로우즈 오브 하바드 칼리지
Publication of KR20070107813A publication Critical patent/KR20070107813A/ko
Application granted granted Critical
Publication of KR100814980B1 publication Critical patent/KR100814980B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B13/00Oxygen; Ozone; Oxides or hydroxides in general
    • C01B13/14Methods for preparing oxides or hydroxides in general
    • C01B13/34Methods for preparing oxides or hydroxides in general by oxidation or hydrolysis of sprayed or atomised solutions
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B25/00Phosphorus; Compounds thereof
    • C01B25/16Oxyacids of phosphorus; Salts thereof
    • C01B25/26Phosphates
    • C01B25/30Alkali metal phosphates
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B25/00Phosphorus; Compounds thereof
    • C01B25/16Oxyacids of phosphorus; Salts thereof
    • C01B25/26Phosphates
    • C01B25/36Aluminium phosphates
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/20Silicates
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/20Silicates
    • C01B33/26Aluminium-containing silicates, i.e. silico-aluminates
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G25/00Compounds of zirconium
    • C01G25/02Oxides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G27/00Compounds of hafnium
    • C01G27/02Oxides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G35/00Compounds of tantalum
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/06Phosphorus compounds without P—C bonds
    • C07F9/08Esters of oxyacids of phosphorus
    • C07F9/09Esters of phosphoric acids
    • C07F9/091Esters of phosphoric acids with hydroxyalkyl compounds with further substituents on alkyl
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/06Phosphorus compounds without P—C bonds
    • C07F9/08Esters of oxyacids of phosphorus
    • C07F9/09Esters of phosphoric acids
    • C07F9/11Esters of phosphoric acids with hydroxyalkyl compounds without further substituents on alkyl
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02156Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing at least one rare earth element, e.g. silicate of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

금속 규산염 또는 인산염들은, 알콕시 실라놀 또는 알킬 포스페이트의 증기와 반응성 있는 금속 아마이드,알킬 또는 알콕사이드와의 반응에 의해 가열된 기질상에 석출되어 진다. 예를 들면, 트리스(tert-부톡시)실라놀의 증기와 테트라키스 (에틸메틸아미도)하프늄의 증기가 반응하여 300℃로 가열된 표면위에서 하프늄규산염을 석출시킨다. 생성된 막은 반응기 전체를 통해 매우 균일한 화학양론을 갖는다. 이와 유사하게, 디이소프로필 포스페이트의 증기는 리튬비스(에틸메틸아미도)아마이드의 증기와 반응하여 250℃로 가열된 표면위에서 리튬인산염을 석출시킨다.
증기 펄스들을 교대로 공급함으로써, 매우 균일한 두께 분포와 탁월한 단계적 피막을 갖는 상기와 합성물이 생산된다.
Figure R1020077024096
증기 석출, CVD, ALD, 휘발성 화학 전구체, 금속 규산염, 금속 인산염, 하프늄,이트륨, 지르코늄, 란탄

Description

산화물, 규산염 및 인산염의 증기를 이용한 석출{VAPOR DEPOSITION OF OXIDES, SILICATES, AND PHOSPHATES}
본 발명은 화학 증기 석출(CVD), 원자층 석출(ALD)과 같은 박막 석출 과정에서 사용되는 신규한 시약에 관한 것이다. 이 시약들은 금속 산화물, 규산염, 금속 인산화물, 이산화 규소라고 흔히 부르는 금속 및/또는 산소와 함께, 규소 및/또는 인산을 포함하는 물질의 석출에 사용되어 질 수 있다.
화학 증기 석출(CVD)은 증기 상태의 반응물로부터 도료나 분말과 같은 고체 물질을 형성하는 널리 사용되는 과정이다. CVD과정의 종합적인 개관은 최근에 CVD of Nonmetals,W.S.Rees,Jr.,Editor,VCH Publisher,Weinheim,Germany,1996년; CVD of Compound of semiconductors,A.C.Jones and P.O'Brien,VHC,1996년; 및 The Chemistry of Metal CVD, T.Kodas and M.hampden-Smith,Editors,VCH,1994년에 주어져 있다.
CVD과정에서 반응물 증기 또는 증기 혼합물은 박막이 석출될 기질의 가열된 표면과 접촉하게 된다. CVD의 형태와 관련하여, 두 반응물 증기들이 교대로 가열된 표면에 노출된다. 이러한 형태의 CVD는 종종 원자층 석출(ALD)이라고 불린다. 적당한 반응물로서, ALD는 혼합 증기를 이용한 CVD에 비하여 향상된 단계적 피복률과 두께의 균일성을 제공한다, ALD의 개관에 관하여는, Miko Ritala의 Applied Surface Science , 112권, 223-230페이지(1997년)에 나타난다.
금속 규산염의 피막들은 많은 응용예와 잠재적 응용예을 갖는다. 예를 들면, 지르코늄, 하프늄, 이트륨, 란탄의 규산염들은 실리콘 반도체 기술에서 게이트 절연체로서의 이산화규소의 대체물로서의 가능성이 고려되고 있다. A.Kingon 등의 Nature,(406권,1032-1038페이지,(2000년))에서 그 예를 볼 수 있다: Science(288권,319-321페이지(2000년))에서, Ritala 등은 지르코늄 규산염을 포함한 금속 규산염을 생산하기 위한 금속 염화물과 규소 알콕사이드의 연쇄적인 ALD반응의 이용에 관한 보고를 하였다. 그러나 이러한 방법은 막에 염소 잔여물을 남기고 이것은 막의 질(property)을 떨어뜨릴 뿐 아니라 기질이나 이후의 피막의 점착성에도 좋지 않다. 전구체에서 염소는 금속 기질 또는 석출에 이용되는 기구들을 부식시킬 수 있다. 따라서 금속 규산물이나 금속 산화물의 CVD 또는 ALD를 위한 무염소 전구체(chlorine-free precusor)를 가지는 것은 유용하다.
이산화 규소의 ALD는 Klaus 등의 미국 특허 6,090,442(2000년)에 의해 이루어져 왔으나 그 석출 속도는 매우 느리고, 기질 온도가 상온에 가까운 값으로 제한 되었다.
리튬 인산염은 최근 리튬 이온 반도체나 리튬 배터리로 관심의 대상이 되고 있는 재료이다. 현재 리튬 인산염의 CVD나 ALD의 과정에 대하여는 알려진 바가 없다.
본 발명의 중요한 특징은 금속 규산염, 인산염 또는 산화물의 CVD나 ALD에 적용되는 반응성을 갖는 휘발성 화학 전구체를 포함한 것이다.
상기 화학적 전구체의 이점은 이들이 염소를 포함하지 않기 때문에, 금속 규산염, 인산염, 산화물의 CVD 또는 ALD의 과정에서 염소 잔여물을 남기지 않는다는 것이다.
본 발명과 관련한 특징은 규소 기질과 석출된 금속 규산염 사이의 예리한 경계면을 형성하는 조건하에서의 금속 규산염의 석출이다.
상기 공정의 장점은 가열된 기질의 표면으로 운반되기 이전에 모든 반응물이 균질하게 혼합될 수 있는 CVD공정에 의한 금속 규산염이나 인산염의 석출을 가능하게 한다는 점이다.
상기 방법의 추가적 장점은 반응물의 농도 또는 반응기내에서의 기질 위치와 같은 조건의 임의의 범위에 걸쳐, 규소에 대한 상대적으로 고정된 금속의 비율로 금속 규산염이나 인산염을 증착하는 것이다.
본 발명의 다른 이점은 좁은 구멍, 트렌치(trench), 또는 다른 구조물을 가진 등각의 코팅을 할 수 있다는 것이다. 이 능력은 통상 우수한 단계적 피복(step coverage)으로 알려져 있다.
본 발명의 또다른 특징은 리튬 인산염을 포함하는 물질의 제조를 할 수 있다는 것이다.
본 발명의 장점은 반응물이 안정하고 비교적 덜 위험하다는 것이다.
본 발명의 또다른 특징은 금속 산화물 또는 금속 산화물의 혼합물을 위한 화학 증기석출 또는 원자층 석출 과정을 포함한다.
본 발명의 그밖의 특징은 이산화 규소의 화학 증기 석출 또는 원자층 석출과정을 포함한다.
본 발명의 특이한 점의 하나는 마이크로 전자 장치에서의 게이트 절연체나 트렌치 축전기로서 유용한 높은 유전 상수를 갖는 지르코늄, 하프늄, 이트륨 및/또는 란탄의 산화물 또는 규산염의 석출 과정을 포함한다는 것이다.
본 발명의 다른 특징은 평면 도파관(waveguide), 멀티플렉서(multiplexer)/디멀티플렉서(dimultiplexer), 광학 간섭 여광기에서처럼 유용한 광학 활성을 갖는 이산화 규소나 금속 규산염을 석출하는 과정을 포함하는 것이다.
본 발명의 그 밖의 특징은 전지 또는 전자 장치(electronic device)의 격리자(seperator)로 사용되는 리튬의 빠른 확산을 가능하게 하는 리튬 인산염의 석출방법을 포함한다는 것이다.
본 발명의 다른 특징이자 장점은 본 발명을 읽는 이 분야의 당업자에게 명백하다는 점이다.
본 발명의 한 측면에서 알콕시실라놀(alkoxysilanol)의 증기는 금속 또는 메탈로이드의 알킬아마이드 또는 알킬 또는 사이클로 펜타디에닐과 같은 적당히 반응성 있는 금속 또는 메탈로이드 화합물의 증기와 반응한다. 이 반응은 막을 형성하는 방식으로 진행될 수 있다.
적어도 몇몇의 구현예에서, 트리스(알콕시)실라놀 화합물은 하기 식을 갖는데, 이 때 Rn은 수소, 알킬기, 플루오르 알킬기 또는 바람직하게는 화합물의 휘발성을 높이기 위해 선택된 다른 원자 또는 기들로 치환된 알킬기이며, Rn은 R1 내지 R9중의 어느 하나이다. 각각의 Rn은 서로 동일하거나 상이할 수 있다.
Figure 112007074934974-pat00001
적어도 몇몇의 구현예에서 메틸기는 위에서 주어진 화학식1의 Rn 각각으로부터 선택될 경우, 보다 바람직한 화합물인 트리스(tert-부톡시)실라놀(화학식2)을 얻을 수 있으며, 보다 간단하게 (tBuO)3SiOH로 쓰여질 수 있다.
Figure 112007074934974-pat00002
본 발명의 다른 화합물은 트리스(tert -아밀옥시)실라놀(화학식3)이라고도 알려진, 트리스(tert-펜틸옥시)실라놀인데 보다 간단히 (tAmO)3SiOH로 쓸 수 있다.
Figure 112007074934974-pat00003
어떤 구현예에서 트리스(알콕시)실라놀 화합물보다 덜 안정함에도 불구하고, 적어도 본 발명의 몇몇의 구현예에서는 (tBuO)2Si(OH)2와 같은 디(알콕시)실란디올 이 사용될 수 있다. 일반적으로 화학식4를 갖는 디(알콕시)실란디올은 본 발명에서 사용될 것인데, Rn은 수소, 알킬기, 플루오르 알킬기 또는 바람직하게는 화합물 의 휘발성과 안정성을 높이기 위해 선택된 다른 원자들 또는 기로 치환된 알킬기이며, Rn은 R1 내지 R6중의 어느 하나이다. Rn은 서로 동일하거나 상이할 수 있다.
Figure 112007074934974-pat00004
적어도 몇몇의 구현예에서 화학식1의 R1 또는 화학식4의 R1-R6 은 수소, 메틸, 에칠, n-프로필, 이소프로필로 구성된 그룹에서 선택된 것일 것이다.
앞서 말한 화합물에서, 일반적 구조의 R1 내지 R9의 알킬기 또는 화학식4의 R1 내지 R6은 아릴, 알케닐, 알키닐기와 같은 어느 정도의 불포화도를 갖는 탄화수소로 이해될 수 있다.
어떤 구현예에서 금속 화합물은 실라놀의 약산성의 프로톤(slightly acidic proton)과 쉽게 반응하는 것들을 포함한다. 이들 산성 프로톤은 실라놀의 산소에 직접 결합된 것이다. 이러한 산성 프로톤과 일반적으로 결합하는 금속 화합물은 대부분의 금속 알킬과 다른 유기금속 화합물, 금속 알킬아마이드, 임의의 금속 알콕사이드류를 포함한다. 어떤 특정 화합물의 반응성은 그것을 알콕시실라놀과 섞고, 그 혼합물을 핵자기 공명 진단 장치(NMR)로 분석함으로써 쉽게 확인할 수 있 다. 본 발명의 발명자들은 물과 반응하는 것으로 알려진 화합물이 일반적으로 알콕시실라놀과도 반응하는 것을 발견하였다.
또한 본 발명자들은 석출된 금속 규산염의 화학양론을 조절할 수 있음을 알아냈다. 규소/금속 비율은 실라놀의 일부 또는 모두를 물이나 알코올로 대체함으로써 감소될 수 있다. 역으로, 규소/금속 비율은 금속 공급원의 전부 또는 일부를 실리콘 아마이드나 실릴렌과 같은 적절한 활성이 있는 화합물로 대체함으로써 증가시킬 수 있다. 이러한 방법들을 통해 석출된 물질의 구조를 순수한 금속에서부터 순수한 이산화 규소까지 원하는 어떠한 규소/산소 비율로 선택할 수 있다. 화학양론은 석출 과정에 따라 변할 수 있다. 예를 들면,규소 반도체 장치를 위한 게이트 절연체의 석출에서는, 계면의 전기적 특성을 향상시키기 위해 규소가 풍부한 층을 규소 표면에 근접시켜 석출하고, 이어서 높은 유전 상수를 갖는 금속이 풍부한 층을 석출한다.
발명의 다른 측면에서는 비스(알킬)포스페이트의 증기가 금속 알킬 아마이드, 금속 알킬, 금속 사이클로 펜타디에나이드 또는 금속 알콕사이드와 같은 반응성 있는 금속 화합물의 증기와 반응하여, 금속 인산염을 형성한다. 이 반응은 막을 형성하는 방식으로 진행될 수 있다.
본 발명의 최소한 몇몇의 구현예에서, 인을 포함한 전구체들은 비스(알킬)포스페이트를 포함하며, 여기서 Rn은 수소, 알킬기, 플루오르 알킬기 또는 다른 원자들 또는 기로 치환된 알킬기이며, Rn은 R1 내지 R6중의 어느 하나이다. 각각의 Rn 은 서로 동일하거나 상이할 수 있다.
Figure 112007074934974-pat00005
하나 이상의 구현예에서, 인 전구체는 화학식6으로 나타내어 지는 디이소프로필 포스페이트이다.
Figure 112007074934974-pat00006
금속 인산염의 화학량론을 조절하는 것 또한 가능하다. 인/금속 비율은 비스(알킬)포스페이트의 일부 또는 전부를 물 또는 알코올로 치환함으로써 감소시킬 수 있다. 역으로, 인/금속 비율은 적절한 인의 공급원으로 금속의 공급원의 일부 또는 전부를 치환함으로써 증가시킬 수 있다. 이러한 방법을 통해, 석출된 물질의 조성은 순수한 금속 산화물에서 인 산화물 또는 임의의 원하는 인/금속 비율을 갖 는 것으로 다양하게 만들어 낼 수 있다.
어떤 구현예에서는 일반적 구조5의 R1-R6 기는 수소, 메틸, 에틸, n-프로필 또는 이소프로필기로 구성된 그룹에서 선택될 수 있다. 전술한 화합물에 있어 화학식1의 알킬기 R1-R9 또는 화학식4의 R1-R6는, 예를 들어 아릴, 알케닐, 또는 알키닐기 정도의 불포화도를 갖는 탄화수소일 것이다.
본 발명의 다른 측면에서, 규소를 포함한 재료의 제조 방법에는 기질을 알콕시실라놀, 알콕실란디올 및 실릴렌(silylene)으로 구성된 군으로부터 선택된 하나 또는 그 이상의 증기에 노출시키는 과정을 포함한다. 최소한 어떤 구현예에서, 실릴렌은 하기 구조이며, R은 알킬기 또는 tert-부틸이다.
Figure 112007074934974-pat00007
본 발명의 한 측면에서 , 인을 포함하는 재료의 제조 방법은 기질을 비스포스페이트, 인(Ⅲ)산화물 및 백인(white phosphorus)으로 구성된 군에서 선택된 하나 또는 그 이상의 증기에 노출시키는 과정을 포함한다.
본 발명의 다른 측면에서, 벤젠 수화물, 나프탈렌 수화물, 또는 치환된 벤젠 수화물, 치환된 나프탈렌 수화물과 같은 아렌 수화물로 구성된 군으로부터 선택된 1이상의 증기에 기질을 노출시키는 것을 포함하는 산소-포함 재료의 제조에 본 방법은 제공될 수 있다.
본 발명의 다른 측면에서 금속 산화물을 형성하는 과정은 교대로 가열된 표면을 1이상의 금속 아마이드에 노출시킨 후 물이나 알코올의 증기에 노출시키는 단계를 포함한다.
최소한 어떤 구현에에서, 알코올은 아렌 수화물이고, 또는 어떤 구현예에서는 표 1로부터 금속 아마이드 또는 아마이드는 선택될 수 있다.
본 발명의 다른 측면에서 산소 및 1이상의 금속을 포함하는 재료를 형성하는 방법은 하나 또는 그 이상의 유기금속 화합물의 증기와 아렌 수화물의 증기에 교대로 표면을 노출시키는 단계를 포함한다.
최소한 한 구현예에서, 유기금속 화합물은 표 2에서 선택되어 진다.
1.금속 규산염과 이산화 규소
본 발명은 금속과 규소의 함량이 변화하는 금속 규산염을 제조하는 방법을 제공한다. 상기 방법은 알콕시실라놀 또는 알콕실란디올의 증기와, 1이상의 금속 또는 메탈로이드 화합물과의 반응을 수반한다. 화합물은 기질 위에서, 분말 또는 막으로 형성될 수 있고, 임의의 구현예에서는 가열된 기질상에 형성될 수 있다. 그 화합물은 기질상에서 석출되기 이전에 알콕실라놀 또는 알콕실란디올의 증기와 금속 또는 메탈로이드 화합물을 혼합함으로써 기질위에 형성될 수 있다. 최소한 한 구현예에서는 기질은 알콕실라놀이나 알콕실란디올 증기와 하나 이상의 금속이나 메탈로이드 화합물 증기에 교대로 노출되어 진다.
실라놀과 실란디올 반응물은 상업적으로 사용가능한, 종래 또는 과거의 기술을 사용하여 제조될 수 있다. 규소 전구체 ,트리스(tert-부톡시)실라놀은 Aldrich Chemical Company(Milwaukee,WI)와 Gelest,Inc.(Tullytown,PA)로부터 사용가능하다. 트리스(tert-부톡시)실라놀은 이하의 두 반응 중 어느 것에 의해 만들어 질 수 있다.
SiCl4 + 3tBuOH → (tBuO)3SiCl + 3HCl (1)
SiCl4 + 3NaOtBu → (tBuO)3SiCl +3 NaCl (2)
트리스(tert-부톡시)클로로실란은 이어서, 다음 반응에 의해 가수분해되어진다.
(tBuO)3SiCl + H2O → (tBuO)3SiOH + HCl (3)
Backer 등의, Rec . Trav . Chim.,61권, 500페이지(1942년)에서 볼 수 있다. 이 화합물은 상온에서 고체이고 약66℃에서 녹는다. 약 10- 4토르(Torr)의 낮은 압력에서는 상온에서 승화하고, 200토르(Torr)압력에서는 약104℃에서 증류될 수 있다. 메시틸렌(mesitylene) 또는 테트라데칸(tetradecane)과 같은 유기 용매에서 매우 잘 용해되고, 그 증기는 순간적인 기화(flash vaporization)에 의해 용이하게 형성될 수 있다.
다른 트리스(tert-알콕시)실라놀은 tert -부탄올 대신, tert -펜틸 알코 올(tert-아밀 알코올로도 알려짐)과 같은 다른 3차 알코올을 사용하여, 비슷한 반응 과정에 의해 제조될 수 있을 것이다. 트리스(tert-아밀옥시)실라놀,
(tAmO)3SiOH은 상온에서 액체이며 그 액체의 순간적인 기화에 의해 용이하게 증기를 얻을 수 있다. 상기 증기는 96℃에서 약 2토르의 증기압을 갖는다. Aldrich
*Chemical사로부터 상업적으로 이용가능하다.
실라놀과 실란디올은 금속 공급원(metal source)과 반응하여 금속 규산염을 수득할 수 있다. 이 금속 공급원(metal source)은 하나 이상의 금속을 포함할 수 있고, 결과물인 금속 규산염은 하나 이상의 금속을 포함할 수 있다. 최소한 어떤 구현예에서 금속 화합물은 실라놀의 약산성 프로톤과 쉽게 반응할 수 있는 것들을 포함한다. 이들 산성 프로톤은 실라놀의 산소에 직접 연결된 것들이다. 산성 프로톤과 반응하는 일반적인 금속 화합물은 대부분의 금속 알킬과 다른 유기 화합물, 금속 알킬아마이드, 임의의 금속 알콕사이드를 포함한다. 모든 특정 화합물의 반응성은 그것을 알콕시실라놀과 섞고 그 혼합물을 핵자기 공명 진단 장치로 분석함으로써 쉽게 증명될 수 있다. 본 발명자들은 물과 반응하는 것으로 알려진 화합물이 알콕시실라놀과도 일반적으로 반응함을 알아내었다.
상기 반응은 증기 상태에서 진행되고, CVD나 ALD기술을 사용하여 이루어 질 수 있다. 이하에서 보다 자세히 논의되어지는 바와 같이, ALD는 석출 과정의 조절을 제공하고, 넓은 범위의 조건과 다양한 반응물 활성을 갖는 경우의 사용에도 적 합하다.
규소/금속 비율는 일부 또는 전부의 금속 전구체를 적합한 활성을 갖는 규소 화합물로 치환함으로써 증가시킬 수 있다. 사염화규소(SiCl4)와 같은 규소염화물은, 규소 함량은 증가시키기 위해 사용될 수 있으나, 결과물 안에 불순물로 염소를 남길 수 있고, 그 반응은 소망하는 것보다 느리다. 테트라이소 시아나토실란(tetraisocyanatosilane), 테트라키스(디메틸아미도)실란, 트리스(디메틸아미도)실란과 같은 실리콘 아마이드는 할로겐 오염을 피할 수 있다. 그러나 이들의 석출 속도는 바람직한 경우보다 느리다. 실릴렌(silylene)은 보다 빠르게 반응한다.
Figure 112007074934974-pat00008
예를 들면, 상기식에서 R은 알킬기이고, 최소한 어떤 구현예에서는 tert-부틸인, 열적으로 안정한 실릴렌7 은 규소/금속의 비율을 증가시키기 위해 금속원의 일부 또는 전체를 대신하여 빠른 반응의 규소원으로 사용될 수 있다.
적어도 어떤 구현예에서는 순수한 이산화 규소가 만들어 질 수 있다. ALD시스템에서 실릴렌이 표면과 반응한 뒤에 충분히 산화되도록 하기 위해 실레인 펄스는 산소 기체 펄스의 뒤를 따르도록 한다. 순수한 이산화 규소는 실릴렌과 산소의 펄스 시퀀스의 반복에 의해 빠르게 석출되어 진다.
2.금속 인산염과 인 산화물
본 발명은 금속과 인의 비율이 다양한 금속 인산염을 제조하는 방법을 제공한다. 이 방법은 1이상의 금속 또는 메탈로이드 화합물의 증기와 비스(알킬)포스페이트의 반응을 포함한다. 그 화합물은 기질상에 분말 또는 막을 형성할 수도 있고, 어떤 구현예에서는 가열된 기질위에 형성할 수 있다. 그 화합물은 기질상에 석출되기에 앞서, 비스(알킬)포스페이트의 증기와 금속 또는 메탈로이드 화합물이 혼합됨으로써 기질 위에 형성될 수 있다. 적어도 어떤 구현예에서는 기질은 비스(알킬)포스페이트 증기와 하나 이상의 금속 또는 메탈로이드 화합물에 교대로 노출되어 진다.
비스(알킬)포스페이트 반응물은 상업적으로 사용가능하며 종래 또는 알려진 기술을 이용하여 제조될 수 있다. 인 전구체, 디에틸 포스페이트는 Fishier Scientific(Pittsburgh,PA)와 Pfaltz and Bauer(Waterbury,CT)를 포함한 많은 화학 회사로부터 상업적으로 사용가능하다. 디에틸 포스페이트는 염화구리(copper chloride)를 촉매로 하여 에탄올에서 포스피닉산을 공기중 산화시킴으로써 제조될 수 있다.
2P(O)OH + 2EtOH + O2 → (EtO)2P(O)OH + 2H2O (4)
Y.Okamoto,T.Kusano와 S.Takamuku의 Phosphorous , Sulfur and Silicon , 55권, 195-200페이지 (1991년)에 나타난다.
디이소프로필 포스페이트를 얻기 위한 연쇄반응이 나타나는데, 이소프로판 올의 적절한 치환을 통해 다른 전구체 화합물을 얻는데 사용되어질 수 있다.
PCl3 + 3 iPrOH → (iPrO)2P(O)H + iPrCl+ 2HCl (5)
(iPrO)2P(O)H + SO2Cl2 → (iPrO)2P(O)Cl + HCl + SO2 (6)
(iPrO)2P(O)Cl + H2O → (iPrO)2P(O)OH + HCl (7)
McIvor 등의 Canadian J. Chemistry,34권,1825, 1827페이지에 나타난다
디이소프로필 포스페이트는 다음 두 반응에 의해 첫번째 형성되는 칼륨염을 이용하여 제조될 수 있다.
Cl3 + 3 iPrOH → (iPrO)2P(O)H + iPrCl+ 2HCl (8)
2(iPrO)2P(O)H + KMnO4 + KHCO3 → 2(iPrO)2P(O)OK + MnO2 (9)
A.Zwierak 과 M.Kluba의, Tetrahedron, 27권,3136-3170 페이지에 나타난다.
다음 두 반응을 통해 나트륨염 유사체를 만들 수 있다.
POCl3 + 3iPrOH → (iPrO)3P=O + 3HCl (10)
(iPrO)3P=O + NaOH → (iPrO)2P(O)ONa + iPrOH (11)
디이소프로필 포스페이트의 전구체는 염산과의 반응을 통해 알칼리염으로부터 자유로워 질 수 있다.
(iPrO)2P(O)OM + NaOH → (iPrO)2P(O)OH + MCl, M=Na,K (12)
상기 비스(알킬)포스페이트는 광범위한 범위의 금속 화합물과 반응하여 금속 인산염을 형성한다. 산성 인산 프로톤과 일반적으로 반응하는 금속 화합물은 대부분의 금속 알킬기 및 다른 유기금속 화합물, 금속 알킬아마이드 및 일부 금속 알콕사이드를 포함한다. 특정 화합물의 반응성은 비스(알킬)포스페이트와 그것을 혼합하고 그 혼합물은 핵자기 진동 공명 진단 장치로 분석함으로써 증명될 수 있다.
상기 반응은 증기 상태에서 진행될 수 있으며, CVD 또는 ALD기술을 이용할 수 있을 것이다. 하기 상세히 논의할 바와 같이 ALD는 석출과정을 조절할 수 있고 광범위한 반응 조건과 다양한 반응성을 갖는 반응물에 사용될 수 있다.
인/금속 비율은 적절한 반응성을 갖는 인화합물로 금속 전구체의 일부 또는 전부를 대체함으로써 증가될 수 있다. 포스포러스 트리클로라이드(PCl3), 포스포러스 펜타글로라이드(PCl5), 포스포러스 옥시클로라이드(POCl3)와 같은 인염화물이 사용될 수 있으나 일부 할로겐 불순물이 막에 포함될 수 있다. 헥사메틸 포스포러스 트리아마이드((Me2N)3P), 헥사메틸 포스포리미딕 트리아마이드((Me2N)3P=NH), 헥사메틸 포스포아마이드((Me2N)3PO)와 같은 포스포러스 알킬아마이드는 할로겐 오염을 피할 수 있으나 반응 속도가 느릴 수 있다. 일반적으로 백인 또는 인(Ⅲ)산화물의 도스(dose)는 충분히 산화된 막의 형성을 위해 산소 펄스가 뒤따르게 된다. ALD에 의해 만들어진 인/금속 비율은 인 도스를 물이나 알코올의 도스로 대체함으 로써 감소되어 질 수 있다.
3.금속 아마이드 ,금속 알킬 및 금속 알콕사이드
적어도 어떤 구현예에서 금속 또는 메탈로이드 아마이드 화합물은 본 발명의 실시에 있어서 유용하다. 상업적 출처 및/또는 그들의 합성에 관한 참고문헌뿐만 아니라 몇몇의 예들이 표 1에 주어져 있다. 표 1에 언급된 메탈로이드은 붕소,규소,비소이다.
[표 1] 휘발성 금속 또는 메탈로이드 아마이드
Figure 112007074934974-pat00009
Figure 112007074934974-pat00010
Figure 112007074934974-pat00011
Figure 112007074934974-pat00012
Figure 112007074934974-pat00013
표 1에서 TMPD는 2,2,6,6-테트라 메틸 피페리다이드(tetramethylpiperidide)를 의미한다. 그 이상의 예들은 1980년에 Ellis Horwood Ltd,a division of JohnWiely&Son에서 발간한 M. F.Lappert,P.P.Power, A.R.Sanger 및
R.C.Srivastava의 Metal and Metalloid Amaides에서 발견된다.
적어도 몇몇의 예에서 금속 알킬은 본 발명의 실시에 매우 필요하다. 상업적 출처나 합성에 관한 참고문헌 뿐만 아니라 어떤 예들이 표 2에 주어져 있다.
[표 2] 휘발성의 유기금속 화합물
Figure 112007074934974-pat00014
Figure 112007074934974-pat00015
표 2에서 Cp는 사이클로 펜타디엔의 약자이고, Me5Cp는 펜타메틸 사이클로 펜타디엔을 의미하며, iPrCp은 이소프로필 사이클로 펜타디엔, iPrMe4Cp은 이소프로필 테트라메틸 사이클로 펜타디엔을 의미하고, iPr4Cp은 테트라이소프로필 사이클로 펜타디엔을 의미하며, EtCp는 에틸 사이클로 펜타디엔을, PrCp는 프로필 사이클로 펜타디엔을 ,iPrCp는 이소프로필 사이클로 펜타디엔을 의미하고, BuCp은 부틸사이클로 펜타디엔을, Bz는 벤제나이드를, EtBz은 에틸벤제나이드의 이성질체와 1,5-사이클로 옥타디엔(1,5-COD)의 혼합물을 의미한다.
적어도 어떤 구현예에서 금속 또는 메탈로이드 알콕사이드는 본 발명의 시행에 사용될 수 있다. 상업적 출처와 합성의 참고 문헌뿐 아니라 적당한 화합물은 표 3에 주어져 있다.
[표 3] 금속 또는 메탈로이드 알콕사이드
Figure 112007074934974-pat00016
금속염화물 역시 본 발명의 실시에서 사용될 수 있으나, 이들은 일부 할라이드 불순물을 막안에 남기고 기질이나 기구의 부식을 유발하는 단점을 갖고 있다. 4.물이나 알코올과의 반응
적어도 어떤 구현예에서, 금속이 풍부한 규산염과 인산염을 석출해내기 위해 실라놀이나 인산염의 일부를 물로 대체한다. CVD반응기에서 수증기는 기질의 막보다는 증기 입구의 금속 전구체들과 매우 빠르게 반응하는 경향이 있다. ALD반응기에서는 그러한 이른(premature)반응이 일어나지 않는데 이는 반응물이 반응기 안에 있고, 입구에서의 반응이 저지되며, 반응이 기질 표면상에서 한정되어 일어나기 때문이다. 그러나 물은 표면에 강하게 흡수되는 경향이 있어서, 반응물들의 펄스 사이에서 반응기의 불순물을 제거하는데 시간이 많이 걸릴 수 있다.
이소프로판올과 tert -부탄올과 같은 알코올은 물이 갖는 이런 문제점을 감소시키는데, 알코올과 금속의 반응이 보다 느리고, 보다 휘발성 있는 알코올이 ALD반응기로부터 보다 빠르게 펌핑될 수 있기 때문이다. 이소프로판올과 tert -부탄올과 같은 알코올은 특별히 열적으로 불안정한(thermally liable) 금속 화합물이 포함되는 반응에 특히 적합하다. 경우에 따라, 알킬 알코올을 분해하여 막으로부터 그 탄소 함량을 제거하기 위하여 기질의 온도를 높인다. 열적으로 불안정한 (thermally liable) 금속 화합물은 보다 높은 기질 온도에서 자가 분해를 할 수 있어서 자기 제한(self-limiting) ALD반응은 일어날 수 없다.
아렌 수화물은 일반적인 알킬 알코올보다 낮은 온도에서 분해되는 알코올의 일종으로서 열적으로 불안정한 금속 화합물이더라도 자가 분해를 피할 수 있는 충분히 낮은 온도에서 탄소가 없는 금속 산화물을 만드는데 사용될 수 있다. 예를 들면 벤젠 수화물은 벤젠 부산물의 방향족의 안정성 때문에 쉽게 물과 벤젠으로 분해된다.
Figure 112007074934974-pat00017
(13)
유용한 다른 아렌 수화물의 예는 다양한 톨루엔 수화물의 이성질체와 같은 알킬 치환 벤젠 수화물들이다.
Figure 112007074934974-pat00018
다른 유용한 알코올은 하기의 두개의 나프탈렌 수화물 및 메틸 나프탈렌 수화물과 같은 알킬 치환 나프탈렌 수화물을 포함한다:
Figure 112007074934974-pat00019
따라서 아렌 알코올은 완화된(moderate) 석출 환경에서 금속 화합물의 반응에 사용될 수 있을 것이다. 특히, 여기 기술된 규소와 인산 전구체와 결합되어 사용될 때, 금속 산화물의 형성 또는 금속 규산염 또는 금속 인산염의 형성에 사용될 수 있다.
적어도 본 발명의 어떤 구현예에서, 금속 아마이드와 물의 반응에서 금속 산화물이 획득된다. 적합한 금속 아마이드는 표 1에 기술된 모든 것을 포함한다.따라서 예를 들자면, 하프늄 산화물은 수증기와 테트라키스(디메틸아미도)하프늄을 사용한 ALD에 의해 제조되었다. 이 ALD반응은 대단히 효율적이고 반응기안으로 운반되는 거의 모든 전구체가 기질위와 반응기의 노출된 벽면에 막으로 석출된다. 또한 반응은 매우 빠르고, 50랭귀뮈어(Langmuirs)미만의 증기 유출(1Langmuir는1초에 10- 6토르의 부분압으로 전구체가 표면으로 운반되는 유출을 의미한다.)에서 완결된다. 반응의 부산물은 디메틸아민 증기를 구성하는 것으로 밝혀졌는데, 이것은 석출된 하프늄 산화물 막을 부식시키지 않는다. 매우 놀랍게도, 테트라키스 하프늄 전구체의 사용은 하프늄 산화물의 매우 균일한 막의 ALD와 매우 높은 종횡비 (aspect ratio(over40))를 갖는 구멍에서조차 성공했다. 하프늄 산화물, HfCl4 및 Hf(O-tert-Bu)4의 ALD의 선행기술에서 흔히 사용된 반응물들은 그렇게 높은 종횡비를 갖는 구멍에서 HfO2의 균일한 석출을 성공시키지 못해왔다. 5.반응물의 증발과 생성물의 석출
액체 전구체의 증기는 기포기에서의 가열, 박막 증발기를 포함한 전통적인 방법에 의해 형성될 수도 있고, 약100℃에서 25℃로 예열된 운반 기체에 분무되는 방법으로 형성될 수도 있을 것이다. 고체 전구체는 데칸, 도데칸, 테트라데칸, 톨루엔, 크실렌, 메시틸렌, 에테르, 에스테르, 케톤, 염소화된 탄화수소와 같은 탄화수소를 포함하는 유기 용매에 용해되어 질 수 있다. 액체 전구체의 용액은 일반적으로 순수한 용액보다 낮은 점성을 갖기 때문에 어떠한 경우 순수한 액체보다는 용액으로 분무하거나 증발시키는 것이 보다 바람직할 수 있다. 액체나 용액은 또한 박막 증발기나 가열된 부분(heated zone)에 직접 주입함으로써 증발될 수 있다.박막 증발기는 Artisan Industries(Waltham,Massachusetts)에 의해 만들어진 것이다.
액체의 직접적 증발을 위한 상업적 장치는 MKS Instruments(안도버, 메사추세츠), ATMⅠ, Inc.(덴버리, 코네티컷), Novellus Systems,Inc (새너제이, 캘리포니아), COVA Technologies(콜로라도 스프링스. 씨오)에서 만든 것이다. 초음파 분 무기는 Sonotek Corporation(밀톤, 뉴욕)와 Cetac Technologies(오마하, 네브라스카)에 의해 만들어진 것이다.
본 발명의 규소 전구체는 표 1에 나타난 금속 또는 메탈로이드 아마이드와 반응하여 금속 규산염이나 메탈로이드 규산염을 형성할 수도 있다. 본 발명의 규소 전구체는 표 2에 나타난 유기금속 화합물과 반응하여 금속 규산염을 형성할 수도 있다. 예를 들면 트리스(tert-부톡시)실라놀은 트리스(tert-부틸(트리메틸실릴)아미도)이트륨(표 1)과 반응하여 이트륨규산염을 형성한다(실시예5,6). 또한, 트리스(tert-부톡시)실라놀은 트리스(tert-부틸(트리메틸실릴)아미도)란탄과 반응하여 란타늄 규산염을 형성한다(실시예7,8). 금속 산화물은 적합한 금속과 물의 반응을 통해서도 얻어진다. 트리스(비스(트리메틸실릴)아미도)란탄은 수증기와 반응하여 보다 란타늄이 풍부한 규산염을 형성한다(실시예21). 란탄늄 산화물은 트리스(2,2,6,6,-테트라메틸 피페리디도)란타늄과 같은 규소가 없는 전구체로부터 석출될 수도 있다(실시예22).
본 발명의 인산염 전구체는 표에 나온 것과 같은 적절한 활성이 있는 금속 화합물과 반응하여 금속 인산염을 형성한다. 예를 들면 디이소프로필 포스페이트는 리튬비스(에틸디메틸실릴)아마이드(표 1)와 반응하여 실시예9,10에서 보여지는 것처럼 리튬이온 전도체인 리튬이온 인산염막을 석출하는 과정에 제공된다.
본 발명의 과정은 화학 증기 석출(CVD)기술 분야에서 잘 알려진 기본적인 장치안에서 진행될 수 있다. CVD기구는 반응물의 증기가 물질이 석출되는 가열된 기질과 접촉하도록 한다. CVD 과정은 보통의 대기압, 또는 낮은 압력을 포함한 다양 한 압력에서 진행될 수 있다. 공업용 대기압 CVD용광로는 Watkins-johnson Company(스콧밸리, 캘리포니아), BTU International(노스 빌러리카, 메사추세츠) Sierratherm(왓슨빌, 캘리포니아)에 의해 미국에서 만들어 졌다. 플로트 생산 라인(float production line)에서의 유리 코팅을 위한 공업용 대기압 CVD기구는 Pilkington North America(톨레도, 오하이오), PPG Industries(피츠버그, 펜실베니아), AFG Industries(킹스포트,테네시)에 의해 미국에서 제작된다. 저기압 CVD기구는 Applied Materials(산타클라라, 캘리포니아), Spire Corporation(베드포드, 메사추세츠), Materials Research Corporation(길버트, 아리조나), NovellusSystems,Inc(새너제이, 캘리포니아), Genus(써니베일, 캘리포니아), Mattson Technology(프리멘트, 씨에이), Emcore Corporation(써머셋, 뉴저지), NZ Applied Technologies(워번, 메사추세츠), COVA Technologies(콜로라도 스프링스,씨오), CVC Corporation(프리몬트, 캘리포니아)에 의해 제작된다.
원자층 석출(ALD)에 적용되는 기구는 Genus(써니베일, 캘리포니아), ASM Microchemistry(에스푸, 핀란드)로부터 사용가능하다.
본 발명의 방법은 또한 원자층(ALD)을 이용하여 수행될 수 있다. ALD는 측정된 양의 제1 반응물 성분의 층석출을 위해 기질을 포함하고 있는 석출기(chamber)에 유입시킨다. 제1 반응물의 박막은 기질위에 석출되어 진다. 소정의 시간이 지난 후, 측정된 양이 제2 반응물 성분이 석출기에 유입되는데, 그곳에서 이미 석출된 제1 반응물 성분의 층위에 석출되고 그 층과 상호작용한다. 제1, 제2 반응물 성분의 교호층을 석출기에 도입하고, 기질상에서 석출되어 조절된 구성과 두께의 층을 형성한다. 석출의 교대는 수초에서 수분이 걸릴 수 있는데, 막 유입된 성분이 기질위에서 충분히 반응하고 기질위의 빈 공간(headspace)로부터 과량의 증기가 제거되기에 적합한 시간이 제공될 수 있도록 채택된 것이다. 표면 반응은 자기 제한적이므로 예상 가능한 조합의 재생 가능한 층이 석출된다는 것이 결정되었다. 두 반응물 성분 이상의 사용은 본 발명의 범위안에 있다.
최소한 본 발명의 어떤 구현예에서, 자동 연료 주입기(Ford model CM-
4722F13Z-9F593-A)는 전구체 용액의 펄스를 질소 운반 기체로 전달하기 위해 사용된다. 용액은 약50 밀리세컨즈 동안 밸브가 열릴때마다 운반되어 진다.
본 발명의 다른 구현예에서, 가스 크로마토그래피로 시료를 주입하는데 통상 사용되는 6-포트 샘플링 밸브(Valco modelEP4C6WEPH,Valco Instrument,Houston,TX)는 적당한 운반 기체로 용액의 펄스를 전달하는데 사용되어 질 것이다. 밸브가 열릴 때마다 용액은 튜브안으로 흐르는데, 그 안에서 용액은 튜브 밖을 흐르는 뜨거운 기름으로부터 나온 열에 의해 증발되어 진다. 운반 기체는 증기를 튜브로부터 ALD반응 튜브로 이동시킨다,
최소한 어떤 구현예에서 층은 도 1에 도시된 기구를 사용한 ALD에 의해 석출되어 진다. 어떤 구현예에 따르면 측정된 양의 반응물 증기30은 한 쌍의 공기 작용에 의한 칸막이 밸브 50과 70에 의해 가열된 석출기110에 유입되어 진다(Titan Ⅱ모델은 Parker-Hannifin,Richmond CA에 의해 제작). 밸브는 부피V를 갖는 반응기60에 연결되어 있는데, 이 조합은 통제된 온도T2를 갖는 오븐80안에 위치한다. 전 구체 저장고10 안에서의 반응물의 증기압은 이를 둘러싸고 있는 오븐40에 의해 결정된 온도T1에서 고체나 액체 반응물20의 평형 증기압Peq와 동일하다. 온도T1은 전구체 압력Peq가 석출기의 압력Pdep보다 높아질 수 있도록 충분히 높게 선택되어야 한다. 온도T2는 온도T1보다 높게 선택되어지기 때문에 밸브50,70 또는 반응기 60안에서 오로지 증기만 존재하고 응결된 상은 존재하지 않는다. 기체 상태의 반응물의 경우, 전구체 기체 실린더10내의 압력으로부터 압력을 감소시키는 압력 조절기에 의해 그 압력이 결정된다.
유사한 조정이 석출기110으로 유입되는 각각의 반응물 전구체에 제공된다.따라서 전구체 저장고 11은 주변 오븐에 의해 유지된 온도T1에서 증기압31을 갖는 고체 또는 액체 반응물21을 담고 있다. 밸브 51과 71은 측정된 부피V를 갖는 반응기61에 연결되어 있고 이 조합은 온도T2인 오븐81안에 존재한다.
운반 기체는 석출기로 들어가는 반응물의 흐름을 가속화하고, 반응 부산물이나 반응하지 않은 증기를 제거하기 위해 통제된 속도로 주입구90으로 흐른다. 가열로(furnace)120에 의해 가열되고 하나 또는 그 이상의 기질130을 담고 있는 석출기110에 들어갈 때, 운반 기체의 전구체 농도를 보다 균일하게 하기 위해서 고정 교반기가 반응기로 들어가는 튜브100에 연결되어 있다. 반응 부산물과 반응하지 않은 증기들은 진공 펌프150을 통과하기 전에 트랩140에 의해 제거되어 진다. 운반 기체는 배출기160으로 나간다.
작동 과정에 있어서, 밸브70은 열리고 그 결과 반응기60의 내부 압력이 석출기110의 내부압력과 근접할 정도로 감소된다. 전구체 저장고10에서 반응기60으로 전구체 증기가 이동하도록 하기 위해 밸브70은 닫히고 밸브50은 열린다. 그리고 나서 밸브50이 닫히고 반응기60의 부피V는 압력Peq에서 전구체 증기를 포함하게 된다. 끝으로, 밸브70이 열리면서 반응기60에 포함된 거의 모든 전구체 증기가 석출기로 들어간게 한다. 이러한 사이클에 의해 운반된 전구체의 몰수, n은 증기가 이상 기체 법칙을 따른다고 가정할 때 예측할 수 있다:
n=(Peq- Pdep)(V/RT1) (14)
상기 R은 기체 상수이다. 이 공식은 또한 튜브90으로부터 나온 운반기체가 짧은 시간동안 전구체 증기를 방출하는 밸브70을 통해 반응기60으로 들어가지는 않는다는 것을 가정한다. 만약 전구체 증기를 포함한 운반 기체의 혼합이 밸브70이 열려 있는 동안 일어나면 보다 많은 양의 전구체 증기가 운반될 것이고, 만약 모든 반응기60의 잔여 전구체 증기가 운반기체로 옮겨 간다면 최대값이 될 것이다.
n=(Peq)(V/RT1) (15)
상대적으로 높은 증기압을 갖는 전구체에 대해서는(Peq 〉〉Pdep), 전구체 양에 대한 두 측정치의 차이가 없다.
요구되는 전구체20의 양이 반응기로 전달되기 전까지, 필요하다면 전구체20의 운반 사이클은 반복되어 진다. 보통,ALD 과정에서 이 사이클에 의해 전달되는 전구체20의 양은 표면 반응을 완결("포화"라고도 함)시킬 만큼 충분히 많이 선택되 어 진다.
제2 전구체21로부터 나온 다음 단계의 증기31은, 제1 전구체20을 위한기구들과 유사하게 순서 매겨진 요소들을 갖는 비슷한 기구에 의해 측정되고 운반될 것이다.
Pdep보다 낮은 Peq를 갖는 전구체의 경우, 이 방법으로는 어떤 전구체도 석출기로 운반할 수 없을 것이다. 온도T1을 올림으로써 증기압은 증가될 수 있으나 고온의 경우 전구체의 열분해를 일으킬 수 있다. 낮은 증기압을 갖고 열에 민감한 전구체의 경우, 증기는 도 3에 나타난 기구를 이용하여 운반 되어질 것이다. 반응기220은 먼저 압력 조절기로부터 튜브240과 밸브220을 통해 운반된 운반 기체로 채워진다. 이후에 밸브220은 닫히고 밸브210이 열리면서, 운반 기체는 전구체 저장고220의 압력이 Ptot가 되도록 충전시킨다. 그러면 저장고10의 증기 공간 30(vapor space)의 전구체의 몰분율은 Peq/Ptot이다. 만약Ptot가 석출기의 압력Pdep보다 큰 압력으로 고정된다면 한번에 운반되는 몰수는 다음 방정식에 의해 예측된다.
n=(Peq/Ptot)(Ptot- Pdep)(V/RT1) (16)
상기 V는 반응기10의 증기 공간 30의 부피이다. 이 양은 밸브230을 여는 것으로써 운반된다. 만약 튜브90에서 나온 기체가 밸브230이 열려 있는 시간 동안 증기 공간 30으로 들어간다면 이 예측치보다 많은 양이 운반될 수가 있을 것이다. 부피V를 충분히 확장함으로써 표면 반응을 충분히 일어날 수 있도록 하는 양의 전 구체가 운반될 수 있을 것이다. 만약 증기압Peq가 너무 낮아서 주어진 공간V가 비현실적으로 크다면 다른 반응물이 운반되기 이전에 공간V로부터 추가적인 양이 운반될 수도 있을 것이다.
비슷한 기구가 본 시스템의 각각의 전구체 반응물에도 적용된다. 따라서 반응기221은 먼저 압력 조절기로부터 튜브241과 밸브201을 통해 전달된 운반 기체에 의해 채워진다. 그런 다음 밸브201은 닫히고 밸브211이 열리면서 운반 기체가 전구체 저장고11의 압력이 Ptot가 되도록 채운다. 밸브231을 여는 것으로써 이 양이 운반된다. 튜브91로부터 나온 운반 기체는 측정된 양의 석출기로의 운반을 촉진한다. 일반적으로 등온의 석출기에서 물질은 기질과 반응기 내부의 벽 등, 전구체 증기에 노출된 모든 표면에서 석출된다. 따라서 기질과 노출된 반응기 벽면의 단위면적당 사용되는 전구체의 몰양을 조사하는 것이 적합하다.
여기에 나타난 액체나 용액은 분사 코팅, 스핀 코팅, 혼합 금속 산화물의 졸-겔 형성과 같은 다른 종류의 석출 과정에서도 금속을 포함하는 전구체로 사용되어 질 수 있을 것이다. 이러한 전구체의 높은 용해도와 혼화성은 필요한 용액 형성에 있어 한 장점이 된다.
이들 예에 포함된 아마이드는 미국 수송부(USDT)에서 발표한 방법에 따르면 불연성인 것으로 나타난다. 불연성의 다공성 고체위에 약 5밀리리터의 물질을 떨어뜨리고 자발적인 연소 반응이 일어나는지를 관찰하는 실험이 필요하다. 와트만 No.3거름 종이에 5밀리리터의 액체나 용액을 떨어뜨리고 어떤 불꽃이나 숯같은 것 이 발생하는 지를 관찰하는 실험도 포함된다.
일반적으로 전구체는 주위 공기중의 습기와 반응하므로 순수한 질소 기체와 같은 불활성의 건조한 기체 속에 보관되어야 한다.
[실시예]
본 발명은, 청구 범위에 기술된 범위를 제한하지 않고 단지 설명을 위한 목적으로 첨부된 이하의 예들을 참고하여 이해될 수 있을 것이다.
실시예1 : 지르코늄 규산염의 CVD
메시틸렌에 트리스(tert-부틸)실라놀(1%w)을 혼합한 용액이 질소 기체가 0.4L/min으로 통과하는 1/16"O.D.지점을 향해 6ml/hour로 펌핑된다. 그 결과물인 흰 연기가 250℃로 가열된 튜브로 흐른다. 메시틸렌에 테트라키스(에틸메틸아미도)지르코늄(1%w)을 혼합한 용액이 질소 기체가 0.4L/min으로 통과하는 1/16"O.D.지점으로 12ml/hour속도로 펌핑된다. 그 결과물인 흰 연기가 위와 같은 튜브로 흐른다.기체압력은 액체 질소 트랩 옆에 위치한 유리관 배출구에 연결된 진공 펌프를 이용하여 5토르(Torr)로 유지시킨다. 튜브안의 규소 기질과 유리질의 탄소는, 튜브 길이에 따라 두께가 다양한 지르코늄 규산염의 막으로 코팅되어 진다. 러더포드 후광 산란 분광기(Rutherford backscattering spectroscopy)에 의한 막 분석은 유리질 탄소에 ZrSi2O6막이 석출되었음을 보여 준다. 막에서 탄소와 질소성분은 검출되지 않는다. 규소 위에 석출된 막의 굴절율은 타원편광반사법에 의하면 약1.6이 되는 것으로 나타난다. 실시예2 : 지르코늄 규산염의 ALD
전구체가 연속적인 대신에 5초 간격의 변형된 펄스로 주입되는 것을 제외하고는 실시예1이 반복되어 진다. 비슷한 구성의 막( ZrSi2O6)이 가열된 전체 길이를 따라 균일한 두께로 석출된다. 그 두께는 한 사이클당 약 0.3nm이다.
실시예3 : 하프늄 규산염의 CVD
테트라키스(에틸메틸아미도)지르코늄 대신에 테트라키스(에틸메틸아미도)하프늄이 사용되는 것을 제외하고는 실시예1이 반복된다. 막은 HfSi2O6에 근접하는 구조인 것으로 밝혀졌다. 막에서 어떤 탄소나 질소는 검출되지 않는다. 규소위에 석출된 막의 굴절율은 타원편광 반사법에 의하면 약1.6이 되는 것으로 나타난다.
실시예4 : 하프늄 규산염의 ARD
전구체가 연속적인 대신에 5초 간격의 변형된 펄스로 주입되는 것을 제외하고는 실시예3이 반복되어 진다. 비슷한 구성의 막(HfSi2O6)이 가열된 전체 길이를 따라 균일한 두께로 석출된다. 그 두께는 한 사이클당 약 0.3nm이다.
실시예5 : 이트륨 규산염의 CVD
테트라키스(에틸메틸아미도)지르코늄 대신에 트리스(tert-부틸(트리메틸실릴)아미도)이트륨이 사용되는 것을 제외하고는 실시예1이 반복된다. 막은 Y2Si2O7에 근접하는 구조인 것으로 밝혀졌다. 막에서 어떤 탄소나 질소는 검출되지 않는 다. 규소 위에 석출된 막의 굴절율은 타원편광 반사법에 의하면 약1.6이 되는 것으로 나타난다.
실시예6 : 이트륨 규산염의 ARD
전구체가 연속적인 대신에 5초 간격의 변형된 펄스로 주입되는 것을 제외하고는 실시예5가 반복되어 진다. 한 구성의 막(Y2Si2O7)이 가열된 전체 길이를 따라 균일한 두께로 석출된다. 두께는 한 사이클당 약 0.3nm이다.
실시예7 : 란탄늄 규산염의 CVD
테트라키스(에틸메틸아미도)지르코늄 대신에 트리스(비스(트리메틸실릴)아미도)란탄늄이 사용되는 것을 제외하고는 실시예1이 반복된다. 약 0.9의 La:Si비율을 갖는 막이 기질 온도 250℃에서 유리질의 탄소 기질위에 형성된다. 막에서 어떤 탄소나 질소성분은 검출되지 않는다.
실시예8 : 란탄늄 규산염의 ARD
전구체가 연속적인 대신에 5초 간격의 변형된 펄스로 주입되는 것을 제외하고는 실시예7이 반복되어 진다. 비슷한 구성의 막이 가열된 전체 길이를 따라 균일한 두께로 석출된다.
실시예9 : 리튬 인산염의 CVD
액체 리튬비스(에틸디메틸실릴)아마이드(1%w)이 메시틸렌과 혼합되어 진다. 그 결과물인 용액은, 질소 기체가 250℃로 가열된 관로의 석출 위치를 향해 0.30L/min속도로 흐르는 지점으로 12ml/hour로 펌핑됨으로써 분무되어 진다. 동시 에 1%의 디이소프로필 포스페이트의 메시틸렌 용액은 같은 관로(tube furnace)로 0.30 L/min속도로 흐르는 다른 질소 운반 기체로 분무된다. 기체 압력은 액체 질소 트랩 옆에 위치한 유리관 배출구에 연결된 진공 펌프를 이용하여 5토르(Torr)로 유지시킨다. 튜브 안 뿐만 아니라 유리 튜브 바닥의 규소 기질 위에도 박막이 석출된다. 그 두께 분석표는 관로(tube furnace)로 들어가는 기체의 입구 근처에서 최고점을 나타낸다. X-ray광전자 분광기를 이용한 분석에 의하면 막은 리튬, 인산 및 산소를 포함한다.
실시예10 : 리튬 인산염의 ALD
전구체가 연속적인 대신에 5초 간격의 변형된 펄스로 주입되는 것을 제외하고는 실시예9가 반복되어 진다. 석출 지역 전체를 통해 거의 일정한 두께라는 것을 제외하면 비슷한 리튬 인산염 막이 석출된다.
비교예1 : 트리스( tert- 부톡시)실라놀만을 이용한 제한 석출
단지 규소 전구체만을 사용하고 지르코늄을 사용하지 않는다는 것을 제외하고는 실시예1이 반복된다. 어떤 막도 석출되지 않는다.
비교예2 : 테트라키스(에틸메틸아미도)지르코늄만을 이용한 제한 석출
단지 지르코늄 전구체만을 사용하고 규소 전구체를 사용하지 않는다는 것을 제외하면 실시예1이 반복된다. 어떤 막도 석출되지 않는다.
비교예3 : 테트라키스(에틸메틸아미도)하프늄만을 이용한 제한 석출
단지 하프늄 전구체만을 사용하고 규소 전구체를 사용하지 않는다는 것을 제외하면 실시예3이 반복된다. 어떤 막도 석출되지 않는다.
비교예4 : 트리스( tert - 부틸(트리메틸실릴)아미도 )이트륨만을 이용한 제한 석출
단지 이트륨 전구체만을 사용하고 규소 전구체를 사용하지 않는다는 것을 제외하면 실시예5가 반복된다. 어떤 막도 석출되지 않는다.
비교예5 : 트리스( 비스(트리메틸실릴)아미도 )란탄늄만을 이용한 제한 석출
단지 란탄늄 전구체만을 사용하고 규소 전구체를 사용하지 않는다는 것을 제외하면 실시예7이 반복된다. 어떤 막도 석출되지 않는다.
비교예6 : 디이소프로필포스페이트만을 이용한 제한 석출
단지 인산 전구체만을 사용하고 리튬 전구체를 사용하지 않는다는 것을 제외하면 실시예9가 반복된다. 어떤 막도 석출되지 않는다.
비교예7 : 리튬비스(에틸메틸실릴)아마이드만을 이용한 제한 석출
*단지 리튬 전구체만을 사용하고 인산 전구체를 사용하지 않는다는 것을 제외하면 실시예9가 반복된다. 어떤 막도 석출되지 않는다.
실시예11 : 금속규산연과 인산염의 ALD 형성
전구체 용액의 펄스를 질소 운반 기체로 운반하는 자동 연료 주입기(Ford model F13Z-9F593-A)를 사용하여 실시예2, 4, 6, 8, 10의 ALD를 반복한다. 약 50ms동안 밸브가 열릴 때마다 0.05m의 용액이 운반되어 진다. 비슷한 결과들이 얻어진다.
테트라데칸 용액의 펄스를 질소기체로 운반하기 위해, 보통 기체 크로마토그 래피에서 시료를 주입하는데 사용되는 6-port 샘플링 밸브를 사용하여 예2, 4, 6, 8, 10의 ALD를 반복한다. 50㎕의 부피를 갖는 외부시료 루프들이 사용되었다. 밸브가 열릴때마다, 튜브 밖을 흐르는 뜨거운 기름으로부터 나온 열에 의해 용액이 증발되는 곳인 1/16"O.D., 0.040"I.D.니켈 튜브에, 약 50㎕의 용액이 흘러 들어간다. 질소 운반 기체는 증기를 작은 튜브로부터 ALD반응 튜브로 이동시킨다. 비슷한 결과들이 얻어진다.
다른 일련의 예에서는, ALD실험을 위해 상온에서 액체인 전구체들의 펄스가, 작은(0.5㎕) 내부 샘플링 루프(Valco model EH2CI4WE.5PH,Valco
Instruments,Houston,TX))를 갖는 4-port 샘플링 밸브를 사용하여 예2,4,6,8,10와 유사하게 운반되어 진다. 밸브가 열릴때마다, 튜브 밖을 흐르는 뜨거운 기름으로부터 나온 열에 의해 용액이 증발되는 곳인 1/16"O.D.,0.040"I.D.니켈 튜브에, 약 50㎕의 용액이 흘러 들어간다. 질소 운반 기체는 증기를 작은 튜브로부터 ALD반응 튜브로 이동시킨다. 비슷한 결과들이 얻어진다.
실시예12 : 하프늄 산화물의 ALD
도 1의 기구를 이용하여 하프늄 산화물 층이 석출된다. 0.5×10-9moles/cm2의테트라키스(디메틸아미도)하프늄 증기와 4 ×10-9moles/cm2의 수증기가 250℃의 석출기로 5초마다 교대로 주입되어 진다. 또한 석출기에는 0.15토르의 압력이 유지되도록, 충분한 질소 기체가 연속적으로 흐르도록 한다. 석출기는 기체가 흐르는 방향에 대해 수직으로 2.3cm2의 단면적을 갖는다. 석출기의 배출구는 약0.012초 만에 석출기의 부피와 동일한 부피를 펌핑해낼 수 있는 충분한 용량의 진공 펌프에 연결되어 있다.
이러한 반응 환경의 결과, 투명하고 절연성의 하프늄 산화물 막이 석출기와 그 내부 벽에 있는 기질위에 석출되어 진다. 그 구조는 유리질의 탄소 기질위의 막에 대한 러더포드 후방산란 분광기(RBS)의 분석에 의해 HfO2인 것으로 결정되었다. 어떠한 탄소와 질소 성분도 검출되지 않는다. 타원편광 반사 방법에 의하면 그 두께는 0.1nm/cycle이고 굴절률은 2.03이다. RBS결과와 타원편광 반사 방법의 결과를 조합하면 약 9의 밀도가 얻어진다. 두께는 모든 석출 영역 전체에 대해 오차 범위 1%이내에서 일정하다. small-angle X-ray반사계수 측정은 두께를 확인시켜 주었고, 밀도를 9.23g/cm2으로 알려 주었다. 또한 X-ray반사계수는 막이 매우 부드러워서 43nm의 두께의 막에 대해 0.4nm의 조면도를 갖는다는 것을 보여준다. 전자 현미경의 스캐닝은 150℃에서 생성된 막이 250℃의 경우보다 부드러움을 알 수 있다.
더 많은 양의 반응물로 실시예12를 반복하면, 막의 두께는 증가하지 않고,그 함량만 변한다. 이러한 결과는 표면 반응이 자기 제한적임(self-limiting)을 의미한다. 이 결론은 석출기110 안에 석영 결정 마이크로 밸런스를 넣음으로써 확인되는데, 반응물의 양이 증가할 때, 초기에는 석출된 양이 증가하다가 나중에는 그 상승이 멈춘다는 것이다. 이러한 자기 제한적인 표면 반응의 결과에 따르면 직경에 대한 길이의 비가 50인 구멍안에서도 균일한 막이 형성될 수 있다. 이러한 구멍안 의 두께의 균일성은, 구멍이 없는 평평한 표면에서 포화 반응이 일어나는데 필요한 최소한의 양의 10배까지 반응물의 양을 증가시킴으로써, 증진시킬 수 있다. 진공 펌프의 용량을 감소시키는 것 또한 석출기를 통과하는 증기의 선형계수를 감소시킴으로써 단계적인 피복률을 증진시킬 수 있고, 그것 때문에 증기가 구멍에까지 확산하는데 걸리는 시간, 즉, 유량(flux)이 증가한다. 도 3은 하프늄 산화물로 코팅된 구멍들의 현미경 사진을 스캐닝한 것이데, 고도로 균일한 두께를 보여준다. 하프늄 산화물층은, 규소안의 어두운 배경으로 나타나는 좁은 수직의 구멍 각각에 대해, 밝은 테두리를 이루고 있다. 현미경 사진의 꼭대기에는, 하프늄 산화물의 석출에 앞서 구멍이 부식되기 시작하는 부분인 규소의 윗면(upper surface)이 나타난다.
기질 온도100℃- 300℃의 범위에서 실시예12를 반복하면 비슷한 결과가 나온다. 300℃이상의 온도에서 테트라키스(디메틸아미도)하프늄의 양을 증가시킴에 따라 두께가 증가한다. 이것은 표면 반응이, 300℃이상의 온도에서는 테트라키스(디메틸아미도)하프늄의 열분해때문에, 자기 제한이 아니라는 것을 보여 준다.
실시예13 : 지르코늄 산화물의 ALD
테트라키스(디메틸아미도)하프늄 대신에 테트라키스(디메틸아미도)지르코늄을 이용하여 실시예12를 반복한다. 비슷한 성질을 갖는 지르코늄 산화물의 막이 석출된다.
실시예14 : 하프늄 산화물의 ALD
수증기 대신에 tert-부탄올 증기를 이용하여 실시예12를 반복한다. 비슷한 성질을 갖는 하프늄 산화물의 막이 석출된다.
시예15 : 탄탈륨 산화물의 ALD
테트라키스(디메틸아미도)하프늄 증기 대신에 에틸이미도트리스(디에틸아미도)탄탈륨 증기를 이용하여 실시예12를 반복한다. Ta2O5의 투명한 막이 석출된다. 이들은 굴절률2.2를 갖고, 한 사이클마다 약0.06의 두께를 갖는다.
실시예16 : 알루미늄 인산염의 ALD
3×10-9moles/cm2양의 트리메틸알루미늄 증기와 디이소프로필포스페이트를 기질 온도 400℃에서 교대로 이용하여 ALD를 실시한다. 구조식Al2P4O13을 갖는 투명한 알루미늄 포스페이트 막이 사이클마다 0.1nm의 속도로 석출된다. 이들은 약 1.5의 굴절율을 갖는다.
실시예17 : 알루미늄 규산염의 ALD
기질 온도 300℃에서 3×10-9moles/cm2양의 트리메틸알루미늄 증기와 1.2×10-8moles/cm2양의 트리스(tert-butoxy)실라놀 증기를 교대로 이용하여 ALD를 실시한다. 구조식Al2Si8O19을 갖는 투명한 알루미늄 규산염 막이 사이클마다 1nm의 놀랄만큼 빠른 속도로 석출된다. 이들은 약 1.48의 굴절율을 갖는다. 막의 표면은 매우 매끄럽다; 원자 현미경은 150nm의 알루미늄 규산염 두께에 대해 0.8nm이하의 제곱 평균 조면도를 갖는다는 사실을 보여 준다. 규소 기질상의 2㎛두께의 막에서 장력은 약0.2giga-Pascal로 측정되었다. 단일 결정 규소위에서 석출된 막은 보다 작은 장력인 0.03giga-Pascal을 나타내었다. 6미크론 두께의 막은 장력때문에 금(crack)과 갈라짐(delamination)을 보였다.
이러한 장력은 플라즈마 처리에 의해 감소되거나 제거되거나 혹은 압축력으로 전환될 수 조차 있다. 박막층(5-10nm)이 석출된 후에 석출을 일시적으로 중단시키고, 방사성 플라즈마(O2+argon과 같은 저압의 기체)를 처리하고, 플라즈마 파워(power)를 중단한 후, 석출을 재개한다. 석출과 플라즈마 처리라는 사이클의 반복을 통해 특별한 용도에 필요한 요구사항, 특히 두꺼운 막을 필요로 하는 경우, 이에 필요한 장력이나 압축력을 갖는 두꺼운 층을 만들어낼 수 있다.
실시예18 : 알루미늄 규산염의 ALD 1
기질 온도 200℃에서 3×10-9moles/cm2양의 트리메틸알루미늄 증기와 3×10-8moles/cm2양의 트리스(tert-부톡시)실라놀 증기를 교대로 이용하여 ALD를 실시한다. 구조식Al2Si16O35을 갖는 투명한 알루미늄 규산염 막이 사이클마다 2nm의 놀랄만큼 빠른 속도로 석출된다. 이들은 약 1.47의 굴절율을 갖는다.
실시예19 : 알루미늄 규산염의 ALD
기질 온도 250℃에서 3×10-9moles/cm2양의 트리스(디메틸아미노)알루미늄 증기와 3×10-8moles/cm2양의 트리스(tert-부톡시)실라놀 증기를 교대로 이용하여 ALD를 실시한다. 이 알루미늄 규산염 막은 0.1nm/cycle로 형성되고, 약 1.46의 굴 절율을 갖는다.
실시예20 : 알루미늄 규산염의 ALD
트리스(tert-부톡시)실라놀 증기 대신에 트리스(tert-펜틸옥시)실라놀 증기를 사용하여 실시예19를 반복한다. 유사한 결과물이 얻어진다.
실시예21 : 알루미늄 규산염의 ALD
트리스(디메틸아미노)알루미늄 증기와 트리스(tert-부톡시)실라놀 증기 사이에 수증기를 사용하여 실시예19를 반복한다. 기체가 흐르는 방향을 따라 0.1nm/cycle의 매우 균일한 두께를 갖는 비슷한 막이 얻어진다.
실시예22 : 란탄늄 규산염의 ALD
상기한 바와 같이 도2의 기구를 이용하여 테트라키스(디메틸아미도)하프늄 증기 대신에 트리스(비스(트리메틸실릴)아미도)란탄늄 증기를 사용하여 실시예12를 반복한다. 기질 온도250℃에서 La:Si비가 약2인 투명한 산화물 막이 기질위에 형성된다. 이 막에서는 어떠한 탄소나 질소 성분도 검출되지 않는다. 굴절율은 1.7이고, 두께는 0.1nm/cycle이다.
실시예23 : 란탄늄 산화물의 ALD
도 2의 기구를 사용한 트리스(2, 2, 6, 6-테트라메틸피페리디도)란탄늄과 수증기에 교대로 ALD를 시행하면 란탄늄 산화물 막이 형성된다.
실시예24 : 이산화 규소의 ALD
테트라이소 시아나토실렌 증기와 트리스(tert-butoxy)실라놀 증기를 교대로 사용하여 ALD를 시행하여 이산화 규소 막을 형성한다. 이러한 반응성이 작은 전구 체를 위하여 보다 큰 노출 속도(fluxes of exposure(>10-7Langmuirs))가 요구된다.
본 발명이 속하는 기술분야의 당업자는 더이상의 일련의 실험없이도, 여기에 특별히 기술된 본 발명의 특정 구현예와 등가의 것을 이용할 수 있음을 인식하거나 확인할 수 있을 것이다. 즉, 그러한 등가의 것도 이하의 청구항의 범주에 포함되어 지는 것이다.
본 발명의 다양한 목적, 특징, 이점들은, 이하의 도면들과의 연관성을 고려하면, 발명의 상세한 설명에 의해 보다 잘 이해될 수 있다. 도면들은 단지 설명을 위한 것이므로 본 발명에 대해 어떠한 제한도 가하지 않는다.
도 1은 본 발명의 적어도 한 구현예의 수행에 사용되는 원자층 석출 기구의 횡단도이다.
도 2는 본 발명의 적어도 한 구현예의 수행에 사용되는 원자층 석출 기구의 횡단도이다.
도 3은 본 발명의 한 구현예를 사용하여 하프늄으로 균일하게 코팅한 실리콘 회로판(silicon wafer)의 구멍들의 전자 현미경 사진을 스캐닝한 횡단도이다.

Claims (3)

  1. 금속 산화물은 형성하는 방법으로서:
    가열된 표면을, 교대로, 디알킬아미도(dialkylamido), 디실릴아미도(disilylamido) 및 (알킬)(실릴)아미도 모이어티들[(alkyl)(silyl)amido moieties]로 이루어진 군으로부터 선택되는 아미도 그룹을 구비한 하나 이상의 금속 아마이드 증기에 노출시키고 이어서 물 또는 알코올의 증기에 노출시키는 것을 포함하는 방법.
  2. 제 1항에 있어서, 알코올은 아렌 수화물인 것을 특징으로 하는 방법.
  3. 제 1항에 있어서, 상기 하나 이상의 금속 아마이드는 표 1로부터 선택된 것을 특징으로 하는 방법.
KR1020077024096A 2000-09-28 2001-09-28 산화물, 규산염 및 인산염의 증기를 이용한 석출 KR100814980B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US23628300P 2000-09-28 2000-09-28
US60/236,283 2000-09-28
US25391700P 2000-11-29 2000-11-29
US60/253,917 2000-11-29

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020037004494A Division KR100815009B1 (ko) 2000-09-28 2001-09-28 산화물, 규산염 및 인산염의 증기를 이용한 석출

Publications (2)

Publication Number Publication Date
KR20070107813A KR20070107813A (ko) 2007-11-07
KR100814980B1 true KR100814980B1 (ko) 2008-03-18

Family

ID=26929629

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020077024096A KR100814980B1 (ko) 2000-09-28 2001-09-28 산화물, 규산염 및 인산염의 증기를 이용한 석출
KR1020037004494A KR100815009B1 (ko) 2000-09-28 2001-09-28 산화물, 규산염 및 인산염의 증기를 이용한 석출

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020037004494A KR100815009B1 (ko) 2000-09-28 2001-09-28 산화물, 규산염 및 인산염의 증기를 이용한 석출

Country Status (5)

Country Link
US (8) US6969539B2 (ko)
EP (2) EP1327010B1 (ko)
JP (2) JP5290488B2 (ko)
KR (2) KR100814980B1 (ko)
WO (1) WO2002027063A2 (ko)

Families Citing this family (487)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6300219B1 (en) 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US20070014801A1 (en) * 2001-01-24 2007-01-18 Gish Kurt C Methods of diagnosis of prostate cancer, compositions and methods of screening for modulators of prostate cancer
JP3915054B2 (ja) * 2002-03-05 2007-05-16 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
US6730163B2 (en) 2002-03-14 2004-05-04 Micron Technology, Inc. Aluminum-containing material and atomic layer deposition methods
CN100360710C (zh) 2002-03-28 2008-01-09 哈佛学院院长等 二氧化硅纳米层压材料的气相沉积
KR100468847B1 (ko) * 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
JP3627106B2 (ja) * 2002-05-27 2005-03-09 株式会社高純度化学研究所 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
CN1675402A (zh) * 2002-07-12 2005-09-28 哈佛学院院长等 氮化钨的汽相沉积
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7033560B2 (en) 2002-08-30 2006-04-25 Air Products And Chemicals, Inc. Single source mixtures of metal siloxides
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
KR100473806B1 (ko) * 2002-09-28 2005-03-10 한국전자통신연구원 유기물 박막 및 유기물 소자를 위한 대면적 유기물 기상증착 장치 및 제조 방법
DE10248980B4 (de) * 2002-10-21 2004-11-11 Infineon Technologies Ag Verfahren zur Herstellung strukturierter Schichten aus Siliziumdioxid auf senkrecht oder geneigt zu einer Substratoberfläche angeordneten Prozessflächen
JP4009550B2 (ja) * 2003-03-27 2007-11-14 エルピーダメモリ株式会社 金属酸化膜の形成方法
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US7141500B2 (en) * 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
US6835664B1 (en) 2003-06-26 2004-12-28 Micron Technology, Inc. Methods of forming trenched isolation regions
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
JP4312006B2 (ja) * 2003-08-25 2009-08-12 株式会社Adeka 希土類金属錯体、薄膜形成用原料及び薄膜の製造方法
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7312163B2 (en) 2003-09-24 2007-12-25 Micron Technology, Inc. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7618681B2 (en) 2003-10-28 2009-11-17 Asm International N.V. Process for producing bismuth-containing oxide films
WO2009105668A1 (en) * 2008-02-20 2009-08-27 President And Fellows Of Harvard College Bicyclic guanidines, metal complexes thereof and their use in vapor deposition
US7203001B2 (en) * 2003-12-19 2007-04-10 Nanoopto Corporation Optical retarders and related devices and systems
KR100519800B1 (ko) * 2004-01-13 2005-10-10 삼성전자주식회사 란타늄 산화막의 제조방법 및 이를 이용한 모스 전계효과트랜지스터 및 캐패시터의 제조방법
US20050181128A1 (en) * 2004-02-12 2005-08-18 Nikolov Anguel N. Films for optical use and methods of making such films
KR20050091488A (ko) * 2004-03-12 2005-09-15 주식회사 유피케미칼 세라믹 또는 금속박막 증착용 전구체 화합물 및 그제조방법
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
DE102004015174A1 (de) * 2004-03-27 2005-10-13 Aixtron Ag Verfahren zum Abscheiden von insbesondere Metalloxiden mittels nicht kontinuierlicher Precursorinjektion
US20050275944A1 (en) 2004-06-11 2005-12-15 Wang Jian J Optical films and methods of making the same
US7670758B2 (en) * 2004-04-15 2010-03-02 Api Nanofabrication And Research Corporation Optical films and methods of making the same
JP2005322668A (ja) * 2004-05-06 2005-11-17 Renesas Technology Corp 成膜装置および成膜方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
KR100652378B1 (ko) * 2004-09-08 2006-12-01 삼성전자주식회사 안티몬 프리커서 및 이를 이용한 상변화 메모리 소자의 제조방법
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7064227B1 (en) * 2004-12-09 2006-06-20 Air Products And Chemicals, Inc. Precursors for silica or metal silicate films
JP2006169556A (ja) * 2004-12-13 2006-06-29 Horiba Ltd 金属酸化物薄膜の成膜方法
US7619816B2 (en) * 2004-12-15 2009-11-17 Api Nanofabrication And Research Corp. Structures for polarization and beam control
US20060127830A1 (en) * 2004-12-15 2006-06-15 Xuegong Deng Structures for polarization and beam control
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
KR100640620B1 (ko) * 2004-12-27 2006-11-02 삼성전자주식회사 트윈비트 셀 구조의 nor형 플래쉬 메모리 소자 및 그제조 방법
KR100618879B1 (ko) * 2004-12-27 2006-09-01 삼성전자주식회사 게르마늄 전구체, 이를 이용하여 형성된 gst 박막,상기 박막의 제조 방법 및 상변화 메모리 소자
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
EP1676934A1 (en) * 2004-12-30 2006-07-05 STMicroelectronics S.r.l. Chemical vapor deposition chamber for depositing titanium silicon nitride films for forming phase change memories
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7064224B1 (en) * 2005-02-04 2006-06-20 Air Products And Chemicals, Inc. Organometallic complexes and their use as precursors to deposit metal films
US7217634B2 (en) 2005-02-17 2007-05-15 Micron Technology, Inc. Methods of forming integrated circuitry
US7135418B1 (en) * 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
FR2883287A1 (fr) * 2005-03-16 2006-09-22 Air Liquide Precurseurs organo-metalliques et leur procede de fabrication
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060211241A1 (en) * 2005-03-21 2006-09-21 Christine Govern Protective layer for barrier coating for silicon-containing substrate and process for preparing same
US20060210800A1 (en) * 2005-03-21 2006-09-21 Irene Spitsberg Environmental barrier layer for silcon-containing substrate and process for preparing same
US7485338B2 (en) * 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US8012847B2 (en) 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US7514119B2 (en) * 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US7354651B2 (en) * 2005-06-13 2008-04-08 General Electric Company Bond coat for corrosion resistant EBC for silicon-containing substrate and processes for preparing same
US7442444B2 (en) * 2005-06-13 2008-10-28 General Electric Company Bond coat for silicon-containing substrate for EBC and processes for preparing same
US20060280954A1 (en) * 2005-06-13 2006-12-14 Irene Spitsberg Corrosion resistant sealant for outer EBL of silicon-containing substrate and processes for preparing same
US20060280955A1 (en) * 2005-06-13 2006-12-14 Irene Spitsberg Corrosion resistant sealant for EBC of silicon-containing substrate and processes for preparing same
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US7495743B2 (en) * 2005-09-30 2009-02-24 International Business Machines Corporation Immersion optical lithography system having protective optical coating
US7393779B2 (en) * 2005-10-31 2008-07-01 International Business Machines Corporation Shrinking contact apertures through LPD oxide
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20070139771A1 (en) * 2005-12-15 2007-06-21 Jian Wang Optical retarders and methods of making the same
US20070165308A1 (en) * 2005-12-15 2007-07-19 Jian Wang Optical retarders and methods of making the same
US7713584B2 (en) 2005-12-22 2010-05-11 Asm International N.V. Process for producing oxide films
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7510939B2 (en) 2006-01-31 2009-03-31 International Business Machines Corporation Microelectronic structure by selective deposition
CA2535064A1 (fr) * 2006-02-01 2007-08-01 Hydro Quebec Materiau multi-couches, procede de fabrication et utilisation comme electrode
KR20080092983A (ko) 2006-02-07 2008-10-16 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 탄소나노튜브의 기상 기능화
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
KR20160027244A (ko) 2006-03-10 2016-03-09 인티그리스, 인코포레이티드 티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물
US20070217008A1 (en) * 2006-03-17 2007-09-20 Wang Jian J Polarizer films and methods of making the same
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
CA2659099A1 (en) 2006-06-15 2007-12-21 Mars, Incorporated Use of cocoa flavanols and procyanidins to improve executive cognitive and cerebral blood flow
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8318966B2 (en) 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US7956168B2 (en) * 2006-07-06 2011-06-07 Praxair Technology, Inc. Organometallic compounds having sterically hindered amides
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
KR100780865B1 (ko) 2006-07-19 2007-11-30 삼성전자주식회사 상변화막을 포함하는 반도체 소자의 형성 방법
EP2049705A4 (en) * 2006-07-20 2014-10-29 Linde Inc IMPROVED METHODS FOR DEPOSITION OF ATOMIC LAYERS
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
JP5090451B2 (ja) * 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド 炭素含有シリコンエピタキシャル層の形成方法
CN101496150B (zh) * 2006-07-31 2012-07-18 应用材料公司 控制外延层形成期间形态的方法
US7737047B2 (en) * 2006-08-25 2010-06-15 Micron Technology, Inc. Semiconductor constructions, and methods of forming dielectric materials
US7956207B2 (en) * 2006-09-28 2011-06-07 Praxair Technology, Inc. Heteroleptic organometallic compounds
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US7776395B2 (en) * 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
KR100852234B1 (ko) * 2006-11-17 2008-08-13 삼성전자주식회사 금속 산화막의 형성 방법, 이를 이용한 게이트 구조물의제조 방법 및 커패시터의 제조 방법
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
JP4353379B2 (ja) * 2006-12-19 2009-10-28 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US20080217730A1 (en) * 2007-03-07 2008-09-11 Toshiharu Furukawa Methods of forming gas dielectric and related structure
US8624050B2 (en) * 2007-06-22 2014-01-07 General Electric Company Solution process for transparent conductive oxide coatings
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
WO2009039187A1 (en) 2007-09-17 2009-03-26 L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tellurium precursors for gst film deposition
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
WO2009059237A2 (en) * 2007-10-31 2009-05-07 Advanced Technology Materials, Inc. Novel bismuth precursors for cvd/ald of thin films
US20090117274A1 (en) * 2007-11-06 2009-05-07 Ce Ma Solution based lanthanum precursors for atomic layer deposition
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
USRE47325E1 (en) 2007-12-28 2019-03-26 Universitetet I Oslo Formation of a lithium comprising structure on a substrate by ALD
US7892968B2 (en) * 2008-01-21 2011-02-22 International Business Machines Corporation Via gouging methods and related semiconductor structure
WO2009108930A1 (en) 2008-02-29 2009-09-03 Albemarle Corporation Processes for producing transition metal amido and imido compounds
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
TWI467045B (zh) 2008-05-23 2015-01-01 Sigma Aldrich Co 高介電常數電介質薄膜與使用鈰基前驅物製造高介電常數電介質薄膜之方法
KR20110014160A (ko) 2008-05-29 2011-02-10 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 필름 증착을 위한 텔루륨 전구체
US8802194B2 (en) 2008-05-29 2014-08-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
JP5639055B2 (ja) 2008-08-01 2014-12-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード タンタル含有層を基板上に形成する方法
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
EP2406267B1 (en) 2009-03-10 2019-02-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cyclic amino compounds for low-k silylation
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
FI20095630A0 (fi) 2009-06-05 2009-06-05 Beneq Oy Suojapinnoitus, menetelmä alustan suojaamiseksi ja menetelmän käyttö
WO2011005653A1 (en) 2009-07-06 2011-01-13 Llinde Aktiengesellschaft Solution based precursors
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2013503849A (ja) 2009-09-02 2013-02-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ゲルマニウム含有フィルムの堆積のための二ハロゲン化ゲルマニウム(ii)先駆物質
WO2011057114A2 (en) * 2009-11-09 2011-05-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of making and deposition methods using hafnium- or zirconium-containing compounds
FI20096262A0 (fi) 2009-11-30 2009-11-30 Beneq Oy Menetelmä koristepinnoitteen muodostamiseksi jalokiveen, jalokiven koristepinnoite, ja sen käytöt
WO2011095849A1 (en) 2010-02-03 2011-08-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
JP2013530264A (ja) 2010-04-30 2013-07-25 バテル メモリアル インスティチュート 表面の清掃を容易にする組成物
US9373677B2 (en) 2010-07-07 2016-06-21 Entegris, Inc. Doping of ZrO2 for DRAM applications
EP2444405A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444406A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444404A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444407A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
KR101274522B1 (ko) * 2010-11-30 2013-06-13 한양대학교 산학협력단 탄소나노튜브 3차원 네트워크를 이용한 미세유체 필터 및 그 제조 방법
FR2968677A1 (fr) * 2010-12-09 2012-06-15 Commissariat Energie Atomique Procédé de fabrication de couches a base de lithium par cvd
US8946096B2 (en) * 2011-03-15 2015-02-03 Mecharonics Co. Ltd. Group IV-B organometallic compound, and method for preparing same
US9315894B2 (en) 2011-03-30 2016-04-19 Asm Ip Holding B.V. Atomic layer deposition of metal phosphates and lithium silicates
WO2013011297A1 (en) * 2011-07-15 2013-01-24 Universitetet I Oslo Method
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130022658A1 (en) * 2011-07-23 2013-01-24 Synos Technology, Inc. Depositing material with antimicrobial properties on permeable substrate using atomic layer deposition
WO2013046157A1 (en) 2011-09-27 2013-04-04 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Nickel bis diazabutadiene precursors, their synthesis, and their use for nickel containing film depositions
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013078110A1 (en) * 2011-11-21 2013-05-30 President And Fellows Of Harvard College Self-aligned deposition of silica layers for dye-sensitized solar cells
US8901706B2 (en) 2012-01-06 2014-12-02 International Business Machines Corporation Thermally stable high-K tetragonal HFO2 layer within high aspect ratio deep trenches
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10186570B2 (en) 2013-02-08 2019-01-22 Entegris, Inc. ALD processes for low leakage current and low equivalent oxide thickness BiTaO films
CN105027316B (zh) * 2013-03-14 2018-07-17 应用材料公司 薄膜封装-用于oled应用的薄超高阻挡层
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
JP2016525550A (ja) * 2013-07-26 2016-08-25 プレジデント アンド フェローズ オブ ハーバード カレッジ 環状アミンの金属アミド
US10554624B2 (en) * 2013-09-25 2020-02-04 Mcafee, Llc Proxy authentication for single sign-on
WO2015056944A1 (ko) * 2013-10-14 2015-04-23 한국화학연구원 몰리브데넘 화합물 또는 텅스텐 화합물, 이의 제조 방법 및 이를 이용하여 박막을 형성하는 방법
US9518075B2 (en) 2013-12-13 2016-12-13 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group 5 cyclopentadienyl transition metal-containing precursors for deposition of group 5 transition metal-containing films
US11286557B2 (en) * 2014-01-24 2022-03-29 Commissariat A L'energie Atomique Et Aux Engergies Alternatives Method of forming a crystalline thin film having the formula MY2 using an ALD-formed amorphous thin film having the formula MYx as a precursor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015200758A2 (en) * 2014-06-26 2015-12-30 Tufts University 3d graphene transistor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101590720B1 (ko) * 2014-08-25 2016-02-03 고려대학교 산학협력단 원자층 증착 공정을 이용한 금속 인산화물 박막의 형성 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP5913663B2 (ja) * 2015-02-19 2016-04-27 株式会社Adeka モリブデンアミド化合物
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
DE102015217809A1 (de) * 2015-09-17 2017-03-23 Robert Bosch Gmbh Vorlithiiertes, kohlenstoffbeschichtetes Anodenaktivmaterial
TWI740848B (zh) * 2015-10-16 2021-10-01 荷蘭商Asm智慧財產控股公司 實施原子層沉積以得閘極介電質
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10570514B2 (en) 2015-11-30 2020-02-25 Basf Se Process for the generation of metallic films
TWI742022B (zh) * 2015-11-30 2021-10-11 德商巴斯夫歐洲公司 生成金屬膜的方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9719167B2 (en) 2015-12-31 2017-08-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing film forming compositions, their synthesis, and use in film deposition
GB201523156D0 (en) * 2015-12-31 2016-02-17 Pilkington Group Ltd High strength glass containers
US9738971B2 (en) 2015-12-31 2017-08-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition methods to form group 8-containing films
US10011903B2 (en) 2015-12-31 2018-07-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Manganese-containing film forming compositions, their synthesis, and use in film deposition
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6548086B2 (ja) * 2016-05-17 2019-07-24 株式会社フィルテック 膜形成方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
CN110475901B (zh) * 2017-03-15 2022-08-09 佳能奥普特龙株式会社 亲水性蒸镀膜以及蒸镀材料
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102545882B1 (ko) 2017-04-11 2023-06-20 도쿄엘렉트론가부시키가이샤 역행 프로파일들을 갖는 리세스된 피처들을 보이드 없이 충전하는 방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
EP3619323A4 (en) 2017-05-05 2021-01-27 Quantum-si Incorporated SUBSTRATES WITH MODIFIED SURFACE REACTIVITY AND ANTIFOULING PROPERTIES IN BIOLOGICAL REACTIONS
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11114347B2 (en) 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10283417B1 (en) * 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
WO2020027552A1 (en) * 2018-07-30 2020-02-06 Up Chemical Co., Ltd. Aluminum compounds and methods of forming aluminum-containing film using the same
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11773488B2 (en) * 2019-05-30 2023-10-03 Uchicago Argonne, Llc Methods for low-temperature p-CVD and thermal ALD of magnesium diboride
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
TW202120432A (zh) 2019-10-08 2021-06-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於沉積含鋰層、島或簇的鋰前驅體
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20220079943A (ko) * 2019-10-11 2022-06-14 퀀텀-에스아이 인코포레이티드 증기 상에서의 표면 개질
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
CN110922897B (zh) * 2019-11-18 2024-03-08 宁波日晟新材料有限公司 一种用于硅化合物的低雾值无损伤抛光液及其制备方法
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11404465B2 (en) * 2020-06-15 2022-08-02 Taiwan Semiconductor Manufacturing Company Limited Epitaxial semiconductor liner for enhancing uniformity of a charged layer in a deep trench and methods of forming the same
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11274069B2 (en) 2020-08-13 2022-03-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Mono-substituted cyclopentadienes and metal cyclopentadienyl complexes and synthesis methods thereof
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
KR20230154238A (ko) * 2021-03-08 2023-11-07 가부시키가이샤 크리에이티브 코팅즈 제올라이트막의 제조 방법, 가스 흡착제 및 가스 흡착 장치
KR20230157481A (ko) * 2021-03-31 2023-11-16 도쿄엘렉트론가부시키가이샤 막 형성 방법 및 기판 처리 장치
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR102592398B1 (ko) * 2021-05-12 2023-10-25 한국화학연구원 복합형광구조체 및 이의 제조방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4792463A (en) * 1985-09-17 1988-12-20 Masaru Okada Method of producing ferroelectric thin film
EP0560617A2 (en) * 1992-03-13 1993-09-15 Kawasaki Steel Corporation Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
WO1997038355A1 (en) * 1996-04-08 1997-10-16 Micrion Corporation Systems and methods for deposition of dielectric films

Family Cites Families (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
CA1087041A (en) 1975-09-15 1980-10-07 Mohammad J. Hakim Hafnium carbide and nitride coatings
US4042610A (en) 1975-09-22 1977-08-16 E. I. Du Pont De Nemours And Company Process for preparing hydrocarbyl and silahydrocarbyl transition metal dihydrocarbylamides
CA1093395A (en) 1977-01-13 1981-01-13 Mohammad J. Hakim Chemical vapor deposition
FR2511047A1 (fr) 1981-08-07 1983-02-11 Solarex Corp Procede pour appliquer un revetement antireflechissant et/ou dielectrique pour des cellules solaires
US4386117A (en) * 1981-11-20 1983-05-31 Gordon Roy G Coating process using alkoxy substituted silicon-bearing reactant
JPS5916978A (ja) * 1982-07-20 1984-01-28 Tokyo Denshi Kagaku Kabushiki 金属被膜の選択的エツチング方法
US4512862A (en) 1983-08-08 1985-04-23 International Business Machines Corporation Method of making a thin film insulator
EP0174743A3 (en) 1984-09-05 1988-06-08 Morton Thiokol, Inc. Process for transition metal nitrides thin film deposition
US4723978A (en) * 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
US4758539A (en) 1987-02-25 1988-07-19 The United States Of America As Represented By The United States Department Of Energy Process for producing ceramic nitrides and carbonitrides and their precursors
JPH0660406B2 (ja) 1987-03-24 1994-08-10 日本電気株式会社 ハフニウム酸化膜の気相成長法
US4956323A (en) * 1987-11-30 1990-09-11 The Dow Chemical Company Catalysts prepared from tetrakis(dialkylamide or diarylamide) derivatives of titanium and polymerization of olefins therewith
US4864374A (en) * 1987-11-30 1989-09-05 Texas Instruments Incorporated Two-transistor dram cell with high alpha particle immunity
US5139825A (en) 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
JPH03177560A (ja) 1989-12-06 1991-08-01 Nippon Steel Corp 電気絶縁性板状材料の製造方法
DE4120344A1 (de) 1990-06-26 1992-01-02 Kali Chemie Ag Verfahren zur abscheidung von titan, zirkonium oder hafnium enthaltenden schichten
US6110529A (en) 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5711816A (en) 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
DE4122473A1 (de) 1990-07-27 1992-01-30 Kali Chemie Ag Verfahren zur abscheidung von titan, zirkonium oder hafnium enthaltenden schichten
US5219464A (en) 1990-10-09 1993-06-15 Tokyo Electron Limited Clean air apparatus
KR0167476B1 (ko) 1990-09-27 1999-02-01 이노우에 다케시 종형 열처리 장치
US5064686A (en) * 1990-10-29 1991-11-12 Olin Corporation Sub-valent molybdenum, tungsten, and chromium amides as sources for thermal chemical vapor deposition of metal-containing films
US5514822A (en) 1991-12-13 1996-05-07 Symetrix Corporation Precursors and processes for making metal oxides
US6110531A (en) 1991-02-25 2000-08-29 Symetrix Corporation Method and apparatus for preparing integrated circuit thin films by chemical vapor deposition
JP2764472B2 (ja) 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JPH04357788A (ja) 1991-06-03 1992-12-10 Toshiba Corp 動画像符号化装置及び動画像再生装置
JP2771347B2 (ja) 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
GB9117562D0 (en) 1991-08-14 1991-10-02 Ass Octel Group ii metal betadiketonates
US5192589A (en) 1991-09-05 1993-03-09 Micron Technology, Inc. Low-pressure chemical vapor deposition process for depositing thin titanium nitride films having low and stable resistivity
US5252518A (en) 1992-03-03 1993-10-12 Micron Technology, Inc. Method for forming a mixed phase TiN/TiSi film for semiconductor manufacture using metal organometallic precursors and organic silane
US5313089A (en) 1992-05-26 1994-05-17 Motorola, Inc. Capacitor and a memory cell formed therefrom
JPH06140390A (ja) * 1992-09-10 1994-05-20 Kawasaki Steel Corp 半導体装置の製造装置
DE4231778C1 (en) 1992-09-23 1993-05-27 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Formation of hafnium di:oxide for optical interference film system - by evaporating hafnium from melt while introducing oxygen@ of water vapour as reactive gas
JP3190745B2 (ja) 1992-10-27 2001-07-23 株式会社東芝 気相成長方法
US5356718A (en) 1993-02-16 1994-10-18 Ppg Industries, Inc. Coating apparatus, method of coating glass, compounds and compositions for coating glasss and coated glass substrates
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
DE4316883C2 (de) 1993-05-19 1996-01-25 Michael Dr Denk Silylen, Verfahren zur Herstellung von Silylen oder Carben sowie die Verwendung des Silylens
US5474955A (en) * 1993-08-06 1995-12-12 Micron Technology, Inc. Method for optimizing thermal budgets in fabricating semconductors
JP2965188B2 (ja) * 1993-11-26 1999-10-18 キヤノン販売 株式会社 成膜方法
ATE179276T1 (de) 1993-12-22 1999-05-15 Canon Kk Herstellungsverfahren einer elektronemittierenden vorrichtung
US5389401A (en) 1994-02-23 1995-02-14 Gordon; Roy G. Chemical vapor deposition of metal oxides
JP3177560B2 (ja) 1994-03-31 2001-06-18 富士機工株式会社 シートリクライニング装置
US5395786A (en) 1994-06-30 1995-03-07 International Business Machines Corporation Method of making a DRAM cell with trench capacitor
US5919522A (en) 1995-03-31 1999-07-06 Advanced Technology Materials, Inc. Growth of BaSrTiO3 using polyamine-based precursors
KR0156980B1 (ko) 1995-06-23 1998-12-01 신현주 질화금속 박막증착용 화합물 및 그를 이용한 증착방법
US5908947A (en) 1996-02-09 1999-06-01 Micron Technology, Inc. Difunctional amino precursors for the deposition of films comprising metals
JP3344199B2 (ja) * 1996-03-21 2002-11-11 ソニー株式会社 防汚膜形成用組成物および反射防止フィルター
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
JP3591218B2 (ja) 1996-07-12 2004-11-17 東京エレクトロン株式会社 成膜方法及びその装置
KR100226763B1 (ko) 1996-07-31 1999-10-15 김영환 화학기상증착 장치를 이용한 박막 형성방법
US6112695A (en) * 1996-10-08 2000-09-05 Nano Scale Surface Systems, Inc. Apparatus for plasma deposition of a thin film onto the interior surface of a container
US5710075A (en) 1996-11-06 1998-01-20 Vanguard International Semiconductor Corporation Method to increase surface area of a storage node electrode, of an STC structure, for DRAM devices
US6037013A (en) 1997-03-06 2000-03-14 Texas Instruments Incorporated Barrier/liner with a SiNx-enriched surface layer on MOCVD prepared films
US5902639A (en) 1997-03-31 1999-05-11 Advanced Technology Materials, Inc Method of forming bismuth-containing films by using bismuth amide compounds
US5889128A (en) 1997-04-11 1999-03-30 Massachusetts Institute Of Technology Living olefin polymerization processes
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US5919552A (en) 1997-05-07 1999-07-06 Xerox Corporation Coated substrates and methods
GB2344820B (en) 1997-05-14 2002-05-08 Secr Defence Chemical vapour deposition precursors
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6020024A (en) 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
DE19736449A1 (de) 1997-08-21 1999-02-25 Gfe Met & Mat Gmbh Verbundwerkstoff
US6200874B1 (en) * 1997-08-22 2001-03-13 Micron Technology, Inc. Methods for use in forming a capacitor
EP0905174B1 (en) 1997-09-25 2001-12-19 Mitsubishi Chemical Corporation Deposited plastic film
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6114242A (en) * 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
DE69822612T2 (de) 1997-12-10 2005-03-17 Infineon Technologies Ag Verfahren zur cvd bei niedrigen temperaturen unter verwendung von bi-amiden
US6200866B1 (en) 1998-02-23 2001-03-13 Sharp Laboratories Of America, Inc. Use of silicon germanium and other alloys as the replacement gate for the fabrication of MOSFET
US6159855A (en) * 1998-04-28 2000-12-12 Micron Technology, Inc. Organometallic compound mixtures in chemical vapor deposition
TW403991B (en) 1998-06-16 2000-09-01 Samsung Electronics Co Ltd Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
GB9814048D0 (en) 1998-06-30 1998-08-26 Inorgtech Ltd Novel precursors for the growth of heterometal oxide films by MOCVD
KR20000022003A (ko) * 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
FR2785897B1 (fr) 1998-11-16 2000-12-08 Commissariat Energie Atomique Couche mince d'oxyde d'hafnium et procede de depot
US6258707B1 (en) 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
JP3579278B2 (ja) 1999-01-26 2004-10-20 東京エレクトロン株式会社 縦型熱処理装置及びシール装置
US6316797B1 (en) 1999-02-19 2001-11-13 Advanced Technology Materials, Inc. Scalable lead zirconium titanate(PZT) thin film material and deposition method, and ferroelectric memory device structures comprising such thin film material
JP2003523615A (ja) 1999-02-24 2003-08-05 カンタム・セミコンダクター、エル・エル・シー Misfet
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100315441B1 (ko) 1999-03-25 2001-11-28 황인길 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
JP4176236B2 (ja) 1999-06-07 2008-11-05 東京エレクトロン株式会社 処理装置における紫外線ランプの光量測定方法及び装置
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
JP4359965B2 (ja) 1999-07-27 2009-11-11 東京エレクトロン株式会社 成膜装置
US6342432B1 (en) 1999-08-11 2002-01-29 Advanced Micro Devices, Inc. Shallow trench isolation formation without planarization mask
JP2001074931A (ja) 1999-08-31 2001-03-23 Nikon Corp 光学薄膜及び光学素子及び光学装置
JP3862900B2 (ja) 1999-10-01 2006-12-27 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
TW514992B (en) 1999-12-17 2002-12-21 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6500499B1 (en) 2000-03-10 2002-12-31 Air Products And Chemicals, Inc. Deposition and annealing of multicomponent ZrSnTi and HfSnTi oxide thin films using solventless liquid mixture of precursors
US6537613B1 (en) 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6444592B1 (en) 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
KR100375229B1 (ko) 2000-07-10 2003-03-08 삼성전자주식회사 트렌치 소자분리 방법
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
FI118014B (fi) 2000-10-23 2007-05-31 Asm Int Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
US7476420B2 (en) 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
US6486080B2 (en) * 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US7087482B2 (en) 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US6653200B2 (en) 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US20030129087A1 (en) 2001-06-13 2003-07-10 The Regents Of The University Of California Ordered adsorbed layers of nano particulate materials on structured nano-laminate templates
US7037862B2 (en) 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
US6511873B2 (en) 2001-06-15 2003-01-28 International Business Machines Corporation High-dielectric constant insulators for FEOL capacitors
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
CN100360710C (zh) 2002-03-28 2008-01-09 哈佛学院院长等 二氧化硅纳米层压材料的气相沉积
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
KR100513719B1 (ko) 2002-08-12 2005-09-07 삼성전자주식회사 하프늄 산화막 형성용 전구체 및 상기 전구체를 이용한하프늄 산화막의 형성방법
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
TW200408323A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
US6960538B2 (en) 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
DE10248980B4 (de) 2002-10-21 2004-11-11 Infineon Technologies Ag Verfahren zur Herstellung strukturierter Schichten aus Siliziumdioxid auf senkrecht oder geneigt zu einer Substratoberfläche angeordneten Prozessflächen
US7115528B2 (en) * 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7049192B2 (en) 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
KR100995451B1 (ko) 2003-07-03 2010-11-18 삼성전자주식회사 다층 구조의 게이트 절연막을 포함하는 유기 박막 트랜지스터
JP3698163B1 (ja) * 2003-09-19 2005-09-21 三菱マテリアル株式会社 ハフニウム含有膜形成材料及び該材料から作製されたハフニウム含有薄膜の製造方法
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
KR20050039421A (ko) 2003-10-25 2005-04-29 삼성전자주식회사 반도체 장치의 박막 형성 방법
US20060062910A1 (en) * 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7064227B1 (en) 2004-12-09 2006-06-20 Air Products And Chemicals, Inc. Precursors for silica or metal silicate films
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
DE102006000823A1 (de) * 2006-01-05 2007-07-12 H. C. Starck Gmbh & Co. Kg Wolfram- und Molybdän-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)
US7790628B2 (en) 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US7741188B2 (en) 2008-03-24 2010-06-22 International Business Machines Corporation Deep trench (DT) metal-insulator-metal (MIM) capacitor
US8901706B2 (en) 2012-01-06 2014-12-02 International Business Machines Corporation Thermally stable high-K tetragonal HFO2 layer within high aspect ratio deep trenches

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4792463A (en) * 1985-09-17 1988-12-20 Masaru Okada Method of producing ferroelectric thin film
EP0560617A2 (en) * 1992-03-13 1993-09-15 Kawasaki Steel Corporation Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
WO1997038355A1 (en) * 1996-04-08 1997-10-16 Micrion Corporation Systems and methods for deposition of dielectric films

Also Published As

Publication number Publication date
US6969539B2 (en) 2005-11-29
US20050277780A1 (en) 2005-12-15
EP1772534A3 (en) 2007-04-25
US20040043149A1 (en) 2004-03-04
US9905414B2 (en) 2018-02-27
US20160111276A1 (en) 2016-04-21
JP2009079297A (ja) 2009-04-16
EP1327010A2 (en) 2003-07-16
US20130122328A1 (en) 2013-05-16
JP2004527651A (ja) 2004-09-09
KR100815009B1 (ko) 2008-03-18
US20120028478A1 (en) 2012-02-02
US20160268121A1 (en) 2016-09-15
KR20070107813A (ko) 2007-11-07
US20160087066A1 (en) 2016-03-24
JP5175157B2 (ja) 2013-04-03
EP1772534A2 (en) 2007-04-11
JP5290488B2 (ja) 2013-09-18
WO2002027063A3 (en) 2002-10-10
US7507848B2 (en) 2009-03-24
EP1327010B1 (en) 2013-12-04
US8334016B2 (en) 2012-12-18
US20150118395A1 (en) 2015-04-30
WO2002027063A2 (en) 2002-04-04
KR20030038775A (ko) 2003-05-16

Similar Documents

Publication Publication Date Title
KR100814980B1 (ko) 산화물, 규산염 및 인산염의 증기를 이용한 석출
EP2257561B1 (en) Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
EP2174942B1 (en) Niobium and vanadium organometallic precursors for thin film deposition
KR101560755B1 (ko) 모노시클로펜타디에닐 티타늄계 전구체를 이용한 원자층 증착에 의한 티타늄 함유 박막의 제조 방법
US7084080B2 (en) Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US20130052349A1 (en) Organometallic compounds
US9085823B2 (en) Method of forming a tantalum-containing layer on a substrate
KR102308644B1 (ko) 실리콘 전구체 화합물, 제조 방법, 및 이를 이용하는 실리콘-함유 막 형성 방법
KR101149811B1 (ko) 실리콘 전구체 화합물을 이용한 박막 증착 방법
US20110206863A1 (en) Organometallic compounds having sterically hindered amides
KR102491073B1 (ko) 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물, 및 실리콘-함유 막 형성용 조성물을 이용한 막 형성 방법
Gordon et al. Alternating layer chemical vapor deposition (ALD) of metal silicates and oxides for gate insulators

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130226

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140226

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160224

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170307

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190228

Year of fee payment: 12