JP5290488B2 - 酸化物、ケイ酸塩及びリン酸塩の気相成長 - Google Patents

酸化物、ケイ酸塩及びリン酸塩の気相成長 Download PDF

Info

Publication number
JP5290488B2
JP5290488B2 JP2002530823A JP2002530823A JP5290488B2 JP 5290488 B2 JP5290488 B2 JP 5290488B2 JP 2002530823 A JP2002530823 A JP 2002530823A JP 2002530823 A JP2002530823 A JP 2002530823A JP 5290488 B2 JP5290488 B2 JP 5290488B2
Authority
JP
Japan
Prior art keywords
sime
nme
net
prcp
tetramethylpiperidide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002530823A
Other languages
English (en)
Other versions
JP2004527651A (ja
JP2004527651A5 (ja
Inventor
ジ−. ゴードン,ロイ
ベッカー,ジル
ハウスマン,デニス
スー,セイジ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Harvard College
Original Assignee
Harvard College
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=26929629&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP5290488(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Harvard College filed Critical Harvard College
Publication of JP2004527651A publication Critical patent/JP2004527651A/ja
Publication of JP2004527651A5 publication Critical patent/JP2004527651A5/ja
Application granted granted Critical
Publication of JP5290488B2 publication Critical patent/JP5290488B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B13/00Oxygen; Ozone; Oxides or hydroxides in general
    • C01B13/14Methods for preparing oxides or hydroxides in general
    • C01B13/34Methods for preparing oxides or hydroxides in general by oxidation or hydrolysis of sprayed or atomised solutions
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B25/00Phosphorus; Compounds thereof
    • C01B25/16Oxyacids of phosphorus; Salts thereof
    • C01B25/26Phosphates
    • C01B25/30Alkali metal phosphates
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B25/00Phosphorus; Compounds thereof
    • C01B25/16Oxyacids of phosphorus; Salts thereof
    • C01B25/26Phosphates
    • C01B25/36Aluminium phosphates
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/20Silicates
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/20Silicates
    • C01B33/26Aluminium-containing silicates, i.e. silico-aluminates
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G25/00Compounds of zirconium
    • C01G25/02Oxides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G27/00Compounds of hafnium
    • C01G27/02Oxides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G35/00Compounds of tantalum
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • C07F9/02Phosphorus compounds
    • C07F9/06Phosphorus compounds without P—C bonds
    • C07F9/08Esters of oxyacids of phosphorus
    • C07F9/09Esters of phosphoric acids
    • C07F9/091Esters of phosphoric acids with hydroxyalkyl compounds with further substituents on alkyl
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • C07F9/02Phosphorus compounds
    • C07F9/06Phosphorus compounds without P—C bonds
    • C07F9/08Esters of oxyacids of phosphorus
    • C07F9/09Esters of phosphoric acids
    • C07F9/11Esters of phosphoric acids with hydroxyalkyl compounds without further substituents on alkyl
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02156Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing at least one rare earth element, e.g. silicate of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Description

発明の分野
本発明は、化学気相成長(CVD)及び原子層堆積(ALD)といったような薄膜被着プロセスにおいて使用するための新規の薬剤に関する。これらの薬剤は、一般に金属酸化物、ケイ酸塩又は金属リン酸塩或いは二酸化ケイ素と呼ばれる、金属及び/又は酸素を伴うケイ素及び/又はリンを含有する材料の被着のために使用することができる。
関連技術の説明
化学気相成長(CVD)は、蒸気相内の反応物からコーティング又は粉末といったような固体材料を形成するための広く使用されている方法である。CVD法の広範な概説が最近「非金属のCVD」,W.S.Rees, Jr., Editor, VCH Publishers, Weinheim, Germany, 1996,「化合物半導体のCVD」 ,A. C. Jonesand P. O' Brien, VCH, 1996,及び「金属CVDの化学」,T. Kodas and M. Hampden-Smith, Editors, VCH, 1994に示された。
CVD法では、反応物蒸気又は蒸気混合物を、上に薄膜が被着される加熱された表面と接触させる。CVDの関連形態においては、2つの反応物蒸気が交互に加熱表面に露出される。この形態のCVDは往々にして、原子層堆積(ALD)と呼ばれる。適切な反応物について、ALDは、混合蒸気を用いたCVDに比べ改善されたステップカバレッジと厚み均質性を提供することができる。ALDの概説については、Applied Surface Science, Vol.112, p223-230(1997)中のMikko Ritalaによる論文を参照のこと。
金属ケイ酸塩のコーティングには、数多くの利用分野又は潜在的な利用分野がある。例えば、ジルコニウム、ハフニウム、イットリウム又はランタンのケイ酸塩が、シリコン半導体技術におけるゲート絶縁膜の二酸化ケイ素に対する潜在的代替物として考えられつつある。例えばA. Kingon et al.,Nature, Vol.406,p1032−1038(2000)を参照。Science(Vol.288,p319−321(2000))では、Ritalaらが、ケイ酸ジルコニウムを含めた金属ケイ酸塩を生産するために金属塩化物及びシリコンアルコキシドの逐次的ALD反応を使用することについて報告している。しかしながら、この反応は、残留塩素を含有する膜を被着させ、このことは、膜の特性あるいは基板又はその後のコーティングへのその付着力にとっても有害になりかねない。前駆体中の塩素も、同様に、金属基板又は被着に用いられる装置を腐食しかねない。かくして、金属ケイ酸塩又は酸化物のCVD又はALDのための塩素を含まない前躯体を得ることが有利となろう。
二酸化ケイ素のALDは、Klausらの米国特許第6090442号明細書(2000)によって達成されたが、被着速度は非常に低く、基板温度は室温近くの値に制限されている。
リン酸リチウムは、リチウム電池におけるリチウムイオン導体として現在関心を集めている材料である。現在、リン酸リチウムのCVD又はALD用の既知の方法は存在しない。
発明の概要
本発明の主たる特徴には、金属ケイ酸塩、リン酸塩又は酸化物のCVD又はALD用に適合された反応性をもつ揮発性の化学的前駆体が含まれる。
これらの化学的前駆体の1つの利点は、それらが塩素を含有せず、金属ケイ酸塩、リン酸塩又は酸化物のCVD又はALD用の処理の際に塩素残留物を残さないという点にある。
本発明の関連する特徴は、シリコン基板と被着された金属ケイ酸塩の間に鮮明な界面を生成する条件下での金属ケイ酸塩の被着にある。
この方法の利点は、基板の加熱された表面への送給前に全ての反応物を均質に混合できるCVD法による金属ケイ酸塩又はリン酸塩を含有する材料の被着を可能にするという点にある。
この方法の付加的な利点は、反応物の濃度及び反応装置内部の基板の位置といったような一定範囲の条件全体にわたる比較的固定された金属対ケイ素比での金属ケイ酸塩又はリン酸塩の気相成長にある。
本発明のもう1つの利点は、狭い孔、トレンチ又はその他の構造を伴う基板全体にわたりコンフォーマルコーティングを行なうその能力にある。この能力は一般に、優れたステップカバレッジとして知られている。
本発明のもう1つの特徴は、リン酸リチウムを含む材料の調製にある。
本発明の1つの利点は、反応物が安定しており、比較的危険性が無いという点にある。
本発明のもう1つの特徴には、金属酸化物又は金属酸化物の混合物のための化学気相成長又は原子層堆積法が含まれている。
本発明のさらなる特徴には、二酸化ケイ素の原子層堆積のための方法が含まれる。
本発明の1つの特別な特徴には、マイクロエレクトロニクスデバイスにおいてゲート絶縁膜又はトレンチキャパシタとして使用される高い誘電率をもつ、ジルコニウム、ハフニウム、イットリウム及び/又はランタンの酸化物又はケイ酸塩を被着させるための方法が含まれる。
本発明のもう1つの特別な特徴には、プレーナ形導波体及びマルチプレクサ/デマルチプレクサにおけるような、また光学干渉フィルタにおけるような、有用な光学特性をもつ二酸化ケイ素又は金属ケイ酸塩の被着のための方法が含まれる。
本発明の付加的な特徴には、電池又はエレクトロクロミックデバイスでセパレータとして使用するためのリチウムの急速な拡散を可能にするリン酸リチウムコーティングを被着する方法が含まれる。
本発明のその他の特徴及び利点は、本発明を読むことにより当業者にとって明白になることであろう。
本発明の1つの側面においては、アルコキシシラノールの蒸気を、金属又はメタロイドアルキルアミド、アルキル又はシクロペンタジエニルといったような適切な反応性をもつ金属又はメタロイド化合物の蒸気と反応させて、金属ケイ酸塩を形成する。この反応は、膜を形成するようなやり方で実施することができる。
少なくとも一部の態様において、トリス(アルコキシ)シラノール化合物は、下記一般式1を有し、式中、Rnは水素、アルキル基、フルオロアルキル基又は、その他の原子又は基で置換されたアルキル基を表し、好ましくは当該化合物の揮発性を高めるように選択され、Rnは、R1〜Rnのうちのいずれか1つである。Rnは、同一又は互いに異なるものでよい。
Figure 0005290488
少なくとも一部の態様において、上記のものから(tBuO)3SiOHとしてさらに簡潔に書くことのできるきわめて好ましい化合物のトリス(tert−ブトキシ)シラノール2が得られるとすれば、上述の一般式1のRnの各々についてメチル基が選択される。
Figure 0005290488
本発明のもう1つの化合物は、(tAmO)3SiOHとしてさらに簡潔に書くことのできる、トリス(tert−アミルオキシ)シラノール3としても知られるトリス(tert−ペンチルオキシ)シラノールである。
Figure 0005290488
本発明の少なくとも一部の態様においては、(tBuO)2Si(OH)2といったようなジ(アルコキシ)シランジオールも同様に使用することができるが、少なくとも一部の利用分野においては、それらは、トリス(アルコキシ)シラノール化合物よりも安定性が低い。一般式4をもつジ(アルコキシ)シランジオール化合物を本発明に従って使用してもよく、この場合Rnは、好ましくは揮発性及び安定性を増強するように選択される、水素、アルキル基、フルオロアルキル基又はその他の原子又は基により置換されたアルキル基を表わし、あらゆるRnについて同一であっても異なるものであってもよく、そしてRnはR1〜R6のいずれかであり、同一又は異なるものでよい。
Figure 0005290488
少なくとも一部の態様においては、一般式1についての又は一般式4についてのR1〜R6は、水素、メチル、エチル、n−プロピル及びイソプロピル基から成る群から選択され得る。
以上の化合物においては、上記一般式についてのアルキル基R1〜R9又は一般式4についてのR1〜R6が、例えばアリール、アルケニル又はアルキニル基といった何らかの不飽和度をもつ炭化水素でありうるということも理解される。
少なくとも一部の態様においては、金属化合物には、シラノール中でわずかに酸性のプロトンと容易に反応するものが含まれる。これらの酸性プロトンは、シラノール中で酸素に直接結合したものである。これらの酸性プロトンと一般に反応する金属化合物には、大部分の金属アルキル及びその他の有機金属化合物、金属アルキルアミド及び一部の金属アルコキシドが含まれる。いずれかの特定の化合物の反応性は、それをアルコキシシラノールと混合し、この混合物を核磁気共鳴(NMR)といった技術により生成物について分析することによって、容易に確認できる。発明者らは、水と反応することがわかっている化合物も一般にアルコキシシラノールと反応するということを発見した。
発明者らは同様に、被着された金属ケイ酸塩の化学量論量が制御できることをも発見した。ケイ素/金属比は、シラノールの一部又は全てを水又はアルコールで置き換えることによって減少させることができる。逆に、ケイ素/金属比は、ケイ素アミド又はシリレンといった適切な反応性をもつケイ素含有化合物により金属供給源の一部又は全てを置き換えることによって増大させることができる。これらの方法によって、被着された材料の組成を、純粋金属酸化物から純粋二酸化ケイ素までの任意の組成又はその間の任意の所望のケイ素/金属比となるように選択することができる。化学量論量は、1回の被着の進行中でさえ変動させることができる。例えば、シリコン半導体デバイスのためのゲート絶縁膜の被着においては、界面の電気特性を改善させるためにシリコン表面に近いシリコン富有層から被着を開始し、その後より高い誘電率をもつ金属富有層を続けることが望ましいことがある。
本発明のもう1つの側面においては、ビス(アルキル)ホスフェートの蒸気を、金属アルキルアミド、金属アルキル、金属シクロペンタジエニド又は金属アルコキシドといった反応性金属化合物の蒸気と反応させて、金属リン酸塩を形成する。この反応は、膜を形成するやり方で実施できる。
本発明の少なくとも一部の態様においては、リン含有前駆体は、ビス(アルキル)ホスフェート5を包含し、ここではRnは水素、アルキル基、フルオロアルキル基又は、その他の原子又は基で置換されたアルキル基を表わし、RnはR1〜R6のうちのいずれかであり得る。Rnは、同一の又は互いに異なるものでよい。
Figure 0005290488
少なくとも1つの態様において、リン前駆体は、式6によって表わされるジイソプロピルホスフェートである。
Figure 0005290488
金属リン酸塩の化学量論量を制御することも可能である。リン/金属比は、水又はアルコールでビス(アルキル)ホスフェートの一部又は全てを置き換えることによって低減させることができる。逆に、リン/金属比は、適切な反応性をもつリン供給源により金属供給源の一部又は全てを置き換えることによって増大させることができる。これらの方法によって、被着された材料の組成を、純粋金属酸化物から純粋リン酸化物まで又は任意の所望のリン/金属比に変動させることができる。
少なくとも一部の態様においては、一般式5についてのR1〜R6基は、水素、メチル、エチル、n−プロピル又はイソプロピル基から成る群から選択可能である。上述の化合物においては、一般式1についてのアルキル基R1〜R9又は、一般式4についてのR1〜R6が、例えばアリール、アルケニル又はアルキニル基といった何らかの不飽和度をもつ炭化水素であってよいということも理解される。
本発明のもう1つの側面において、ケイ素を含む材料を調製するための方法は、アルコキシシラノール、アルコキシシランジオール及びシリレンから成る群から選択された1種以上の蒸気に対し基板を露出することを含む。少なくとも一部の態様においては、シリレンは、Rをアルキル基又はtert−ブチルとして、次の式により表される化合物である。
Figure 0005290488
本発明の一側面において、リンを含む材料を形成するための方法は、ビス(アルキル)ホスフェート、酸化リン(III)及び白リンから成る群から選択された1種以上の蒸気に対して基板を露出させることを含む。
本発明のもう1つの側面においては、ベンゼン水和物、ナフタレン水和物又は置換されたベンゼン水和物又は置換されたナフタレン水和物といったようなアレーン水和物から成る群から選択された1種以上の蒸気に対して基板を露出させることを含む、酸素含有材料を調製するための方法が提供される。
発明のもう1つの側面においては、1種以上の金属アミドの蒸気に対し、そして次に水又はアルコールの蒸気に対して、交互に加熱表面を露出させることを含む、金属酸化物を形成するための方法が提供される。
少なくとも一部の態様においては、アルコールはアレーン水和物であり、あるいは少なくとも一部の態様では、1種以上の金属アミドは表1から選択される。
本発明のもう1つの側面においては、1種以上の有機金属化合物の蒸気に対し及びアレーン水和物の蒸気に対して交互に表面を露出させることにより、酸素及び1種以上の金属を含む材料を形成するための方法が提供される。
少なくとも1つの態様において、有機金属化合物は表2から選択される。
本発明のさまざまな目的、特徴及び利点は、以下の発明の詳細な説明を図面と合わせて考慮して参照することで、さらに充分理解することができる。図面は、例示のみを目的として提示され、本発明を制限することは意図されていない。
発明の詳細な説明
1.金属ケイ酸塩及び二酸化ケイ素
本発明は、金属及びケイ素含有量がいろいろの金属ケイ酸塩を調製するための方法を提供する。この方法は、アルコキシシラノール又はアルコキシシランジオールの蒸気と、1種以上の金属又はメタロイド化合物の蒸気との反応を必要とする。化合物は、基板上、そして一部の態様では加熱された基板上に、粉末として又は膜として形成され得る。化合物は、基板上への被着に先立ち金属又はメタロイド化合物の蒸気とアルコキシシラノール又はアルコキシシランジオールの蒸気を混合することによって、基板上に形成され得る。少なくとも一部の態様では、基板を、アルコキシシラノール又はアルコキシシランジオール蒸気と、金属又はメタロイド化合物のうちの1種以上のものの蒸気に対して交互に露出させる。
シラノール及びシランジオール反応物は、市販されているか又は、従来のあるいは既知の技術を用いて調製することができる。ケイ素前駆体トリス(tert−ブトキシ)シラノールは、Aldrich Chemical Company (Milwaukee, WI) 及びGelest, Inc (Tullytown, PA) から市販されている。トリス(tert−ブトキシ)シラノールは、以下の要領で調製できる。まず第1に、次の2つの反応のうちのいずれかにより、トリス(tert−ブトキシ)クロロシランを作る。
Figure 0005290488
その後、トリス(tert−ブトキシ)クロロシランを、次の反応に従って加水分解する。
Figure 0005290488
Backer et al., Rec. Trav. Chim., Vol.61,p500(1942)を参照のこと。この化合物は、室温で固体であり、約66℃で融解する。それは約10-4Torrの低圧において室温で昇華し、20Torrの圧力において約104℃の温度で蒸留されうる。それは、メシチレン又はテトラデカンといった有機溶剤への溶解性がきわめて高く、そのためその蒸気は、その溶液をフラッシュ気化させることによって都合よく形成可能である。
tert−ブタノールの代りに、tert−ペンチルアルコール(tert−アミルアルコールとしても知られている)といったようなその他の第3級アルコールを用いることによって、その他のトリス(tert−アルコキシ)シラノールを類似の反応により調製することが可能である。トリス(tert−アミルオキシ)シラノール、(tAmO)3SiOHは、室温で液体であり、従ってその蒸気は、希釈しない液体のフラッシュ気化により都合よく形成可能である。それは、96℃で約2Torrの蒸気圧を有する。それは、Aldrich Chemical Companyから市販されている。
シラノール及びシランジオールを金属供給源と反応させて金属ケイ酸塩を得ることができる。金属供給源は、1種以上の金属を含有することができ、結果として得られる金属ケイ酸塩は、1種以上の金属を含有し得る。少なくとも一部の態様では、金属化合物には、シラノール中でわずかに酸性のプロトンと容易に反応するものが含まれる。これらの酸性プロトンは、シラノール中で酸素に直接結合したものである。
一般にこれらの酸性プロトンと反応する金属化合物には、大部分の金属アルキル及びその他の有機金属化合物、金属アルキルアミド及び一部の金属アルコキシドが含まれる。任意の特定の化合物の反応性は、それをアルコキシシラノールと混合し、核磁気共鳴(NMR)といった技術により生成物について混合物を分析することによって、容易に確認可能である。発明者らは、水と反応することがわかっている化合物も一般にアルコキシシラノールと反応するということを発見した。
反応は、蒸気状態で実施され、そしてCVD又はALD技術を用いて実施可能である。以下でさらに詳細に検討するように、ALDは、被着プロセスに対する制御を提供し、広範囲の反応条件及び反応物反応性において使用するのに適している。
ケイ素/金属比は、適当な反応性をもつケイ素化合物により金属前駆体の一部又は全部を置き換えることによって増大させることができる。四塩化ケイ素SiCl4といったハロゲン化ケイ素を用いてケイ素含有量を増大させることができるが、それらは生成物中に不純物として塩素を残すことがあり、またそれらの反応は所望よりも遅いことがある。テトライソシアナトシラン、テトラキス(ジメチルアミド)シラン又はトリス(ジメチルアミド)シランといったケイ素アミドは、ハロゲンでの汚染を回避する。しかしながら、その被着速度はやはり、所望よりも遅いことがある。シリレンは、さらに急速な反応性をもつ。例えば、熱安定性シリレン7、
Figure 0005290488
(式中Rはアルキル基であるか、又は少なくとも一部の態様においてはtert−ブチルである)を、ケイ素/金属比を増大させるため、金属供給源の一部又は全部の代りに急速に反応するケイ素供給源として使用することができる。
少なくとも一部の態様では、純粋二酸化ケイ素を調製することができる。ALD装置において、シリレンが表面と反応した後シリレンを充分に酸化させるため、シリレンパルスの後に酸素ガスパルスを続ける。純粋二酸化ケイ素は、シリレン及び酸素のパルスシーケンスを反復することによって素早く被着させることができる。
2.金属リン酸塩及び酸化リン
本発明は、金属及びリン含有量がいろいろの金属リン酸塩を調製するための方法を提供する。この方法は、ビス(アルキル)ホスフェートの蒸気と1種以上の金属又はメタロイド化合物の蒸気の反応を伴う。化合物は、基板上そして一部の態様では加熱された基板上に、粉末又は膜として形成され得る。化合物は、基板上への被着に先立ち金属又はメタロイド化合物とビス(アルキル)ホスフェートの蒸気を混合することによって、基板上に形成され得る。少なくとも一部の態様においては、基板は、ビス(アルキル)ホスフェートの蒸気及び1種以上の金属又はメタロイド化合物の蒸気に対して交互に露出される。
ビス(アルキル)ホスフェート反応物は、市販されており、あるいは従来の又は既知の技術を用いて調製してもよい。リン前駆体、ジエチルホスフェートは、Fisher Scientific (Pittsburgh, PA) 及びPfalz and Bauer (Waterburg, CT)を含めた多数の化学会社から市販されている。ジエチルホスフェートは、塩化銅を触媒として、エタノール中のホスフィン酸の空気酸化によって調製可能である。
Figure 0005290488
Y. Okamoto, T. Kusano and S. Takamuku, Phosphorus, Sulfur and Silicon, Vol.55,p195−200(1991)を参照のこと。
ジイソプロピルホスフェートについての代替的反応シーケンスが示されており、これを、イソプロパノールに代わる適切なものによってその他の前駆体化合物のために使用することができる。
Figure 0005290488
McIvor et al., Canadian J. Chemistry, Vol.34,p1825 and 1827を参照のこと。
以下の2つの反応によってまずそのカリウム塩を形成することにより、ジイソプロピルホスフェートを調製することもできる。
Figure 0005290488
A. Zwierak and M.Kluba, Tetrahedron, Vol.27,p3163−3170(1971)参照。
以下の2つの反応により、類似のナトリウム塩を調製することかできる。
Figure 0005290488
このとき、前駆体ジイソプロピルホスフェートを塩酸との反応によってそのアルカリ塩から遊離させることができる。
Figure 0005290488
上述のビス(アルキル)ホスフェートは、広範囲の金属化合物と反応して金属リン酸塩を形成する。一般に酸性ホスフェートプロトンと反応する金属化合物には、大部分の金属アルキル及びその他の有機金属化合物、金属アルキルアミド及び一部の金属アルコキシドが含まれる。任意の特定の化合物の反応性は、それをビス(アルキル)ホスフェートと混合し、そしてその混合物を核磁気共鳴(NMR)といった技術により生成物について分析することによって、容易に確認可能である。
反応は、蒸気状態で実施され、そしてCVD又はALD技術を用いて実施することができる。以下でさらに詳細に検討するように、ALDは、被着プロセスに対する制御を提供し、広範囲の反応条件及び反応物反応性について使用するのに適している。
リン/金属比は、適当な反応性をもつリン化合物により金属前駆体の一部又は全部を置き換えることによって、増大させることができる。3塩化リンPCl3、5塩化リンPCl5又は酸塩化リンPOCl3といったハロゲン化リンを用いることができるが、膜内にいくらかのハロゲン不純物が含まれることがある。ヘキサメチルリントリアミド、(Me2 N)3P、ヘキサメチルホスホルイミドトリアミド、(Me2N)3P=NH又はヘキサメチルホスホルアミド(Me2N)3POといったリンアルキルアミドは、ハロゲン汚染を回避するが、その反応は低速となることがある。白リンP4及び酸化リン(III)、P46は、より急速に反応し、そしてALD法においてリン/金属比を増大させるために使用可能である。白リン又は酸化リン(III)の投入後に、充分に酸化された膜を形成する目的で、酸素パルスを続ける。
ALDにより作られた材料のリン/金属比は、リンの投入量の一部を水又はアルコールで置き換えることによって減少させることができる。
3.金属アミド、金属アルキル及び金属アルコキシド
少なくとも一部の態様において、金属又はメタロイドアミドが、本発明を実施する上で有用である。いくつかの例を、販売元及び/又はその合成のための参考文献と共に、表1に示す。表1内に挙げられているメタロイドは、ホウ素、ケイ素及びヒ素である。
Figure 0005290488
Figure 0005290488
Figure 0005290488
Figure 0005290488
Figure 0005290488
Figure 0005290488
Figure 0005290488
Figure 0005290488
Figure 0005290488
Figure 0005290488
Figure 0005290488
Figure 0005290488
表1中 TMPDは、2,2,6,6−テトラメチルピペリジドの略語である。さらなる例は、John Wiley & Sonsの一部門であるEllis Horwood Ltd.により1980年に刊行されたM. F. Lappert, D.P. Power, A. R. Sanger及びR. C. Srivastava著のMetal and Metalloid Amides中に見い出すことができる。
少なくとも一部の態様においては、本発明を実施する上で、金属アルキルが有用である。いくつかの例を、販売元及び/又はその合成の参考文献と共に、表2に示す。
Figure 0005290488
Figure 0005290488
Figure 0005290488
Figure 0005290488
Figure 0005290488
表2中、Cpはシクロペンタジエニドに対する略号であり、Me5Cpはペンタメチルシクロペンタジエニドを表わし、iPrCpはイソプロピルシクロペンタジエニドを表わし、iPrMe4Cpはイソプロピルテトラメチルシクロペンタジエニドの略であり、iPr4Cpはテトライソプロピルシクロペンタジエニドの略であり、EtCpはエチルシクロペンタジエニドの略であり、PrCpはプロピルシクロペンタジエニドの略であり、iPrCpはイソプロピルシクロペンタジエニドの略であり、BuCpはブチルシクロペンタジエニドの略であり、Bzはベンゼニド、EtBzはエチルベンゼニドの異性体の混合物、1,5−CODは1,5−シクロオクタジエニドの略である。
少なくとも一部の態様においては、本発明を実施する上で、金属又はメタロイドアルコキシドを使用できる。適切な化合物を、販売元又はその合成の参考文献と共に、表3に列挙する。
Figure 0005290488
本発明を実施する際には、金属ハロゲン化物を使用することもできるが、それらには膜内に幾分かのハロゲン化物不純物を残し基板又は装置の腐食をひき起こす傾向をもつという不都合な点がある。
4.水及びアルコールとの反応
少なくとも一部の態様において、シラノール又はリン酸塩の一部分は、金属富有ケイ酸塩及びリン酸塩を被着させるため水と置き換えられる。CVD反応装置において、水蒸気は、蒸気の入口の近くで金属前駆体の蒸気と非常に急速に反応して基板上に膜ではなくむしろ粉末を生成する傾向をもつ。ALD反応装置においては、反応物は反応装置内に交互に導入され、従って入口近くの反応が防止されそして反応は基板の表面に限定されることから、そのような早すぎる反応は回避される。しかしながら、水は表面に強く吸着する傾向をもち、従って反応物のパルスの間にALD反応装置をパージするのに長い時間がかかることがある。
イソプロパノール及びtert−ブタノールといったようなアルコールは、アルコールと金属化合物の反応がより緩慢であるために、水の場合のこれらの問題を軽減することができ、ALD反応装置からより迅速により多くの揮発性アルコールを送り出すことができる。イソプロパノール及びtert−ブタノールといったようなアルコールは、熱による影響を受けやすい金属化合物を必要とする反応に特に適している。場合によっては、アルキルアルコールを分解しかくして膜からその炭素分を除去するために、基板温度を上昇させる。熱に影響されやすい金属化合物は、より高い基板温度で自己分解することがあり、従って自己制限力があるALD反応を達成することができない。
アレーン水和物は、通常のアルキルアルコールよりも低い温度で分解する部類のアルコールであり、かくして、熱に影響されやすい金属化合物でも自己分解を回避するほど充分に低い温度で炭素を含まない金属酸化物を提供するのに使用することができる。例えば、ベンゼン水和物は、ベンゼン副生物の芳香族性の安定化のために、容易に水とベンゼンに分解する。
Figure 0005290488
有用なアレーン水和物のその他の例は、下記のトルエン水和物のさまざまな異性体といったような、アルキル置換したベンゼンの水和物である。
Figure 0005290488
その他の有用なアルコールには、2つのナフタレン水和物、
Figure 0005290488
及びメチルナフタレン水和物といったようなアルキル置換したナフタレンの水和物が含まれる。かくして、アレーンアルコールは、中程度の被着条件での金属化合物の反応に使用可能である。特に、それは、金属酸化物の形成のため、又はここに記載されているケイ素及びリン前駆体と組合せて使用される場合には金属ケイ酸塩又は金属リン酸塩の形成のために、使用することができる。
本発明の少なくとも一部の態様においては、金属アミドと水の反応によって金属酸化物が得られる。適切な金属アミドには、表1に列挙されたもののどれもが含まれる。例えば、一例として、水蒸気及びテトラキス(ジメチルアミド)ハフニウムを用いてALDにより酸化ハフニウムが調製された。このALD反応は、反応チャンバ内に送り込まれた前駆体のほぼ全てが基板及びチャンバの露出された壁の上に膜として被着されたという点で、驚くほど効率の良いものであることがわかった。同様に、それは、50ラングミュア未満の蒸気流束(1ラングミュアは前駆体の10-6Torrの分圧によって1秒で1つの表面に送給される流束である)で完了(平坦な表面上での表面反応の飽和)まで至り、驚くほどの高速であるということもわかった。反応の副生物は、被着された酸化ハフニウム膜をエッチングしないジメチルアミン蒸気から成るということが発見された。最も驚くべきことに、テトラキス(アルキルアミド)ハフニウム前駆体の使用により、非常に高いアスペクト比(40以上)をもつ孔においてさえ酸化ハフニウムのきわめて均質な膜のALDに成功した。これとは対照的に、酸化ハフニウム、HfCl4及びHf(O−tert−Bu)4のALDのために先行技術において一般に使用された反応物は、かかる高いアスペクト比をもつ孔におけるHfO2の均質な被着に成功しなかった。
5.反応物の気化及び生成物の被着
液体前駆体の蒸気は、バブラー内、薄膜蒸発器内での加熱を含めた従来の方法によって、又は約100〜250℃に予熱されたキャリヤガスへの噴霧によって、形成できる。噴霧は、空気圧式又は超音波式に実施可能である。固体前駆体は、デカン、ドデカン、テトラデカン、トルエン、キシレン及びメシチレンといったような炭化水素を含めた有機溶剤に、かつエーテル、エステル、ケトン及び塩素化炭化水素と共に、溶解させることができる。液体前駆体の溶液は一般に、純粋液体よりも低い粘度を有し、そのため、場合によっては、純粋液体ではなくむしろ溶液を噴霧し蒸発させる方が好ましいことがある。液体又は溶液は、薄膜蒸発器で又は加熱ゾーン内への液体の直接注入によって蒸発させることもできる。薄膜蒸発器はArtisan Industries(Waltham, Massachusetts)により製造されている。液体の直接気化用の商業用設備はMKS Instruments(Andover, Massachusetts)、ATMI, Inc.(Danbury, Connecticut)、Novellus Systems, Inc.(San Jose, California)及びCOVA Technologies (Colorado Springs, CO)により製造されている。超音波噴霧機(ultrasonic nebulizer)は、Sonotek Corporation (Milton, New York)及びCetac Technologies (Omaha, Nebraska)により製造されている。
本発明のケイ素前駆体は、表1中のもの等の金属又はメタロイドアミドと反応させて、金属又はメタロイドケイ酸塩を形成させることができる。本発明のケイ素前駆体は、表2中のもの等の有機金属化合物を反応させて、金属ケイ酸塩を形成させることができる。本発明のケイ素前駆体は、表3中のもの等の金属又はメタロイドアルコキシドと反応させて金属又はメタロイドケイ酸塩を形成させることができる。本発明のケイ素前駆体は、その他の適当な反応性金属化合物と反応させて、金属ケイ酸塩を形成させることもできる。例えば、トリス(tert−ブトキシ)シラノールをトリス(tert−ブチル(トリメチルシリル)アミド)イットリウム(表1)と反応させてケイ酸イットリウムを形成させることができる(例5及び6)。同様に、トリス(tert−ブトキシ)シラノールをトリス(tert−ブチル(トリメチルシリル)アミド)ランタン(表1)と反応させてケイ酸ランタンを形成させることもできる(例7及び8)。適切な金属及び水との反応によって金属酸化物を得ることができる。トリス(ビス(トリメチルシリル)アミド)ランタンは水蒸気と反応して、よりランタンに富むケイ酸塩を形成する(例21)。酸化ランタンは、トリス(2,2,6,6−テトラメチルピペリジド)ランタンといったケイ素を含まない前駆体から被着させることができる(例22)。
本発明のリン前駆体は、表中のもの等の適切な反応性金属化合物と反応させて、金属リン酸塩を形成させることができる。例えば、例9及び10に示されているように、ジイソプロピルホスフェートをリチウムビス(エチルジメチルシリル)アミド(表1)と反応させて、リチウムイオン導体であるリン酸リチウム膜を被着させるための方法を得ることができる。
本発明の方法は、化学気相成長(CVD)の技術において周知の標準的な設備で実施することができる。CVD装置は、反応物の蒸気を材料が被着する加熱された基板と接触させる。CVD法は、特に通常の大気圧並びにそれより低い圧力をも含めた、さまざまな圧力で運転できる。商業的な大気圧CVD炉は、米国においては、Watkins-Johnson Company(Scotts Valley, California)、BTU International(North Billerica, Massachusetts)及びSierraTherm(Watsonville, California)により製造されている。フロート生産ラインでガラスをコーティングするための商業的な大気圧CVD設備は、米国においては、Pilkington North America(Toledo, Ohio)、PPG Industries (Pittsburgh, Pennsylvania)及びAFG Industries(Kingsport, Tennessee)により製造されている。低圧CVD設備は、Applied Materials(Santa Clara, California)、Spire Corporation (Bedford, Massachusetts)、Materials Research Corporation(Gilbert, Arizona)、Novellus Systems, Inc(San Jose, California)、Genus(Sunneyvale, California)、Mattson Technology (Frement, CA)、Emcore Corporation(Somerset, New Jersey)、NZ Applied Technologies (Woburn, Massachusetts)、COVA Technologies (Clorado Springs, CO)、及びCVC Corporation(Freemont, California)により製造されている。原子層堆積(ALD)に適合した装置は、Genus(Sunneyvale, California)及びASM Microchemistry(Espoo, Finland)より入手可能である。
本発明のプロセスはまた、原子層堆積(ALD)を用いて実施することもできる。ALDは、層の被着用に基板を入れた被着チャンバ内に、計量された量の第1の反応物成分を導入する。基板上に第1の反応物の薄い層が被着される。予め設定された時間の後、計量された量の第2の反応物成分が次に被着チャンバ内に導入され、そしてそれは第1の反応物成分のすでに被着された層の上に被着され、それと相互作用する。第1及び第2の反応物成分が被着チャンバ内に導入され、交互の層が基板上に被着されて、組成及び厚みの制御された層を形成する。被着の交替は秒単位乃至は分単位でよく、導入されたばかりの成分が基板上に被着しかつあらゆる余剰蒸気が基板より上の上部空間から除去されるのに十分な時間を提供するように選択される。表面反応は、予測可能な組成をもつ再現性ある層が被着されるように自己制限的であることが明らかになっている。3つ以上の反応物成分を使用することは本発明の範囲である。
本発明の少なくとも一部の態様においては、自動車燃料インジェクタ(フォードCM−4722F13Z−9F593−A型)を用いて、前駆体の溶液のパルスを窒素キャリヤガス中に送給することができる。溶液は、約5ミリ秒の間、バルブが開放する毎に送り出される。
本発明のもう1つの態様においては、ガスクロマトグラフに試料を注入するのに通常用いられる6ポート式サンプリング弁(ValcoモデルEP4C6WEPH型、Valco Instruments, Houston, TX)を使用して、適当なキャリヤガス中に溶液のパルスを送給することができる。弁が開放される毎に、溶液は管内に流れ込み、この管の中で、その外側を流れる高温の油からの熱により溶液が気化される。キャリヤガスは、蒸気を管からALD反応管内へと移動させる。
少なくとも1つの態様では、層は、図1に示されているもののような装置を用いてALDにより被着される。少なくとも一部の態様によれば、一対の空気作動ダイヤフラム弁50及び70(Parker-Hannifin, Richmond CA製 Titan II型)を用いることにより、測定された量の反応物蒸気30が加熱された被着チャンバ110内に導入される。これらの弁は、体積Vを測定済みのチャンバ60により連結されており、この組立体は、制御された温度T2に保たれたオーブン80の内部に設置される。前駆体タンク10内の反応物蒸気30の圧力は、周囲のオーブン40によって決定される温度T1における固体又は液体反応物20の平衡蒸気圧Peqに等しい、温度T1は、前駆体圧力Peqが被着チャンバ内の圧力Pdepよりも高くなるように充分高いものとなるよう選択される。温度T2は、弁50及び70内又はチャンバ60内に蒸気のみが存在し凝縮した相が存在しないようにT1よりも高くなるよう選択される。気体反応物の場合、その圧力は、前駆体ガスボンベ10内の圧力からその圧力を低下させる圧力調節装置(図示せず)によって設定することができる。
被着チャンバ110内に導入される各々の反応物前駆体について同様の準備がなされる。かくして、前駆体タンク11は、蒸気圧31をもつ固体又は液体反応物21を周囲のオーブン41により維持されている温度T1′に保つ。弁51及び71は、体積V′を測定済みのチャンバ61により連結され、この組立体は温度T2′のオーブン81内に収容される。
被着チャンバ内への反応物の流れ及び反応副生物及び未反応の反応物蒸気のパージを速くするために、キャリヤガス(例えば窒素)が、制御された速度で入口90内に流入する。炉120により加熱され1又は2以上の基板130が入っている被着チャンバ110に入るときのキャリヤガス中の前駆体蒸気の濃度をより均一にするように、反応装置内に通じる配管100の中にスタティックミキサーを設置してもよい。反応副生物及び未反応の反応物蒸気は、真空ポンプ150へと進む前にトラップ140によって除去される。キャリヤガスは排気管160から出ていく。
運転時には、チャンバ60内部の圧力を被着チャンバ110のそれに近い値Pdepまで低下させるように、弁70を開放する。その後、弁70を閉じ、弁50を開いて、前駆体タンク10からチャンバ60へと前駆体蒸気を取入れる。次いで、チャンバ60の体積Vに圧力Peqの前駆体の蒸気が入るように、弁50を閉じる。最後に、チャンバ60内に収容された前駆体蒸気の大部分が被着チャンバ内に入るように、弁70を開放する。このサイクルによって送給される前駆体のモル数nは、蒸気が理想気体の法則、すなわち、
n=(Peq−Pdep)(V/RT1) (14)
(式中のRは気体定数である)に従うものと仮定することによって推定することができる。この式はまた、前駆体蒸気を放出するべく弁70が開放している短かい時間に弁70を通して管90からのキャリヤガスがチャンバ60内に入らないということも仮定している。前駆体蒸気とキャリヤガスとの混合が弁70の開放時間中に起こらなければ、より多量の前駆体蒸気を、チャンバ60内の残留前駆体蒸気が全てキャリヤガスにより置き換えられた場合の最大値、すなわち、
n=(Peq)(V/RT1) (15)
に至るまで、送給することができる。比較的高い蒸気圧(Peq≫Pdep)をもつ前駆体については、前駆体量のこれら2つの推定値の間に大きな差異はない。
前躯体20を送給するこのサイクルは、必要とあらば、所要量の前駆体20が反応チャンバ内に送給されるまで反復される。通常、ALD法においては、このサイクル(又はより多くの量を提供するべく反復される複数のこのようなサイクル)により送給される前躯体20の量は、表面反応を完了(「飽和」とも呼ばれる)させるのに充分になるように選択される。
次に、第1の前躯体20のための装置と同様に番号付けされた構成要素をもつ同様の装置により、第2の前躯体21からの蒸気31の量を測定し、送給することができる。
eqがPdepより小さくなるほどに低い蒸気圧をもつ前躯体の場合、この方法は、いかなる前躯体蒸気も被着チャンバ内に送給しない。蒸気圧は、温度T1を上昇させることにより増大させることができるが、場合によっては、より高い温度は前躯体の熱分解を生じさせることになる。低い蒸気圧をもつ熱に敏感な前躯体のかかる場合においては、図2の装置を用いて蒸気を送給することができる。チャンバ220をまず最初に、圧力制御装置(図示せず)から管240及び弁200を通して送給されたキャリヤガスで昇圧する。その後、弁200を閉じ、弁210を開放して、キャリヤガスが前躯体タンク220を圧力Ptotまで加圧できるようにする。このときタンク10の蒸気空間30内の前躯体蒸気のモル分率は、Peg/Ptotである。Ptotが、被着チャンバ内の圧力Pdepより大きい圧力に設定される場合には、1回の投入で送給されるモル数は、次の式から推定することができる。
n=(Peq/Ptot)(Ptot−Pdep)(V/RT1) (16)
なお、式中のVはチャンバ10内の蒸気空間30の体積である。この投入分は、弁230を開放することにより送給される。管90からのキャリヤガスが弁230開放時間中に体積30の中に入った場合には、この推定値よりも幾分か大きい量を送給することができる。体積Vを充分大きいものにすることによって、表面反応を飽和させるのに間違いなく充分大きい前躯体投入量を送給することができる。蒸気圧Peqが低すぎて所要体積Vが非実際的なほどに大きくなる場合には、体積Vからの追加投入量を、その他の反応物の投入量の送給に先立ち送給することができる。
全体装置の各々の前躯体反応物について同様の装置を用意する。かくして、チャンバ221をまず最初に、圧力制御装置(図示せず)から管241及び弁201を通して送給されたキャリヤガスで加圧する。その後弁201を閉じ、弁211を開放して、キャリヤガスが前躯体タンク11を圧力Ptotまで加圧できるようにする。この投入分は弁231を開放することによって送給される。管91からのキャリヤガスが、被着チャンバまでの計量済み投入分の輸送を促進する。
等温被着ゾーンにおいては、材料は一般に、基板及び内部チャンバ壁を含め、前躯体蒸気に露出された全ての表面上に被着される。かくして、基板及び露出されたチャンバ壁の単位面積あたりのモル数の形で使用された前躯体の投入を報告することが適当なこととなる。
ここに記載されている液体及び溶液も、混合金属酸化物のスプレーコーティング、スピンコーティング又はゾルゲル形成といったようなその他のタイプの被着プロセスのための金属含有前躯体として使用することができる。これらの前躯体の高い溶解度及び混和性は、所要溶液を形成する上での一つの利点である。
これらの例で開示されているアミドは、米国運輸省が公表している方法により非自然性であるように思われた。1つのテストでは、不燃性多孔質固体上に約5ミリリットルの材料を置き、そして自然発生的燃焼が起こらないことを観察することが求められる。もう1つのテストでは、Whatmanの3番ろ紙上に液体又は溶液を0.5ミリリットル垂らし、火炎又はろ紙の炭化が起こらないことを観察することが必要とされる。
前躯体は一般に、周囲空気中の水分と反応し、純粋窒素ガスといったような不活性乾燥雰囲気下で保管すべきである。
本発明は、例示のみを目的とし本発明を制限するものでない以下の例を参照することで理解することができ、本発明の完全な範囲は特許請求の範囲に記されている。
例1.ケイ酸ジルコニウムのCVD
トリス(tert−ブトキシ)シラノールのメシチレン溶液(1重量%)を、0.4L/分で窒素ガスが流れる外径1/16インチのT字継手に6ml/時の速度で圧送した。結果として発生した霧状物は、250℃に加熱した管内に流れ込んだ。テトラキス(エチルメチルアミド)ジルコニウムのメシチレン溶液(1重量%)を、0.4L/分で窒素ガスが流れるもう1つのT字継手に12ml/時の速度で圧送した。結果として発生した霧状物は、同じ加熱された管内に流入した。ガスの圧力は、液体窒素トラップを経由してガラス管の出口に取り付けられた真空ポンプにより5Torrに維持した。管の内部に設置されたケイ素及びガラス状炭素の基板に、管の長さに沿って厚みの変動するケイ酸ジルコニウムの膜でコーティングを施した。ラザフォード後方散乱分光法で膜を分析すると、ガラス状炭素上に被着した膜についてZrSi2O6という組成が得られた。膜内には炭素又は窒素は検出されなかった。ケイ素上に被着した膜の屈折率は、楕円偏光法により約1.6であることが分かった。
例2.ケイ酸ジルコニウムのALD
前駆体を連続的にではなく5秒の間隔をおいた交番パルスで注入したという点を除いて、例1を反復した。同様の組成、つまりZrSi2O6の膜が、加熱ゾーンの全長に沿って均一の厚みで被着した。厚みは1サイクルあたり約0.3nmであった。
例3.ケイ酸ハフニウムのCVD
テトラキス(エチルメチルアミド)ジルコニウムの代わりにテトラキス(エチルメチルアミド)ハフニウムを用いて、例1を反復した。およそHfSi2O6という組成の膜が形成された。膜中には炭素又は窒素は検出されなかった。ケイ素上に被着した膜の屈折率は、楕円偏光法により約1.6であることが分かった。
例4.ケイ酸ハフニウムのALD
前駆体を連続的にではなく5秒の間隔をおいた交番パルスで注入したという点を除いて、例3を反復した。同様の組成、つまりHfSi2O6の膜が、加熱ゾーンの全長に沿って均一の厚みで被着した。厚みは1サイクルあたり約0.3nmであった。
例5.ケイ酸イットリウムのCVD
テトラキス(エチルメチルアミド)ジルコニウムの代わりにトリス(tert−ブチル(トリメチルシリル)アミド)イットリウムを用いて例1を反復した。およそY2Si2O7の組成の膜が形成された。膜中には炭素又は窒素は検出されなかった。ケイ素上に被着した膜の屈折率は、楕円偏光法により約1.6であることが分かった。
例6.ケイ酸イットリウムのALD
前駆体を連続的にではなく5秒の間隔をおいた交番パルスで注入したという点を除いて、例5を反復した。同様の組成、つまりY2Si2O7の膜が、加熱ゾーンの全長に沿って均一の厚みで被着した。厚みは1サイクルあたり約0.3nmであった。組成はおよそY2Si2O7であった。
例7.ケイ酸ランタンのCVD
テトラキス(エチルメチルアミド)ジルコニウムの代わりにトリス(ビス(トリメチルシリル)アミド)ランタンを、メシチレンの代わりにテトラデカンを用いて、例1を反復した。La:Si比が約0.9である膜が、ガラス状炭素基板上に250℃の基板温度で形成された。膜中には炭素又は窒素は検出されなかった。
例8.ケイ酸ランタンのALD
前駆体を連続的にではなく5秒の間隔をおいた交番パルスで注入したという点を除いて、例7を反復した。同様の組成の膜が、加熱ゾーンの全長に沿って均一の厚みで被着した。
例9.リン酸リチウムのCVD
液体のリチウムビス(エチルジメチルシリル)アミド(1重量部)をメシチレン(99部)と混合した。得られた溶液を、250℃に加熱した炉内で管(内径24mm)内部の被着ゾーンへ0.30L/分で流入する窒素ガスへ、T字継手へと12ml/時の速度で圧送することによって噴霧した。同時に、ジイソプロピルホスフェートの1%メシチレン溶液を、同一の管状炉内に0.30L/分で流入するもう1つの窒素キャリヤガス流中へ同じように噴霧した。ガス圧は、液体窒素トラップを経由してガラス管の出口に取り付けられた真空ポンプにより5Torrに維持した。ガラス管の底に置かれたシリコン基板上ならびに管の内部にも、薄い膜が被着した。厚みプロフィールは、管状炉へのガスの入口近くでピークを示した。膜をX線光電子分光法により分析し、リチウム、リン及び酸素を含むことがわかった。
例10.リン酸リチウムのALD
材料を5秒の時間的間隔をおいた交番パルスで導入することに変更して、例9を反復した。被着ゾーン全体を通して厚みがほぼ一定であったという点を除き、同様のリン酸リチウム膜が被着した。
比較例1.トリス(tert−ブトキシ)シラノールのみを用いた対照被着
ケイ素前駆体のみを用いジルコニウム前駆体は用いずに、例1を反復した。膜は被着しなかった。
比較例2.テトラキス(エチルメチルアミド)ジルコニウムのみを用いた対照被着
ジルコニウム前駆体のみを用いケイ素前駆体は用いずに、例1を反復した。膜は被着しなかった。
比較例3.テトラキス(エチルメチルアミド)ハフニウムのみを用いた対照被着
ハフニウム前駆体のみを用いケイ素前駆体は用いずに、例3を反復した。膜は被着しなかった。
比較例4.トリス(tert−ブチル(トリメチルシリル)アミド)イットリウムのみを用いた対照被着
イットリウム前駆体のみを用いケイ素前駆体は用いずに、例5を反復した。膜は被着しなかった。
比較例5.トリス(ビス(トリメチルシリル)アミド)ランタンのみを用いた対照被着
ランタン前駆体のみを用いケイ素前駆体は用いずに、例7を反復した。膜は被着しなかった。
比較例6.ジイソプロピルホスフェートのみを用いた対照被着
リン前駆体のみを用いリチウム前駆体は用いずに、例9を反復した。膜は被着しなかった。
比較例7.リチウムビス(エチルジメチルシリル)アミドのみを用いた対照被着
リチウム前駆体のみを用いリン前駆体は用いずに、例9を反復した。膜は被着しなかった。
例11.金属ケイ酸塩及びリン酸塩のADL形成
窒素キャリヤガス中へ前躯体の溶液のパルスを送給するのに自動車用燃料インジェクタ(フォードCM−4722F13Z−9F593−A型)を用いて、ALD例2、4、6、8及び10を反復した。弁が約50ミリ秒開放される毎に、約0.05mの溶液が送給された。同様の結果が得られた。
ALD例2、4、6、8及び10を、窒素キャリヤガス中へテトラデカン溶液のパルスを送給するためガスクロマトグラフへ試料を注入するのに通常用いられる6ポート式サンプリング弁(Valco EP4C6WEPH型、Valco Instruments, Houston, TX)を使用して、反復した。50マイクロリットルの容積をもつ外部試料ループを使用した。バルブが開放される毎に、約50マイクロリットルの溶液が外径1/16インチ、内径0.040インチのニッケル管内に流れ込み、この管の中で溶液を、管の外側全体にわたり流れる高温の油からの熱によって気化させた。窒素キャリヤガスが、小さい管からALD反応装置管へと蒸気を移動させた。同様の結果が得られた。
もう1つの一連の例においては、室温で液体である前躯体のパルスを、小さい(0.5マイクロリットル)内部サンプリングループをもつ4ポート式サンプリング弁(Valco EH2CI4WE.5PH、Valco Instruments, Houston, TX)を用いた例2、4、6、8及び10と同様のALD実験のために送給した。バルブが開放する毎に、約0.5マイクロリットルの液が外径1/16インチ、内径0.040インチのニッケル管内に流れ込み、この管の中で液を管の外側全体にわたり流れる高温の油からの熱によって気化させた。窒素キャリヤガスが、小さい管からALD反応装置管内へと蒸気を移動させた。同様の結果が得られた。
例12.酸化ハフニウムのALD
図1の装置を使用して酸化ハフニウムの層を被着させた。250℃に保った被着チャンバ内に5秒毎に交互に、0.5×10-9モル/cm2の量テトラキス(ジメチルアミド)ハフニウム蒸気及び4×10-9モル/cm2の量の水蒸気を注入した。チャンバには、0.15Torrの圧力を維持するのに充分な窒素キャリヤガスの連続流も供給した。被着チャンバは、チャンバを通る気体流の方向に対し垂直な平面内で2.3平方センチメートルの断面積であった。被着チャンバの出口は、約0.012秒で被着チャンバに等しい体積を吸い出すのに充分な能力(195リットル/分)をもつ真空ポンプに接続した。
これらの反応条件の結果として、被着チャンバ内の基板上及びその内壁の上に透明な電気絶縁性酸化ハフニウム膜が被着した。その組成は、ガラス状炭素基板上の膜のラザフォード後方散乱分光法(RBS)によりHfO2であるものと測定された。炭素又は窒素は検出されなかった(1原子パーセント未満)。楕円偏光法により、その厚みは0.1ナノメートル/サイクル、その屈折率は2.05と測定された。RBS及び楕円偏光法からのデータを組合わせると、約9という密度が得られた。厚みは、被着領域全体にわたり一定で、推定測定誤差は約1%以内であった。小角X線反射率測定により厚みを確認して、9.23g/cm3という密度が得られた。X線反射率はまた、膜が非常に平滑なものであり、厚み43nmの膜についての根平均二乗表面粗さが約0.4nmであることも示した。走査型電子顕微鏡で調べると、150℃で成長させた膜は250℃で成長させたものよりもさらに一層平滑であることが示された。
いずれか一方の反応物の量をより多くして例12を反復したところ、膜の厚みは増大せず、またその特性が変化することもなかった。これらの結果は、表面反応が自己制限的であることを示している。この結論は、被着チャンバ110内部に水晶微量てんびん(図示せず)を設置することによって確認され、これによると、最初に被着した塊の量が増大しその後各々の量が増大するにつれて水平になることが示された。これらの自己制限的表面反応の結果として、50を上回る長さ対直径比の孔の内部に均一な膜が被着できた。これらの孔内部の厚みの均一性は、孔の無い平坦な表面上の反応の飽和に必要とされる最小値の10倍まで使用量を増大させることで改善された。真空ポンプの能力(速度)を低減させることも、被着チャンバを通り抜ける蒸気の線速度を減少させ、それにより蒸気が孔を下へと拡散できる時間を増加させること、すなわち流束(露出のラングミュア)を増大させることによって、ステップカバレッジを向上させる一助となる。図3は、非常に均一な厚みを明らかに示すべく割られた、酸化ハフニウムでコーティングされた孔の走査型顕微鏡写真を示している。酸化ハフニウム層は、暗い背景として現われるケイ素内の、狭い垂直の孔の各々の輪郭を描く明るいラインである。この顕微鏡写真の上部には、酸化ハフニウムの被着に先立ちそこから孔がエッチングされたケイ素の上部表面がある。
基板温度を100℃〜300℃の範囲として例12を反復して、同様の結果が得られた。300℃を超える温度では、テトラキス(ジメチルアミド)ハフニウムの量を増加させるにつれて厚みは増大した。このことは、テトラキス(ジメチルアミド)ハフニウムの熱分解に起因して、300℃を超える温度では表面の反応が自己制御的でないことを示している。
例13.酸化ジルコニウムのALD
テトラキス(ジメチルアミド)ハフニウムの代わりにテトラキス(ジメチルアミド)ジルコニウムを用いて、例12を反復した。同様の特性をもつ二酸化ジルコニウムの膜が被着した。
例14.酸化ハフニウムのALD
水蒸気の代わりにtert−ブタノール蒸気を用いて例12を反復した。同様の特性をもつ二酸化ハフニウムの膜が被着した。
例15.酸化タンタルのALD
テトラキス(ジメチルアミド)ハフニウム蒸気の代わりにエチルイミドトリス(ジエチルアミド)タンタル蒸気用いて、例12を反復した。Ta2O5の透明な膜が被着した。それらは、屈折率が2.2であり、1サイクルあたりの厚みが約0.06nmであった。
例16.リン酸アルミニウムのALD
基板温度400℃で、ジイソプロピルホスフェートとトリメチルアルミニウムの蒸気の3×10-9モル/cm2という投入量を交互に用いてALDを実施した。おおよそAl24O13の組成をもつ透明なリン酸アルミニウム膜が、1サイクルあたり0.1nmの速度で被着した。それらの屈折率は約1.5であった。
例17.ケイ酸アルミニウムのALD
基板温度300℃で、3×10-9モル/cm2の量のトリメチルアルミニウム蒸気と1.2×10-8モル/cm2の量のトリス(tert−ブトキシ)シラノール蒸気を交互に用いてALDを実施した。おおよそAl2Si8O19という組成を有する透明なケイ酸アルミニウム膜が、1サイクルあたり1nmという著しく高い速度で被着した。それらの屈折率は約1.48であった。膜の表面は非常に平滑である。原子間力顕微鏡により、厚さ150nmのケイ酸アルミニウム膜について0.8nm未満の根平均二乗粗さが測定された。シリカ基板上の厚さ2マイクロメータの膜における引張応力は、約0.2ギガパスカルであると測定された。単結晶ケイ素上に被着した同様の膜は、0.03ギガパスカルというそれより小さい引張応力を示した。厚さ6ミクロンの膜は、引張応力を原因とする亀裂や剥離を示した。
この引張応力は、プラズマ処理によって、減らし、なくし、あるいは圧縮応力へと逆転させることも可能である。薄い層(例えば5〜10nmといった)を被着後に一時的に被着を停止させ、高周波プラズマを(O+アルゴンといった低圧ガス中で)適用し、その後プラズマ出力を停止して被着を再開させる。特定の利用分野、特により厚い膜を必要とする利用分野の必要条件に適合させた引張又は圧縮応力値を有するより厚い層を構築するためには、被着とプラズマ処理の多重サイクルを利用することができる。
例18.ケイ酸アルミニウムのALD
基板温度200℃で、3×10-9モル/cm2の量のトリメチルアルミニウム蒸気と3×10-8モル/cm2の量のトリス(tert−ブトキシ)シラノール蒸気を交互に用いてALDを実施した。おおよそAl2Si16O35の組成を有する透明なケイ酸アルミニウム膜が、1サイクルあたり2nmという著しく高い速度で被着した。それらの屈折率は約1.47であった。
例19.ケイ酸アルミニウムのALD
基板温度250℃で、3×10-9モル/cm2の量のトリス(ジメチルアミノ)アルミニウム蒸気と3×10-8モル/cm2のトリス(tert−ブトキシ)シラノール蒸気を交互に用いてALDを実施した。0.1nm/サイクルの厚み及び約1.46の屈折率をもつケイ酸アルミニウム膜が形成された。
例20.ケイ酸アルミニウムのALD
トリス(tert−ブトキシ)シラノール蒸気の代わりにトリス(tert−ペンチルオキシ)シラノール蒸気を用いて、例19を反復した。同様の結果が得られた。
例21.ケイ酸アルミニウムのALD
トリス(ジメチルアミノ)アルミニウム蒸気とトリス(tert−ブトキシ)シラノール蒸気の投入の間に水蒸気を投入して、例19を反復した。気体流の方向に沿って0.1nm/サイクル(±1%)という非常に均一の厚みをもつ同様の膜が得られた。
例22.ケイ酸ランタンのALD
テトラキス(ジメチルアミド)ハフニウム蒸気の代わりにトリス(ビス(トリメチルシリル)アミド)ランタン蒸気を用い、図2の装置を上述の通りに使用して、例12を反復した。250℃の基板温度で基板上に約2というLa:Si比をもつ透明な酸化物膜が形成された。膜中に炭素又は窒素は検出されなかった。それらの屈折率は1.7、厚さは1サイクルあたり約0.1nmである。
例23.酸化ランタンのALD
図2の装置を用い、トリス (2,2,6,6−テトラメチルピペリジド)ランタン蒸気と水蒸気を交互に投入してALDを実施し、酸化ランタン膜を形成することができる。
例24.二酸化ケイ素のALD
テトライソシアナトシラン蒸気及びトリス (tert−ブトキシ)シラノール蒸気を交互に投入してALDを実施し、酸化ケイ素腹を形成することができる。これらの比較的反応性が低い前駆体については、より大きい露出流束(>10-7ラングミュア)が必要とされる。
当業者であれば、日常的な実験を用いるだけで、ここに具体的に記載した発明の特定の態様と同等のものを数多く認識するか又は確認できよう。かかる同等のものは、特許請求の範囲に記載されたものの範囲内に包含されるものである。
本発明の少なくとも1つの態様の実施において使用される原子層堆積装置の断面図である。 本発明の少なくとも1つの態様の実施において使用される原子層堆積装置の断面図である。 本発明の1態様を用いた二酸化ハフニウムで均一にコーティングされたシリコンウェーハ内の孔の断面走査電子顕微鏡写真である。

Claims (28)

  1. ケイ素、酸素及び1種以上の金属を含む材料を形成するための方法であって、
    アルコキシシラノール及びアルコキシシランジオールのうちの一方の蒸気を金属化合物の1種以上のものの蒸気と一緒に反応させること、
    を含む材料形成方法。
  2. ケイ素、酸素及び1種以上の金属を含む材料を形成するための方法であって、
    アルコキシシラノール及びアルコキシシランジオールのうちの一方の蒸気及び金属化合物の1種以上のものの蒸気に対して交互に基板を露出させて基板上に膜を形成すること、
    を含む材料形成方法。
  3. 化合物を基板上に膜として被着させる、請求項1に記載の方法。
  4. シラノールが、下式
    【化1】
    Figure 0005290488
    を有し、式中Rnが水素、アルキル基、フルオロアルキル基又はその他の原子もしくは基により置換されたアルキル基を表し、RnがR1からR9基のうちのいずれか1つであり、Rnが同一又は異なるものである、請求項1又は2に記載の方法。
  5. n基が1〜4個の炭素を含有し、同一の又は異なるものである、請求項4に記載の方法。
  6. n基が全てメチル基である、請求項5に記載の方法。
  7. 1、R4及びR7がエチル基であり、R2、R3、R5、R6、R8及びR9がメチル基であり、シラノールが下式
    【化2】
    Figure 0005290488
    を有する、請求項5に記載の方法。
  8. 属化合物が金属−窒素結合を含有する、請求項1又は2に記載の方法。
  9. 金属化合物が次の化合物、すなわち、Al(N(SiMe 3 ) 2 ) 3 、Al 2 (NEt 2 ) 6 、Al 2 (NEtMe) 6 、Al(N i Pr 2 ) 3 、Al 2 (NMe 2 ) 6 、Al(N(Et)CH 2 CH 2 NMe 2 )(NMe 2 ) 2 、As(NMe 2 ) 3 、As(N(Me)(SiMe 3 )) 3 、B(NMe 2 ) 3 、B(NEt 2 ) 3 、Ba(N(SiMe 3 ) 2 ) 2 、Be(NMe 2 ) 2 、Be(N(SiMe 3 ) 2 ) 2 、Be(2,2,6,6-テトラメチルピペリジド) 2 、Bi(N(SiMe 3 ) 2 ) 3 、Bi(N(Me)(SiMe 3 )) 3 、Ca(N(SiMe 3 ) 2 ) 2 、Cd(N(SiMe 3 ) 2 ) 2 、Cd(N t BuSiMe 3 ) 2 、Cd(2,2,6,6-テトラメチルピペリジド) 2 、Ce(N(SiMe 3 ) 2 ) 3 、Ce(N i Pr 2 ) 3 、Co(N(SiBuMe 2 ) 2 ) 2 、Co(N(SiEtMe 2 ) 2 ) 2 、Co(N(SiMe 3 ) 2 ) 2 、Co(N(SiMe 3 ) 2 ) 3 、Co(N(SiPrMe 2 ) 2 ) 2 、Cr(N(SiMe 3 ) 2 ) 3 、Cr(NEt 2 ) 4 、Cr(N i Pr 2 ) 3 、Cr(NMe 2 ) 4 、Cu 4 (N(SiMe 3 ) 2 ) 4 、Er(N(SiMe 3 ) 2 ) 3 、Eu(N(SiMe 3 ) 2 ) 3 、Fe(N(SiBuMe 2 ) 2 ) 2 、Fe(N(SiMe 3 ) 2 ) 2 、Fe(N(SiMe 3 ) 2 ) 3 、Ga(NMe 2 ) 3 、Ga(NEt 2 ) 3 、Ga(N(SiMe 3 ) 2 ) 3 、Ga(N t BuSiMe 3 ) 3 、Ga(2,2,6,6-テトラメチルピペリジド) 3 、Ga(N(Me)CH 2 CH 2 NMe 2 )(NMe 2 ) 2 、Gd(N(SiMe 3 ) 2 ) 3 、Ge(N(SiMe 3 ) 2 ) 2 、Ge(NEt 2 ) 4 、Ge(NMe 2 ) 4 、Ge(N t Bu 2 ) 2 、Ge(N t BuSiMe 3 ) 2 、Ge(2,2,6,6-テトラメチルピペリジド) 2 、Hf(NEt 2 ) 4 、Hf(NEtMe) 4 、Hf(NMe 2 ) 4 、Hg(N(SiMe 3 ) 2 ) 2 、Ho(N(SiMe 3 ) 2 ) 3 、In(N(SiMe 3 ) 2 ) 3 、In(2,2,6,6-テトラメチルピペリジド) 3 、KN(SiHexMe 2 ) 2 、KN(SiMe 3 ) 2 、La(N(SiMe 3 ) 2 ) 3 、La(N t BuSiMe 3 ) 3 、La(N i Pr 2 ) 3 、La(2,2,6,6-テトラメチルピペリジド) 3 、LiN(SiEtMe 2 ) 2 、LiN(SiMe 3 ) 2 、Li(2,2,6,6-テトラメチルピペリジド)、Lu(N(SiMe 3 ) 2 ) 3 、Mg(N(SiMe 3 ) 2 ) 2 、Mg(2,2,6,6-テトラメチルピペリジド) 2 、Mn(N(SiBuMe 2 ) 2 ) 2 、Mn(N(SiMe 3 ) 2 ) 2 、Mn(N(SiMe 3 ) 2 ) 3 、Mo(N t BuSiMe 3 ) 3 、Mo 2 (NEt 2 ) 6 、Mo 2 (NMe 2 ) 6 、Mo(NEt 2 ) 4 、Mo(NMe 2 ) 4 、NaN(Si n BuMe 2 ) 2 、NaN(SiMe 3 ) 2 、Nb(N(SiMe 3 ) 2 ) 3 、Nb(NEt 2 ) 4 、Nb(NEt 2 ) 5 、Nb(NMe 2 ) 5 、Nd(N(SiMe 3 ) 2 ) 3 、Nd(N i Pr 2 ) 3 、Ni(N(SiMe 3 ) 2 ) 2 、Pb(N(SiMe 3 ) 2 ) 2 、Pb(N t BuSiMe 3 ) 2 、Pr(N(SiMe 3 ) 2 ) 3 、Sb(NMe 2 ) 3 、Sb(N(Me)(SiMe 3 )) 3 、Sc(N(SiMe 3 ) 2 ) 3 、SiH 2 (NMe 2 ) 2 、SiH(NMe 2 ) 3 、Si(NMe 2 ) 4 、Si(NHMe) 4 、Si(NHn-Pr) 4 、Si(NEt 2 ) 4 、Si(NCO) 4 、Sm(N(SiMe 3 ) 2 ) 3 、Sn(N(SiMe 3 ) 2 ) 2 、Sn(NEt 2 ) 4 、Sn(NMe 2 ) 4 、Sn(N t Bu 2 ) 2 、Sn(N t Bu 2 ) 3 、Sn(N t BuSiMe 3 ) 2 、Sn(N t BuSiMe 3 ) 3 、Sn(2,2,6,6-テトラメチルピペリジド) 2 , Sr(N(SiMe 3 ) 2 ) 2 、Ta(NEt 2 ) 4 、Ta(NMe 2 ) 5 、Ta(N t Bu)(NEt 2 ) 3 、Ta(NEt)(NEt 2 ) 3 、Tb(N(SiMe 3 ) 2 ) 3 、Th(NEt 2 ) 4 、Th(NPr 2 ) 4 、Ti(N(SiMe 3 ) 2 ) 3 、Ti(NEt 2 ) 4 、Ti(N i Pr 2 ) 3 、Ti(N i Pr 2 ) 4 、Ti(NMe 2 ) 4 T1(N(SiMe 3 ) 2 ) 3 、U(N(SiMe 3 ) 2 ) 3 、U(NEt 2 ) 4 、U(NPr 2 ) 4 、V(N(SiMe 3 ) 2 ) 3 、V(NEt 2 ) 4 、V(NMe 2 ) 4 、V(O)(NMe 2 ) 3 、W 2 (NEt 2 ) 6 、W 2 (NMeEt) 6 、W 2 (NMe 2 ) 6 、W(N t Bu) 2 (NH t Bu) 2 、W(N t Bu) 2 (NEtMe) 2 、W(N t Bu) 2 (NMe 2 ) 2 、Y(N(SiMe 3 ) 2 ) 3 、Y(N i Pr 2 ) 3 、Y(N t BuSiMe 3 ) 3 、Y(2,2,6,6-テトラメチルピペリジド) 3 、Yb(N(SiMe 3 ) 2 ) 3 、Yb(N i Pr 2 ) 3 、Zn(N(SiMe 3 ) 2 ) 2 、Zn(N t Bu 2 ) 2 、Zn(2,2,6,6-テトラメチルピペリジド) 2 , Zr(NEt 2 ) 4 、Zr(NEtMe) 4 、Zr(N i Pr 2 ) 4 、及びZr(NMe 2 ) 4 から選択される、請求項8に記載の方法。
  10. 金属化合物が次の化合物、すなわち、AlMe 3 、Ba(n-PrMe 4 Cp) 2 、Ba( i Pr 4 Cp) 2 、Ba(Me 5 Cp) 2 、BeEt 2 、BiMe 3 、Ca( i Pr 4 Cp) 2 、Ca(Me 5 Cp) 2 、CdMe 2 、CeCp 3 、Ce( i PrCp) 3 、Ce(Me 4 Cp) 3 、CoCp 2 、CoCp(CO) 2 、Co(CO) 3 NO、CrCp 2 、Cr(Me 5 Cp) 2 、Cr( i PrCp) 2 、Cr(EtBz) 2 、CuCpPEt 3 、Er(Cp) 3 、Er( i PrCp) 3 、Er(BuCp) 3 、Eu(Me 4 Cp) 3 、FeCp(Me 2 NCH 2 Cp)、FeCp( l BuCp)、GaMe 3 、GdCp 3 、Gd( i PrCp) 3 、InCp 3 、In(Me 5 Cp) 3 、InMe 3 、Ir(MeCp)(l,5-COD)、La( 1 PrCp) 3 、LaCp 3 、LaCp 3 (NCCH 3 ) 2 、La(Me 2 NC 2 H 4 Cp) 3 、Mg(PrCp) 2 、Mg(EtCp) 2 、MgCp 2 、MnCp 2 、Mn(EtCp) 2 、Mn(Me 5 Cp) 2 、Mo(EtBz) 2 、NdCp 3 、Nd( i PrCp) 3 、Ni(PF 3 ) 4 、PrCp 3 、Pr( i PrCp) 3 、SbEt 3 、ScCp 3 、SmCp 3 、Sm( i PrCp) 3 、Sr( i Pr 4 Cp) 2 、Sr(Me 5 Cp) 2 、TmCp 3 、Tm( i PrCp) 3 、TICp、VCp 2 、V(EtCp) 2 、W( 1 PrCp) 2 H 2 、YCp 3 、Y(MeCp) 3 、Y( n PrCp) 3 、Y(BuCp) 3 、YbCp 3 、Yb( i PrCp) 3 、ZnEt 2 、ZnMe 2 、ZrCp 2 Me 2 、及びZr( t BuCp) 2 Me 2 (これらの式中、Cpはシクロペンタジエニドの略号であり、Me 5 Cpはペンタメチルシクロペンタジエニドを表わし、 i PrCpはイソプロピルシクロペンタジエニドを表わし、 i PrMe 4 Cpはイソプロピルテトラメチルシクロペンタジエニドの略であり、 i Pr 4 Cpはテトライソプロピルシクロペンタジエニドの略であり、EtCpはエチルシクロペンタジエニドの略であり、PrCpはプロピルシクロペンタジエニドの略であり、 i PrCpはイソプロピルシクロペンタジエニドの略であり、BuCpはブチルシクロペンタジエニドの略であり、Bzはベンゼニド、EtBzはエチルベンゼニドの異性体の混合物、1,5−CODは1,5−シクロオクタジエニドの略である)、から選択される、請求項1または2に記載の方法。
  11. 金属化合物が次の化合物、すなわち、Al 2 Et 3 (O-sec-Bu) 3 、B(OMe) 3 、Hf(O t Bu) 4 、Nb(OEt) 5 、Ta(OEt) 5 、Ti(O i Pr) 4 、Y(OCMe 2 CH 2 NMe 2 ) 3 、及びZr(O t Bu) 4 から選択される、請求項1又は2に記載の方法。
  12. リン、酸素及び1種以上の金属を含む材料を形成するための方法であって、
    ビス(アルキル)ホスフェートの蒸気を金属化合物の1種以上のものの蒸気と反応させること、
    を含む材料形成方法。
  13. リン、酸素及び1種以上の金属を含む材料を形成するための方法であって、
    ビス(アルキル)ホスフェートの蒸気と金属化合物1種以上のものの蒸気とに対して交互に基板を露出させて基板上に膜を形成すること、
    を含む材料形成方法。
  14. リン、酸素及び1種以上の金属を含む材料を基板上に膜として被着させる、請求項12に記載の方法。
  15. ビス(アルキル)ホスフェートが、下式
    【化3】
    Figure 0005290488
    を有し、式中Rnが水素、アルキル基、フルオロアルキル基又はその他の原子もしくは基により置換されたアルキル基であり、RnがR1からR6基のうちのいずれか1つであり、Rnが同一の又は異なるものである、請求項12又は13に記載の方法。
  16. n基が1〜4個の炭素を含有し、同一の又は異なるものであることができる、請求項15に記載の方法。
  17. 1、R3、R4及びR6基がメチル基であり、R2及びR5基が水素であり、化合物が下式
    【化4】
    Figure 0005290488
    を有する、請求項16に記載の方法。
  18. 属化合物が金属−窒素結合を含有する、請求項12又は13に記載の方法。
  19. 金属化合物が次の化合物、すなわち、Al(N(SiMe 3 ) 2 ) 3 、Al 2 (NEt 2 ) 6 、Al 2 (NEtMe) 6 、Al(N i Pr 2 ) 3 、Al 2 (NMe 2 ) 6 、Al(N(Et)CH 2 CH 2 NMe 2 )(NMe 2 ) 2 、As(NMe 2 ) 3 、As(N(Me)(SiMe 3 )) 3 、B(NMe 2 ) 3 、B(NEt 2 ) 3 、Ba(N(SiMe 3 ) 2 ) 2 、Be(NMe 2 ) 2 、Be(N(SiMe 3 ) 2 ) 2 、Be(2,2,6,6-テトラメチルピペリジド) 2 、Bi(N(SiMe 3 ) 2 ) 3 、Bi(N(Me)(SiMe 3 )) 3 、Ca(N(SiMe 3 ) 2 ) 2 、Cd(N(SiMe 3 ) 2 ) 2 、Cd(N t BuSiMe 3 ) 2 、Cd(2,2,6,6-テトラメチルピペリジド) 2 、Ce(N(SiMe 3 ) 2 ) 3 、Ce(N i Pr 2 ) 3 、Co(N(SiBuMe 2 ) 2 ) 2 、Co(N(SiEtMe 2 ) 2 ) 2 、Co(N(SiMe 3 ) 2 ) 2 、Co(N(SiMe 3 ) 2 ) 3 、Co(N(SiPrMe 2 ) 2 ) 2 、Cr(N(SiMe 3 ) 2 ) 3 、Cr(NEt 2 ) 4 、Cr(N i Pr 2 ) 3 、Cr(NMe 2 ) 4 、Cu 4 (N(SiMe 3 ) 2 ) 4 、Er(N(SiMe 3 ) 2 ) 3 、Eu(N(SiMe 3 ) 2 ) 3 、Fe(N(SiBuMe 2 ) 2 ) 2 、Fe(N(SiMe 3 ) 2 ) 2 、Fe(N(SiMe 3 ) 2 ) 3 、Ga(NMe 2 ) 3 、Ga(NEt 2 ) 3 、Ga(N(SiMe 3 ) 2 ) 3 、Ga(N t BuSiMe 3 ) 3 、Ga(2,2,6,6-テトラメチルピペリジド) 3 、Ga(N(Me)CH 2 CH 2 NMe 2 )(NMe 2 ) 2 、Gd(N(SiMe 3 ) 2 ) 3 、Ge(N(SiMe 3 ) 2 ) 2 、Ge(NEt 2 ) 4 、Ge(NMe 2 ) 4 、Ge(N t Bu 2 ) 2 、Ge(N t BuSiMe 3 ) 2 、Ge(2,2,6,6-テトラメチルピペリジド) 2 、Hf(NEt 2 ) 4 、Hf(NEtMe) 4 、Hf(NMe 2 ) 4 、Hg(N(SiMe 3 ) 2 ) 2 、Ho(N(SiMe 3 ) 2 ) 3 、In(N(SiMe 3 ) 2 ) 3 、In(2,2,6,6-テトラメチルピペリジド) 3 、KN(SiHexMe 2 ) 2 、KN(SiMe 3 ) 2 、La(N(SiMe 3 ) 2 ) 3 、La(N t BuSiMe 3 ) 3 、La(N i Pr 2 ) 3 、La(2,2,6,6-テトラメチルピペリジド) 3 、LiN(SiEtMe 2 ) 2 、LiN(SiMe 3 ) 2 、Li(2,2,6,6-テトラメチルピペリジド)、Lu(N(SiMe 3 ) 2 ) 3 、Mg(N(SiMe 3 ) 2 ) 2 、Mg(2,2,6,6-テトラメチルピペリジド) 2 、Mn(N(SiBuMe 2 ) 2 ) 2 、Mn(N(SiMe 3 ) 2 ) 2 、Mn(N(SiMe 3 ) 2 ) 3 、Mo(N t BuSiMe 3 ) 3 、Mo 2 (NEt 2 ) 6 、Mo 2 (NMe 2 ) 6 、Mo(NEt 2 ) 4 、Mo(NMe 2 ) 4 、NaN(Si n BuMe 2 ) 2 、NaN(SiMe 3 ) 2 、Nb(N(SiMe 3 ) 2 ) 3 、Nb(NEt 2 ) 4 、Nb(NEt 2 ) 5 、Nb(NMe 2 ) 5 、Nd(N(SiMe 3 ) 2 ) 3 、Nd(N i Pr 2 ) 3 、Ni(N(SiMe 3 ) 2 ) 2 、Pb(N(SiMe 3 ) 2 ) 2 、Pb(N t BuSiMe 3 ) 2 、Pr(N(SiMe 3 ) 2 ) 3 、Sb(NMe 2 ) 3 、Sb(N(Me)(SiMe 3 )) 3 、Sc(N(SiMe 3 ) 2 ) 3 、SiH 2 (NMe 2 ) 2 、SiH(NMe 2 ) 3 、Si(NMe 2 ) 4 、Si(NHMe) 4 、Si(NHn-Pr) 4 、Si(NEt 2 ) 4 、Si(NCO) 4 、Sm(N(SiMe 3 ) 2 ) 3 、Sn(N(SiMe 3 ) 2 ) 2 、Sn(NEt 2 ) 4 、Sn(NMe 2 ) 4 、Sn(N t Bu 2 ) 2 、Sn(N t Bu 2 ) 3 、Sn(N t BuSiMe 3 ) 2 、Sn(N t BuSiMe 3 ) 3 、Sn(2,2,6,6-テトラメチルピペリジド) 2 , Sr(N(SiMe 3 ) 2 ) 2 、Ta(NEt 2 ) 4 、Ta(NMe 2 ) 5 、Ta(N t Bu)(NEt 2 ) 3 、Ta(NEt)(NEt 2 ) 3 、Tb(N(SiMe 3 ) 2 ) 3 、Th(NEt 2 ) 4 、Th(NPr 2 ) 4 、Ti(N(SiMe 3 ) 2 ) 3 、Ti(NEt 2 ) 4 、Ti(N i Pr 2 ) 3 、Ti(N i Pr 2 ) 4 、Ti(NMe 2 ) 4 T1(N(SiMe 3 ) 2 ) 3 、U(N(SiMe 3 ) 2 ) 3 、U(NEt 2 ) 4 、U(NPr 2 ) 4 、V(N(SiMe 3 ) 2 ) 3 、V(NEt 2 ) 4 、V(NMe 2 ) 4 、V(O)(NMe 2 ) 3 、W 2 (NEt 2 ) 6 、W 2 (NMeEt) 6 、W 2 (NMe 2 ) 6 、W(N t Bu) 2 (NH t Bu) 2 、W(N t Bu) 2 (NEtMe) 2 、W(N t Bu) 2 (NMe 2 ) 2 、Y(N(SiMe 3 ) 2 ) 3 、Y(N i Pr 2 ) 3 、Y(N t BuSiMe 3 ) 3 、Y(2,2,6,6-テトラメチルピペリジド) 3 、Yb(N(SiMe 3 ) 2 ) 3 、Yb(N i Pr 2 ) 3 、Zn(N(SiMe 3 ) 2 ) 2 、Zn(N t Bu 2 ) 2 、Zn(2,2,6,6-テトラメチルピペリジド) 2 , Zr(NEt 2 ) 4 、Zr(NEtMe) 4 、Zr(N i Pr 2 ) 4 、及びZr(NMe 2 ) 4 から選択される、請求項18に記載の方法。
  20. 金属化合物が次の化合物、すなわち、AlMe 3 、Ba(n-PrMe 4 Cp) 2 、Ba( i Pr 4 Cp) 2 、Ba(Me 5 Cp) 2 、BeEt 2 、BiMe 3 、Ca( i Pr 4 Cp) 2 、Ca(Me 5 Cp) 2 、CdMe 2 、CeCp 3 、Ce( i PrCp) 3 、Ce(Me 4 Cp) 3 、CoCp 2 、CoCp(CO) 2 、Co(CO) 3 NO、CrCp 2 、Cr(Me 5 Cp) 2 、Cr( i PrCp) 2 、Cr(EtBz) 2 、CuCpPEt 3 、Er(Cp) 3 、Er( i PrCp) 3 、Er(BuCp) 3 、Eu(Me 4 Cp) 3 、FeCp(Me 2 NCH 2 Cp)、FeCp( l BuCp)、GaMe 3 、GdCp 3 、Gd( i PrCp) 3 、InCp 3 、In(Me 5 Cp) 3 、InMe 3 、Ir(MeCp)(l,5-COD)、La( 1 PrCp) 3 、LaCp 3 、LaCp 3 (NCCH 3 ) 2 、La(Me 2 NC 2 H 4 Cp) 3 、Mg(PrCp) 2 、Mg(EtCp) 2 、MgCp 2 、MnCp 2 、Mn(EtCp) 2 、Mn(Me 5 Cp) 2 、Mo(EtBz) 2 、NdCp 3 、Nd( i PrCp) 3 、Ni(PF 3 ) 4 、PrCp 3 、Pr( i PrCp) 3 、SbEt 3 、ScCp 3 、SmCp 3 、Sm( i PrCp) 3 、Sr( i Pr 4 Cp) 2 、Sr(Me 5 Cp) 2 、TmCp 3 、Tm( i PrCp) 3 、TICp、VCp 2 、V(EtCp) 2 、W( 1 PrCp) 2 H 2 、YCp 3 、Y(MeCp) 3 、Y( n PrCp) 3 、Y(BuCp) 3 、YbCp 3 、Yb( i PrCp) 3 、ZnEt 2 、ZnMe 2 、ZrCp 2 Me 2 、及びZr( t BuCp) 2 Me 2 (これらの式中、Cpはシクロペンタジエニドの略号であり、Me 5 Cpはペンタメチルシクロペンタジエニドを表わし、 i PrCpはイソプロピルシクロペンタジエニドを表わし、 i PrMe 4 Cpはイソプロピルテトラメチルシクロペンタジエニドの略であり、 i Pr 4 Cpはテトライソプロピルシクロペンタジエニドの略であり、EtCpはエチルシクロペンタジエニドの略であり、PrCpはプロピルシクロペンタジエニドの略であり、 i PrCpはイソプロピルシクロペンタジエニドの略であり、BuCpはブチルシクロペンタジエニドの略であり、Bzはベンゼニド、EtBzはエチルベンゼニドの異性体の混合物、1,5−CODは1,5−シクロオクタジエニドの略である)、から選択される、請求項12または13に記載の方法。
  21. 金属化合物が次の化合物、すなわち、Al 2 Et 3 (O-sec-Bu) 3 、B(OMe) 3 、Hf(O t Bu) 4 、Nb(OEt) 5 、Ta(OEt) 5 、Ti(O i Pr) 4 、Y(OCMe 2 CH 2 NMe 2 ) 3 、及びZr(O t Bu) 4 から選択される、請求項13又は14に記載の方法。
  22. 酸素を含む材料を形成するための方法であって、
    1種以上のアレーン水和物の蒸気及び1種以上の金属化合物の蒸気に対して基板を露出させて金属酸化物を形成すること、
    を含む材料形成方法。
  23. アレーン水和物が、ベンゼン水和物、ナフタレン水和物、置換されたベンゼン水和物又は置換されたナフタレン水和物である、請求項22に記載の方法。
  24. 金属酸化物を形成するための方法であって、
    ジアルキルアミド、ジシリルアミド及び(アルキル)(シリル)アミド部分からなる群から選ばれるアミド基を有する1種以上の金属アミドの蒸気に対し、そして次に水又はアルコールの蒸気に対して交互に加熱表面を露出させること、
    を含む金属酸化物形成方法。
  25. アルコールがアレーン水和物である、請求項24に記載の方法。
  26. 単数又は複数種の金属アミドが次の化合物、すなわち、Al(N(SiMe 3 ) 2 ) 3 、Al 2 (NEt 2 ) 6 、Al 2 (NEtMe) 6 、Al(N i Pr 2 ) 3 、Al 2 (NMe 2 ) 6 、Al(N(Et)CH 2 CH 2 NMe 2 )(NMe 2 ) 2 、As(NMe 2 ) 3 、As(N(Me)(SiMe 3 )) 3 、B(NMe 2 ) 3 、B(NEt 2 ) 3 、Ba(N(SiMe 3 ) 2 ) 2 、Be(NMe 2 ) 2 、Be(N(SiMe 3 ) 2 ) 2 、Be(2,2,6,6-テトラメチルピペリジド) 2 、Bi(N(SiMe 3 ) 2 ) 3 、Bi(N(Me)(SiMe 3 )) 3 、Ca(N(SiMe 3 ) 2 ) 2 、Cd(N(SiMe 3 ) 2 ) 2 、Cd(N t BuSiMe 3 ) 2 、Cd(2,2,6,6-テトラメチルピペリジド) 2 、Ce(N(SiMe 3 ) 2 ) 3 、Ce(N i Pr 2 ) 3 、Co(N(SiBuMe 2 ) 2 ) 2 、Co(N(SiEtMe 2 ) 2 ) 2 、Co(N(SiMe 3 ) 2 ) 2 、Co(N(SiMe 3 ) 2 ) 3 、Co(N(SiPrMe 2 ) 2 ) 2 、Cr(N(SiMe 3 ) 2 ) 3 、Cr(NEt 2 ) 4 、Cr(N i Pr 2 ) 3 、Cr(NMe 2 ) 4 、Cu 4 (N(SiMe 3 ) 2 ) 4 、Er(N(SiMe 3 ) 2 ) 3 、Eu(N(SiMe 3 ) 2 ) 3 、Fe(N(SiBuMe 2 ) 2 ) 2 、Fe(N(SiMe 3 ) 2 ) 2 、Fe(N(SiMe 3 ) 2 ) 3 、Ga(NMe 2 ) 3 、Ga(NEt 2 ) 3 、Ga(N(SiMe 3 ) 2 ) 3 、Ga(N t BuSiMe 3 ) 3 、Ga(2,2,6,6-テトラメチルピペリジド) 3 、Ga(N(Me)CH 2 CH 2 NMe 2 )(NMe 2 ) 2 、Gd(N(SiMe 3 ) 2 ) 3 、Ge(N(SiMe 3 ) 2 ) 2 、Ge(NEt 2 ) 4 、Ge(NMe 2 ) 4 、Ge(N t Bu 2 ) 2 、Ge(N t BuSiMe 3 ) 2 、Ge(2,2,6,6-テトラメチルピペリジド) 2 、Hf(NEt 2 ) 4 、Hf(NEtMe) 4 、Hf(NMe 2 ) 4 、Hg(N(SiMe 3 ) 2 ) 2 、Ho(N(SiMe 3 ) 2 ) 3 、In(N(SiMe 3 ) 2 ) 3 、In(2,2,6,6-テトラメチルピペリジド) 3 、KN(SiHexMe 2 ) 2 、KN(SiMe 3 ) 2 、La(N(SiMe 3 ) 2 ) 3 、La(N t BuSiMe 3 ) 3 、La(N i Pr 2 ) 3 、La(2,2,6,6-テトラメチルピペリジド) 3 、LiN(SiEtMe 2 ) 2 、LiN(SiMe 3 ) 2 、Li(2,2,6,6-テトラメチルピペリジド)、Lu(N(SiMe 3 ) 2 ) 3 、Mg(N(SiMe 3 ) 2 ) 2 、Mg(2,2,6,6-テトラメチルピペリジド) 2 、Mn(N(SiBuMe 2 ) 2 ) 2 、Mn(N(SiMe 3 ) 2 ) 2 、Mn(N(SiMe 3 ) 2 ) 3 、Mo(N t BuSiMe 3 ) 3 、Mo 2 (NEt 2 ) 6 、Mo 2 (NMe 2 ) 6 、Mo(NEt 2 ) 4 、Mo(NMe 2 ) 4 、NaN(Si n BuMe 2 ) 2 、NaN(SiMe 3 ) 2 、Nb(N(SiMe 3 ) 2 ) 3 、Nb(NEt 2 ) 4 、Nb(NEt 2 ) 5 、Nb(NMe 2 ) 5 、Nd(N(SiMe 3 ) 2 ) 3 、Nd(N i Pr 2 ) 3 、Ni(N(SiMe 3 ) 2 ) 2 、Pb(N(SiMe 3 ) 2 ) 2 、Pb(N t BuSiMe 3 ) 2 、Pr(N(SiMe 3 ) 2 ) 3 、Sb(NMe 2 ) 3 、Sb(N(Me)(SiMe 3 )) 3 、Sc(N(SiMe 3 ) 2 ) 3 、SiH 2 (NMe 2 ) 2 、SiH(NMe 2 ) 3 、Si(NMe 2 ) 4 、Si(NHMe) 4 、Si(NHn-Pr) 4 、Si(NEt 2 ) 4 、Si(NCO) 4 、Sm(N(SiMe 3 ) 2 ) 3 、Sn(N(SiMe 3 ) 2 ) 2 、Sn(NEt 2 ) 4 、Sn(NMe 2 ) 4 、Sn(N t Bu 2 ) 2 、Sn(N t Bu 2 ) 3 、Sn(N t BuSiMe 3 ) 2 、Sn(N t BuSiMe 3 ) 3 、Sn(2,2,6,6-テトラメチルピペリジド) 2 , Sr(N(SiMe 3 ) 2 ) 2 、Ta(NEt 2 ) 4 、Ta(NMe 2 ) 5 、Ta(N t Bu)(NEt 2 ) 3 、Ta(NEt)(NEt 2 ) 3 、Tb(N(SiMe 3 ) 2 ) 3 、Th(NEt 2 ) 4 、Th(NPr 2 ) 4 、Ti(N(SiMe 3 ) 2 ) 3 、Ti(NEt 2 ) 4 、Ti(N i Pr 2 ) 3 、Ti(N i Pr 2 ) 4 、Ti(NMe 2 ) 4 T1(N(SiMe 3 ) 2 ) 3 、U(N(SiMe 3 ) 2 ) 3 、U(NEt 2 ) 4 、U(NPr 2 ) 4 、V(N(SiMe 3 ) 2 ) 3 、V(NEt 2 ) 4 、V(NMe 2 ) 4 、V(O)(NMe 2 ) 3 、W 2 (NEt 2 ) 6 、W 2 (NMeEt) 6 、W 2 (NMe 2 ) 6 、W(N t Bu) 2 (NH t Bu) 2 、W(N t Bu) 2 (NEtMe) 2 、W(N t Bu) 2 (NMe 2 ) 2 、Y(N(SiMe 3 ) 2 ) 3 、Y(N i Pr 2 ) 3 、Y(N t BuSiMe 3 ) 3 、Y(2,2,6,6-テトラメチルピペリジド) 3 、Yb(N(SiMe 3 ) 2 ) 3 、Yb(N i Pr 2 ) 3 、Zn(N(SiMe 3 ) 2 ) 2 、Zn(N t Bu 2 ) 2 、Zn(2,2,6,6-テトラメチルピペリジド) 2 , Zr(NEt 2 ) 4 、Zr(NEtMe) 4 、Zr(N i Pr 2 ) 4 、及びZr(NMe 2 ) 4 から選ばれる、請求項24に記載の方法。
  27. 酸素及び1種以上の金属を含む材料を形成するための方法であって、
    1種以上の有機金属化合物の蒸気に対し、そして次にアレーン水和物の蒸気に対して交互に表面を露出させること、
    を含む材料形成方法。
  28. 有機金属化合物が次の化合物、すなわち、AlMe 3 、Ba(n-PrMe 4 Cp) 2 、Ba( i Pr 4 Cp) 2 、Ba(Me 5 Cp) 2 、BeEt 2 、BiMe 3 、Ca( i Pr 4 Cp) 2 、Ca(Me 5 Cp) 2 、CdMe 2 、CeCp 3 、Ce( i PrCp) 3 、Ce(Me 4 Cp) 3 、CoCp 2 、CoCp(CO) 2 、Co(CO) 3 NO、CrCp 2 、Cr(Me 5 Cp) 2 、Cr( i PrCp) 2 、Cr(EtBz) 2 、CuCpPEt 3 、Er(Cp) 3 、Er( i PrCp) 3 、Er(BuCp) 3 、Eu(Me 4 Cp) 3 、FeCp(Me 2 NCH 2 Cp)、FeCp( l BuCp)、GaMe 3 、GdCp 3 、Gd( i PrCp) 3 、InCp 3 、In(Me 5 Cp) 3 、InMe 3 、Ir(MeCp)(l,5-COD)、La( 1 PrCp) 3 、LaCp 3 、LaCp 3 (NCCH 3 ) 2 、La(Me 2 NC 2 H 4 Cp) 3 、Mg(PrCp) 2 、Mg(EtCp) 2 、MgCp 2 、MnCp 2 、Mn(EtCp) 2 、Mn(Me 5 Cp) 2 、Mo(EtBz) 2 、NdCp 3 、Nd( i PrCp) 3 、Ni(PF 3 ) 4 、PrCp 3 、Pr( i PrCp) 3 、SbEt 3 、ScCp 3 、SmCp 3 、Sm( i PrCp) 3 、Sr( i Pr 4 Cp) 2 、Sr(Me 5 Cp) 2 、TmCp 3 、Tm( i PrCp) 3 、TICp、VCp 2 、V(EtCp) 2 、W( 1 PrCp) 2 H 2 、YCp 3 、Y(MeCp) 3 、Y( n PrCp) 3 、Y(BuCp) 3 、YbCp 3 、Yb( i PrCp) 3 、ZnEt 2 、ZnMe 2 、ZrCp 2 Me 2 、及びZr( t BuCp) 2 Me 2 (これらの式中、Cpはシクロペンタジエニドの略号であり、Me 5 Cpはペンタメチルシクロペンタジエニドを表わし、 i PrCpはイソプロピルシクロペンタジエニドを表わし、 i PrMe 4 Cpはイソプロピルテトラメチルシクロペンタジエニドの略であり、 i Pr 4 Cpはテトライソプロピルシクロペンタジエニドの略であり、EtCpはエチルシクロペンタジエニドの略であり、PrCpはプロピルシクロペンタジエニドの略であり、 i PrCpはイソプロピルシクロペンタジエニドの略であり、BuCpはブチルシクロペンタジエニドの略であり、Bzはベンゼニド、EtBzはエチルベンゼニドの異性体の混合物、1,5−CODは1,5−シクロオクタジエニドの略である)、から選ばれる、請求項27に記載の方法。
JP2002530823A 2000-09-28 2001-09-28 酸化物、ケイ酸塩及びリン酸塩の気相成長 Expired - Fee Related JP5290488B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US23628300P 2000-09-28 2000-09-28
US60/236,283 2000-09-28
US25391700P 2000-11-29 2000-11-29
US60/253,917 2000-11-29
PCT/US2001/030507 WO2002027063A2 (en) 2000-09-28 2001-09-28 Vapor deposition of oxides, silicates and phosphates

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008261738A Division JP5175157B2 (ja) 2000-09-28 2008-10-08 原子層堆積法用薬剤及び原子層薄膜堆積法

Publications (3)

Publication Number Publication Date
JP2004527651A JP2004527651A (ja) 2004-09-09
JP2004527651A5 JP2004527651A5 (ja) 2005-04-28
JP5290488B2 true JP5290488B2 (ja) 2013-09-18

Family

ID=26929629

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002530823A Expired - Fee Related JP5290488B2 (ja) 2000-09-28 2001-09-28 酸化物、ケイ酸塩及びリン酸塩の気相成長
JP2008261738A Expired - Lifetime JP5175157B2 (ja) 2000-09-28 2008-10-08 原子層堆積法用薬剤及び原子層薄膜堆積法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008261738A Expired - Lifetime JP5175157B2 (ja) 2000-09-28 2008-10-08 原子層堆積法用薬剤及び原子層薄膜堆積法

Country Status (5)

Country Link
US (8) US6969539B2 (ja)
EP (2) EP1772534A3 (ja)
JP (2) JP5290488B2 (ja)
KR (2) KR100814980B1 (ja)
WO (1) WO2002027063A2 (ja)

Families Citing this family (471)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6300219B1 (en) 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US20070014801A1 (en) * 2001-01-24 2007-01-18 Gish Kurt C Methods of diagnosis of prostate cancer, compositions and methods of screening for modulators of prostate cancer
JP3915054B2 (ja) * 2002-03-05 2007-05-16 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
US6730163B2 (en) * 2002-03-14 2004-05-04 Micron Technology, Inc. Aluminum-containing material and atomic layer deposition methods
JP4959921B2 (ja) 2002-03-28 2012-06-27 プレジデント アンド フェロウズ オブ ハーバード カレッジ 二酸化珪素ナノラミネートの蒸着
KR100468847B1 (ko) * 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
JP3627106B2 (ja) * 2002-05-27 2005-03-09 株式会社高純度化学研究所 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7560581B2 (en) 2002-07-12 2009-07-14 President And Fellows Of Harvard College Vapor deposition of tungsten nitride
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7033560B2 (en) 2002-08-30 2006-04-25 Air Products And Chemicals, Inc. Single source mixtures of metal siloxides
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
KR100473806B1 (ko) * 2002-09-28 2005-03-10 한국전자통신연구원 유기물 박막 및 유기물 소자를 위한 대면적 유기물 기상증착 장치 및 제조 방법
DE10248980B4 (de) 2002-10-21 2004-11-11 Infineon Technologies Ag Verfahren zur Herstellung strukturierter Schichten aus Siliziumdioxid auf senkrecht oder geneigt zu einer Substratoberfläche angeordneten Prozessflächen
JP4009550B2 (ja) * 2003-03-27 2007-11-14 エルピーダメモリ株式会社 金属酸化膜の形成方法
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US7141500B2 (en) * 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
US6835664B1 (en) 2003-06-26 2004-12-28 Micron Technology, Inc. Methods of forming trenched isolation regions
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
JP4312006B2 (ja) * 2003-08-25 2009-08-12 株式会社Adeka 希土類金属錯体、薄膜形成用原料及び薄膜の製造方法
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7312163B2 (en) 2003-09-24 2007-12-25 Micron Technology, Inc. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7618681B2 (en) 2003-10-28 2009-11-17 Asm International N.V. Process for producing bismuth-containing oxide films
US9029189B2 (en) * 2003-11-14 2015-05-12 President And Fellows Of Harvard College Bicyclic guanidines, metal complexes thereof and their use in vapor deposition
US7203001B2 (en) * 2003-12-19 2007-04-10 Nanoopto Corporation Optical retarders and related devices and systems
KR100519800B1 (ko) * 2004-01-13 2005-10-10 삼성전자주식회사 란타늄 산화막의 제조방법 및 이를 이용한 모스 전계효과트랜지스터 및 캐패시터의 제조방법
US7405880B2 (en) * 2004-02-12 2008-07-29 Api Nanofabrication And Research Corporation Multilayer optical filter
KR20050091488A (ko) * 2004-03-12 2005-09-15 주식회사 유피케미칼 세라믹 또는 금속박막 증착용 전구체 화합물 및 그제조방법
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
DE102004015174A1 (de) * 2004-03-27 2005-10-13 Aixtron Ag Verfahren zum Abscheiden von insbesondere Metalloxiden mittels nicht kontinuierlicher Precursorinjektion
US7670758B2 (en) * 2004-04-15 2010-03-02 Api Nanofabrication And Research Corporation Optical films and methods of making the same
US20050275944A1 (en) * 2004-06-11 2005-12-15 Wang Jian J Optical films and methods of making the same
JP2005322668A (ja) * 2004-05-06 2005-11-17 Renesas Technology Corp 成膜装置および成膜方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7129189B1 (en) * 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
KR100652378B1 (ko) * 2004-09-08 2006-12-01 삼성전자주식회사 안티몬 프리커서 및 이를 이용한 상변화 메모리 소자의 제조방법
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7064227B1 (en) * 2004-12-09 2006-06-20 Air Products And Chemicals, Inc. Precursors for silica or metal silicate films
JP2006169556A (ja) * 2004-12-13 2006-06-29 Horiba Ltd 金属酸化物薄膜の成膜方法
US7619816B2 (en) * 2004-12-15 2009-11-17 Api Nanofabrication And Research Corp. Structures for polarization and beam control
US20060127830A1 (en) * 2004-12-15 2006-06-15 Xuegong Deng Structures for polarization and beam control
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
KR100618879B1 (ko) 2004-12-27 2006-09-01 삼성전자주식회사 게르마늄 전구체, 이를 이용하여 형성된 gst 박막,상기 박막의 제조 방법 및 상변화 메모리 소자
KR100640620B1 (ko) * 2004-12-27 2006-11-02 삼성전자주식회사 트윈비트 셀 구조의 nor형 플래쉬 메모리 소자 및 그제조 방법
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
EP1676934A1 (en) * 2004-12-30 2006-07-05 STMicroelectronics S.r.l. Chemical vapor deposition chamber for depositing titanium silicon nitride films for forming phase change memories
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7064224B1 (en) * 2005-02-04 2006-06-20 Air Products And Chemicals, Inc. Organometallic complexes and their use as precursors to deposit metal films
US7217634B2 (en) 2005-02-17 2007-05-15 Micron Technology, Inc. Methods of forming integrated circuitry
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) * 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
FR2883287A1 (fr) * 2005-03-16 2006-09-22 Air Liquide Precurseurs organo-metalliques et leur procede de fabrication
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060210800A1 (en) * 2005-03-21 2006-09-21 Irene Spitsberg Environmental barrier layer for silcon-containing substrate and process for preparing same
US20060211241A1 (en) * 2005-03-21 2006-09-21 Christine Govern Protective layer for barrier coating for silicon-containing substrate and process for preparing same
US7485338B2 (en) * 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US8012847B2 (en) 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US7514119B2 (en) * 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US20060280955A1 (en) * 2005-06-13 2006-12-14 Irene Spitsberg Corrosion resistant sealant for EBC of silicon-containing substrate and processes for preparing same
US7354651B2 (en) * 2005-06-13 2008-04-08 General Electric Company Bond coat for corrosion resistant EBC for silicon-containing substrate and processes for preparing same
US7442444B2 (en) * 2005-06-13 2008-10-28 General Electric Company Bond coat for silicon-containing substrate for EBC and processes for preparing same
US20060280954A1 (en) * 2005-06-13 2006-12-14 Irene Spitsberg Corrosion resistant sealant for outer EBL of silicon-containing substrate and processes for preparing same
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US7495743B2 (en) * 2005-09-30 2009-02-24 International Business Machines Corporation Immersion optical lithography system having protective optical coating
US7393779B2 (en) * 2005-10-31 2008-07-01 International Business Machines Corporation Shrinking contact apertures through LPD oxide
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20070139771A1 (en) * 2005-12-15 2007-06-21 Jian Wang Optical retarders and methods of making the same
US20070165308A1 (en) * 2005-12-15 2007-07-19 Jian Wang Optical retarders and methods of making the same
US7713584B2 (en) 2005-12-22 2010-05-11 Asm International N.V. Process for producing oxide films
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7510939B2 (en) 2006-01-31 2009-03-31 International Business Machines Corporation Microelectronic structure by selective deposition
CA2535064A1 (fr) 2006-02-01 2007-08-01 Hydro Quebec Materiau multi-couches, procede de fabrication et utilisation comme electrode
KR20080092983A (ko) 2006-02-07 2008-10-16 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 탄소나노튜브의 기상 기능화
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
TWI421366B (zh) 2006-03-10 2014-01-01 Advanced Tech Materials 鈦酸鹽、鑭酸鹽及鉭酸鹽電介質薄膜之原子層沉積及化學氣相沉積用之前驅體組成物
US20070217008A1 (en) * 2006-03-17 2007-09-20 Wang Jian J Polarizer films and methods of making the same
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
AU2007258335B2 (en) 2006-06-15 2013-11-14 Mars Incorporated Methods and compositions for improving cognitive function
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8318966B2 (en) * 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US7956168B2 (en) * 2006-07-06 2011-06-07 Praxair Technology, Inc. Organometallic compounds having sterically hindered amides
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
KR100780865B1 (ko) 2006-07-19 2007-11-30 삼성전자주식회사 상변화막을 포함하는 반도체 소자의 형성 방법
EP2049705A4 (en) * 2006-07-20 2014-10-29 Linde Inc IMPROVED METHODS FOR DEPOSITION OF ATOMIC LAYERS
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
WO2008033186A1 (en) * 2006-07-31 2008-03-20 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US7737047B2 (en) * 2006-08-25 2010-06-15 Micron Technology, Inc. Semiconductor constructions, and methods of forming dielectric materials
US7956207B2 (en) * 2006-09-28 2011-06-07 Praxair Technology, Inc. Heteroleptic organometallic compounds
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US7776395B2 (en) * 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
KR100852234B1 (ko) * 2006-11-17 2008-08-13 삼성전자주식회사 금속 산화막의 형성 방법, 이를 이용한 게이트 구조물의제조 방법 및 커패시터의 제조 방법
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
JP4353379B2 (ja) * 2006-12-19 2009-10-28 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US20080217730A1 (en) * 2007-03-07 2008-09-11 Toshiharu Furukawa Methods of forming gas dielectric and related structure
US8624050B2 (en) * 2007-06-22 2014-01-07 General Electric Company Solution process for transparent conductive oxide coatings
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
WO2009039187A1 (en) 2007-09-17 2009-03-26 L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tellurium precursors for gst film deposition
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
WO2009059237A2 (en) * 2007-10-31 2009-05-07 Advanced Technology Materials, Inc. Novel bismuth precursors for cvd/ald of thin films
US20090117274A1 (en) * 2007-11-06 2009-05-07 Ce Ma Solution based lanthanum precursors for atomic layer deposition
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
KR20090068179A (ko) * 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
JP5442635B2 (ja) * 2007-12-28 2014-03-12 ウニヴァーシテテット イ オスロ Aldによる、基板上へのリチウム含有構造の形成
US7892968B2 (en) * 2008-01-21 2011-02-22 International Business Machines Corporation Via gouging methods and related semiconductor structure
US8163948B2 (en) 2008-02-29 2012-04-24 Albemarle Corporation Processes for producing transition metal amido and imido compounds
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
TWI467045B (zh) 2008-05-23 2015-01-01 Sigma Aldrich Co 高介電常數電介質薄膜與使用鈰基前驅物製造高介電常數電介質薄膜之方法
US8802194B2 (en) 2008-05-29 2014-08-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8101237B2 (en) 2008-05-29 2012-01-24 L'Air Liquide SociétéAnonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
JP5639055B2 (ja) 2008-08-01 2014-12-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード タンタル含有層を基板上に形成する方法
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
KR20110125651A (ko) 2009-03-10 2011-11-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
FI20095630A0 (fi) 2009-06-05 2009-06-05 Beneq Oy Suojapinnoitus, menetelmä alustan suojaamiseksi ja menetelmän käyttö
CN102574876A (zh) * 2009-07-06 2012-07-11 琳德股份公司 溶液基前体
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2013503849A (ja) 2009-09-02 2013-02-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ゲルマニウム含有フィルムの堆積のための二ハロゲン化ゲルマニウム(ii)先駆物質
US8765220B2 (en) * 2009-11-09 2014-07-01 American Air Liquide, Inc. Methods of making and deposition methods using hafnium- or zirconium-containing compounds
FI20096262A0 (fi) 2009-11-30 2009-11-30 Beneq Oy Menetelmä koristepinnoitteen muodostamiseksi jalokiveen, jalokiven koristepinnoite, ja sen käytöt
WO2011095849A1 (en) 2010-02-03 2011-08-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
JP2013530264A (ja) 2010-04-30 2013-07-25 バテル メモリアル インスティチュート 表面の清掃を容易にする組成物
WO2012005957A2 (en) 2010-07-07 2012-01-12 Advanced Technology Materials, Inc. Doping of zro2 for dram applications
EP2444407A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444406A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444405A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444404A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
KR101274522B1 (ko) * 2010-11-30 2013-06-13 한양대학교 산학협력단 탄소나노튜브 3차원 네트워크를 이용한 미세유체 필터 및 그 제조 방법
FR2968677A1 (fr) * 2010-12-09 2012-06-15 Commissariat Energie Atomique Procédé de fabrication de couches a base de lithium par cvd
US8946096B2 (en) * 2011-03-15 2015-02-03 Mecharonics Co. Ltd. Group IV-B organometallic compound, and method for preparing same
US9315894B2 (en) 2011-03-30 2016-04-19 Asm Ip Holding B.V. Atomic layer deposition of metal phosphates and lithium silicates
WO2013011297A1 (en) * 2011-07-15 2013-01-24 Universitetet I Oslo Method
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130022658A1 (en) * 2011-07-23 2013-01-24 Synos Technology, Inc. Depositing material with antimicrobial properties on permeable substrate using atomic layer deposition
KR20140085461A (ko) 2011-09-27 2014-07-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 니켈 비스 디아자부타디엔 전구체, 그들의 합성, 및 니켈 함유 필름 침착을 위한 그들의 용도
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20140311574A1 (en) * 2011-11-21 2014-10-23 President And Fellows Of Harvard College Self-Aligned Deposition of Silica Layers for Dye-Sensitized Solar Cells
US8901706B2 (en) 2012-01-06 2014-12-02 International Business Machines Corporation Thermally stable high-K tetragonal HFO2 layer within high aspect ratio deep trenches
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
WO2013177326A1 (en) * 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10186570B2 (en) 2013-02-08 2019-01-22 Entegris, Inc. ALD processes for low leakage current and low equivalent oxide thickness BiTaO films
CN105027316B (zh) 2013-03-14 2018-07-17 应用材料公司 薄膜封装-用于oled应用的薄超高阻挡层
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR20160036661A (ko) * 2013-07-26 2016-04-04 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 환식 아민의 금속 아미드
CN105493439A (zh) * 2013-09-25 2016-04-13 迈克菲股份有限公司 用于单登录的代理认证
WO2015056944A1 (ko) * 2013-10-14 2015-04-23 한국화학연구원 몰리브데넘 화합물 또는 텅스텐 화합물, 이의 제조 방법 및 이를 이용하여 박막을 형성하는 방법
US9518075B2 (en) 2013-12-13 2016-12-13 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group 5 cyclopentadienyl transition metal-containing precursors for deposition of group 5 transition metal-containing films
US11286557B2 (en) * 2014-01-24 2022-03-29 Commissariat A L'energie Atomique Et Aux Engergies Alternatives Method of forming a crystalline thin film having the formula MY2 using an ALD-formed amorphous thin film having the formula MYx as a precursor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11121334B2 (en) * 2014-06-26 2021-09-14 Trustees Of Tufts College 3D graphene transistor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101590720B1 (ko) * 2014-08-25 2016-02-03 고려대학교 산학협력단 원자층 증착 공정을 이용한 금속 인산화물 박막의 형성 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP5913663B2 (ja) * 2015-02-19 2016-04-27 株式会社Adeka モリブデンアミド化合物
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
DE102015217809A1 (de) * 2015-09-17 2017-03-23 Robert Bosch Gmbh Vorlithiiertes, kohlenstoffbeschichtetes Anodenaktivmaterial
TWI740848B (zh) * 2015-10-16 2021-10-01 荷蘭商Asm智慧財產控股公司 實施原子層沉積以得閘極介電質
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
EP3384065B1 (en) * 2015-11-30 2021-04-28 Basf Se Process for the generation of metallic films
US10570514B2 (en) 2015-11-30 2020-02-25 Basf Se Process for the generation of metallic films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9719167B2 (en) 2015-12-31 2017-08-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing film forming compositions, their synthesis, and use in film deposition
GB201523156D0 (en) 2015-12-31 2016-02-17 Pilkington Group Ltd High strength glass containers
US10011903B2 (en) 2015-12-31 2018-07-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Manganese-containing film forming compositions, their synthesis, and use in film deposition
US9738971B2 (en) 2015-12-31 2017-08-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition methods to form group 8-containing films
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6548086B2 (ja) * 2016-05-17 2019-07-24 株式会社フィルテック 膜形成方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
CN110475901B (zh) * 2017-03-15 2022-08-09 佳能奥普特龙株式会社 亲水性蒸镀膜以及蒸镀材料
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10453737B2 (en) 2017-04-11 2019-10-22 Tokyo Electron Limited Method of filling retrograde recessed features with no voids
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
BR112019021889A2 (pt) 2017-05-05 2020-05-26 Quantum-Si Incorporated Substratos tendo reatividade de superfície modificada e propriedades anti-incrustantes em reações biológicas
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11114347B2 (en) 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10283417B1 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7401928B2 (ja) * 2018-07-30 2023-12-20 ユーピー ケミカル カンパニー リミテッド アルミニウム化合物及びこれを使用したアルミニウム含有膜の形成方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11773488B2 (en) * 2019-05-30 2023-10-03 Uchicago Argonne, Llc Methods for low-temperature p-CVD and thermal ALD of magnesium diboride
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202120432A (zh) 2019-10-08 2021-06-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於沉積含鋰層、島或簇的鋰前驅體
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
CN114829669A (zh) * 2019-10-11 2022-07-29 宽腾矽公司 气相表面改性
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
CN110922897B (zh) * 2019-11-18 2024-03-08 宁波日晟新材料有限公司 一种用于硅化合物的低雾值无损伤抛光液及其制备方法
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11404465B2 (en) * 2020-06-15 2022-08-02 Taiwan Semiconductor Manufacturing Company Limited Epitaxial semiconductor liner for enhancing uniformity of a charged layer in a deep trench and methods of forming the same
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11274069B2 (en) 2020-08-13 2022-03-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Mono-substituted cyclopentadienes and metal cyclopentadienyl complexes and synthesis methods thereof
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
JP7382687B2 (ja) 2021-03-08 2023-11-17 株式会社クリエイティブコーティングス ゼオライト膜の製造方法
WO2022210351A1 (ja) * 2021-03-31 2022-10-06 東京エレクトロン株式会社 膜形成方法及び基板処理装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR102592398B1 (ko) * 2021-05-12 2023-10-25 한국화학연구원 복합형광구조체 및 이의 제조방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
CA1087041A (en) 1975-09-15 1980-10-07 Mohammad J. Hakim Hafnium carbide and nitride coatings
US4042610A (en) 1975-09-22 1977-08-16 E. I. Du Pont De Nemours And Company Process for preparing hydrocarbyl and silahydrocarbyl transition metal dihydrocarbylamides
CA1093395A (en) 1977-01-13 1981-01-13 Mohammad J. Hakim Chemical vapor deposition
FR2511047A1 (fr) 1981-08-07 1983-02-11 Solarex Corp Procede pour appliquer un revetement antireflechissant et/ou dielectrique pour des cellules solaires
US4386117A (en) * 1981-11-20 1983-05-31 Gordon Roy G Coating process using alkoxy substituted silicon-bearing reactant
JPS5916978A (ja) * 1982-07-20 1984-01-28 Tokyo Denshi Kagaku Kabushiki 金属被膜の選択的エツチング方法
US4512862A (en) 1983-08-08 1985-04-23 International Business Machines Corporation Method of making a thin film insulator
EP0174743A3 (en) 1984-09-05 1988-06-08 Morton Thiokol, Inc. Process for transition metal nitrides thin film deposition
JPH068509B2 (ja) * 1985-09-17 1994-02-02 勝 岡田 強誘電体薄膜の製造方法
US4723978A (en) * 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
US4758539A (en) 1987-02-25 1988-07-19 The United States Of America As Represented By The United States Department Of Energy Process for producing ceramic nitrides and carbonitrides and their precursors
JPH0660406B2 (ja) 1987-03-24 1994-08-10 日本電気株式会社 ハフニウム酸化膜の気相成長法
US4956323A (en) * 1987-11-30 1990-09-11 The Dow Chemical Company Catalysts prepared from tetrakis(dialkylamide or diarylamide) derivatives of titanium and polymerization of olefins therewith
US4864374A (en) * 1987-11-30 1989-09-05 Texas Instruments Incorporated Two-transistor dram cell with high alpha particle immunity
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
JPH03177560A (ja) 1989-12-06 1991-08-01 Nippon Steel Corp 電気絶縁性板状材料の製造方法
DE4120344A1 (de) 1990-06-26 1992-01-02 Kali Chemie Ag Verfahren zur abscheidung von titan, zirkonium oder hafnium enthaltenden schichten
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US6110529A (en) 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5711816A (en) 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
DE4122473A1 (de) 1990-07-27 1992-01-30 Kali Chemie Ag Verfahren zur abscheidung von titan, zirkonium oder hafnium enthaltenden schichten
US5219464A (en) 1990-10-09 1993-06-15 Tokyo Electron Limited Clean air apparatus
US5261167A (en) 1990-09-27 1993-11-16 Tokyo Electron Sagami Limited Vertical heat treating apparatus
US5064686A (en) * 1990-10-29 1991-11-12 Olin Corporation Sub-valent molybdenum, tungsten, and chromium amides as sources for thermal chemical vapor deposition of metal-containing films
US5514822A (en) 1991-12-13 1996-05-07 Symetrix Corporation Precursors and processes for making metal oxides
US6110531A (en) 1991-02-25 2000-08-29 Symetrix Corporation Method and apparatus for preparing integrated circuit thin films by chemical vapor deposition
JP2764472B2 (ja) 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JPH04357788A (ja) 1991-06-03 1992-12-10 Toshiba Corp 動画像符号化装置及び動画像再生装置
JP2771347B2 (ja) * 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
GB9117562D0 (en) 1991-08-14 1991-10-02 Ass Octel Group ii metal betadiketonates
US5192589A (en) 1991-09-05 1993-03-09 Micron Technology, Inc. Low-pressure chemical vapor deposition process for depositing thin titanium nitride films having low and stable resistivity
US5252518A (en) 1992-03-03 1993-10-12 Micron Technology, Inc. Method for forming a mixed phase TiN/TiSi film for semiconductor manufacture using metal organometallic precursors and organic silane
EP0560617A3 (en) * 1992-03-13 1993-11-24 Kawasaki Steel Co Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
US5313089A (en) 1992-05-26 1994-05-17 Motorola, Inc. Capacitor and a memory cell formed therefrom
JPH06140390A (ja) * 1992-09-10 1994-05-20 Kawasaki Steel Corp 半導体装置の製造装置
DE4231778C1 (en) 1992-09-23 1993-05-27 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Formation of hafnium di:oxide for optical interference film system - by evaporating hafnium from melt while introducing oxygen@ of water vapour as reactive gas
JP3190745B2 (ja) * 1992-10-27 2001-07-23 株式会社東芝 気相成長方法
US5356718A (en) 1993-02-16 1994-10-18 Ppg Industries, Inc. Coating apparatus, method of coating glass, compounds and compositions for coating glasss and coated glass substrates
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
DE4316883C2 (de) * 1993-05-19 1996-01-25 Michael Dr Denk Silylen, Verfahren zur Herstellung von Silylen oder Carben sowie die Verwendung des Silylens
US5474955A (en) * 1993-08-06 1995-12-12 Micron Technology, Inc. Method for optimizing thermal budgets in fabricating semconductors
JP2965188B2 (ja) * 1993-11-26 1999-10-18 キヤノン販売 株式会社 成膜方法
DE69418062T2 (de) 1993-12-22 1999-12-09 Canon Kk Herstellungsverfahren einer elektronemittierenden Vorrichtung
US5389401A (en) * 1994-02-23 1995-02-14 Gordon; Roy G. Chemical vapor deposition of metal oxides
JP3177560B2 (ja) 1994-03-31 2001-06-18 富士機工株式会社 シートリクライニング装置
US5395786A (en) 1994-06-30 1995-03-07 International Business Machines Corporation Method of making a DRAM cell with trench capacitor
US5919522A (en) 1995-03-31 1999-07-06 Advanced Technology Materials, Inc. Growth of BaSrTiO3 using polyamine-based precursors
KR0156980B1 (ko) 1995-06-23 1998-12-01 신현주 질화금속 박막증착용 화합물 및 그를 이용한 증착방법
US5908947A (en) 1996-02-09 1999-06-01 Micron Technology, Inc. Difunctional amino precursors for the deposition of films comprising metals
JP3344199B2 (ja) * 1996-03-21 2002-11-11 ソニー株式会社 防汚膜形成用組成物および反射防止フィルター
WO1997038355A1 (en) * 1996-04-08 1997-10-16 Micrion Corporation Systems and methods for deposition of dielectric films
JP3591218B2 (ja) 1996-07-12 2004-11-17 東京エレクトロン株式会社 成膜方法及びその装置
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
KR100226763B1 (ko) 1996-07-31 1999-10-15 김영환 화학기상증착 장치를 이용한 박막 형성방법
US6112695A (en) 1996-10-08 2000-09-05 Nano Scale Surface Systems, Inc. Apparatus for plasma deposition of a thin film onto the interior surface of a container
US5710075A (en) * 1996-11-06 1998-01-20 Vanguard International Semiconductor Corporation Method to increase surface area of a storage node electrode, of an STC structure, for DRAM devices
US6037013A (en) 1997-03-06 2000-03-14 Texas Instruments Incorporated Barrier/liner with a SiNx-enriched surface layer on MOCVD prepared films
US5902639A (en) 1997-03-31 1999-05-11 Advanced Technology Materials, Inc Method of forming bismuth-containing films by using bismuth amide compounds
US5889128A (en) 1997-04-11 1999-03-30 Massachusetts Institute Of Technology Living olefin polymerization processes
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US5919552A (en) 1997-05-07 1999-07-06 Xerox Corporation Coated substrates and methods
GB2344820B (en) 1997-05-14 2002-05-08 Secr Defence Chemical vapour deposition precursors
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6020024A (en) 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
DE19736449A1 (de) 1997-08-21 1999-02-25 Gfe Met & Mat Gmbh Verbundwerkstoff
US6200874B1 (en) * 1997-08-22 2001-03-13 Micron Technology, Inc. Methods for use in forming a capacitor
EP0905174B1 (en) 1997-09-25 2001-12-19 Mitsubishi Chemical Corporation Deposited plastic film
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6114242A (en) * 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100651289B1 (ko) * 1997-12-10 2006-11-28 지멘스 악티엔게젤샤프트 비스무트 아미드를 이용한 저온 cvd 프로세스
US6200866B1 (en) 1998-02-23 2001-03-13 Sharp Laboratories Of America, Inc. Use of silicon germanium and other alloys as the replacement gate for the fabrication of MOSFET
US6159855A (en) * 1998-04-28 2000-12-12 Micron Technology, Inc. Organometallic compound mixtures in chemical vapor deposition
TW403991B (en) 1998-06-16 2000-09-01 Samsung Electronics Co Ltd Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
GB9814048D0 (en) 1998-06-30 1998-08-26 Inorgtech Ltd Novel precursors for the growth of heterometal oxide films by MOCVD
KR20000022003A (ko) * 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
FR2785897B1 (fr) 1998-11-16 2000-12-08 Commissariat Energie Atomique Couche mince d'oxyde d'hafnium et procede de depot
US6258707B1 (en) 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
JP3579278B2 (ja) 1999-01-26 2004-10-20 東京エレクトロン株式会社 縦型熱処理装置及びシール装置
US6316797B1 (en) 1999-02-19 2001-11-13 Advanced Technology Materials, Inc. Scalable lead zirconium titanate(PZT) thin film material and deposition method, and ferroelectric memory device structures comprising such thin film material
DE20022706U1 (de) 1999-02-24 2002-01-24 Augusto Carlos Jorge Ramiro Pr Misfet
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100315441B1 (ko) * 1999-03-25 2001-11-28 황인길 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
JP4176236B2 (ja) 1999-06-07 2008-11-05 東京エレクトロン株式会社 処理装置における紫外線ランプの光量測定方法及び装置
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
JP4359965B2 (ja) 1999-07-27 2009-11-11 東京エレクトロン株式会社 成膜装置
US6342432B1 (en) * 1999-08-11 2002-01-29 Advanced Micro Devices, Inc. Shallow trench isolation formation without planarization mask
JP2001074931A (ja) 1999-08-31 2001-03-23 Nikon Corp 光学薄膜及び光学素子及び光学装置
JP3862900B2 (ja) 1999-10-01 2006-12-27 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
TW514992B (en) 1999-12-17 2002-12-21 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6500499B1 (en) 2000-03-10 2002-12-31 Air Products And Chemicals, Inc. Deposition and annealing of multicomponent ZrSnTi and HfSnTi oxide thin films using solventless liquid mixture of precursors
US6537613B1 (en) 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6444592B1 (en) 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
KR100375229B1 (ko) * 2000-07-10 2003-03-08 삼성전자주식회사 트렌치 소자분리 방법
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7476420B2 (en) 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
FI118014B (fi) 2000-10-23 2007-05-31 Asm Int Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
US6486080B2 (en) * 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US7087482B2 (en) 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US6653200B2 (en) * 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7037862B2 (en) 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
US20030129087A1 (en) 2001-06-13 2003-07-10 The Regents Of The University Of California Ordered adsorbed layers of nano particulate materials on structured nano-laminate templates
US6511873B2 (en) 2001-06-15 2003-01-28 International Business Machines Corporation High-dielectric constant insulators for FEOL capacitors
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4959921B2 (ja) 2002-03-28 2012-06-27 プレジデント アンド フェロウズ オブ ハーバード カレッジ 二酸化珪素ナノラミネートの蒸着
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
KR100513719B1 (ko) 2002-08-12 2005-09-07 삼성전자주식회사 하프늄 산화막 형성용 전구체 및 상기 전구체를 이용한하프늄 산화막의 형성방법
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
TW200408323A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
US6960538B2 (en) 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
DE10248980B4 (de) 2002-10-21 2004-11-11 Infineon Technologies Ag Verfahren zur Herstellung strukturierter Schichten aus Siliziumdioxid auf senkrecht oder geneigt zu einer Substratoberfläche angeordneten Prozessflächen
US7115528B2 (en) * 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7049192B2 (en) 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
KR100995451B1 (ko) 2003-07-03 2010-11-18 삼성전자주식회사 다층 구조의 게이트 절연막을 포함하는 유기 박막 트랜지스터
JP3698163B1 (ja) * 2003-09-19 2005-09-21 三菱マテリアル株式会社 ハフニウム含有膜形成材料及び該材料から作製されたハフニウム含有薄膜の製造方法
US6867152B1 (en) * 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
KR20050039421A (ko) 2003-10-25 2005-04-29 삼성전자주식회사 반도체 장치의 박막 형성 방법
US20060062910A1 (en) * 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7064227B1 (en) 2004-12-09 2006-06-20 Air Products And Chemicals, Inc. Precursors for silica or metal silicate films
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
DE102006000823A1 (de) * 2006-01-05 2007-07-12 H. C. Starck Gmbh & Co. Kg Wolfram- und Molybdän-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)
US7790628B2 (en) 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US7741188B2 (en) 2008-03-24 2010-06-22 International Business Machines Corporation Deep trench (DT) metal-insulator-metal (MIM) capacitor
US8901706B2 (en) 2012-01-06 2014-12-02 International Business Machines Corporation Thermally stable high-K tetragonal HFO2 layer within high aspect ratio deep trenches

Also Published As

Publication number Publication date
US20130122328A1 (en) 2013-05-16
WO2002027063A3 (en) 2002-10-10
US8334016B2 (en) 2012-12-18
US20160087066A1 (en) 2016-03-24
JP2009079297A (ja) 2009-04-16
JP2004527651A (ja) 2004-09-09
US7507848B2 (en) 2009-03-24
US20050277780A1 (en) 2005-12-15
EP1327010B1 (en) 2013-12-04
KR20070107813A (ko) 2007-11-07
US20150118395A1 (en) 2015-04-30
WO2002027063A2 (en) 2002-04-04
US20120028478A1 (en) 2012-02-02
EP1772534A3 (en) 2007-04-25
JP5175157B2 (ja) 2013-04-03
US6969539B2 (en) 2005-11-29
US20160111276A1 (en) 2016-04-21
KR20030038775A (ko) 2003-05-16
US20040043149A1 (en) 2004-03-04
US9905414B2 (en) 2018-02-27
KR100815009B1 (ko) 2008-03-18
EP1772534A2 (en) 2007-04-11
KR100814980B1 (ko) 2008-03-18
EP1327010A2 (en) 2003-07-16
US20160268121A1 (en) 2016-09-15

Similar Documents

Publication Publication Date Title
JP5290488B2 (ja) 酸化物、ケイ酸塩及びリン酸塩の気相成長
US7084080B2 (en) Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US8318966B2 (en) Organometallic compounds
EP2257561B1 (en) Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
KR101349888B1 (ko) 금속 함유 막을 증착시키기 위한 금속 에놀레이트 전구체
US20060148271A1 (en) Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US20110206863A1 (en) Organometallic compounds having sterically hindered amides
US20170018425A1 (en) Heteroleptic diazadienyl group 4 transition metal-containing compounds for vapor deposition of group 4 transition metal-containing films
KR102491073B1 (ko) 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물, 및 실리콘-함유 막 형성용 조성물을 이용한 막 형성 방법
Gordon et al. Alternating layer chemical vapor deposition (ALD) of metal silicates and oxides for gate insulators
JP2023035820A (ja) 薄膜蒸着のためのニオブ前駆体化合物及びそれを用いたニオブ含有薄膜の形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110906

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121002

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130606

R150 Certificate of patent or registration of utility model

Ref document number: 5290488

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees