KR20160036661A - 환식 아민의 금속 아미드 - Google Patents

환식 아민의 금속 아미드 Download PDF

Info

Publication number
KR20160036661A
KR20160036661A KR1020167003631A KR20167003631A KR20160036661A KR 20160036661 A KR20160036661 A KR 20160036661A KR 1020167003631 A KR1020167003631 A KR 1020167003631A KR 20167003631 A KR20167003631 A KR 20167003631A KR 20160036661 A KR20160036661 A KR 20160036661A
Authority
KR
South Korea
Prior art keywords
group
metal
compound
substituted
deposition
Prior art date
Application number
KR1020167003631A
Other languages
English (en)
Inventor
로이 제랄드 고든
Original Assignee
프레지던트 앤드 펠로우즈 오브 하바드 칼리지
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 filed Critical 프레지던트 앤드 펠로우즈 오브 하바드 칼리지
Publication of KR20160036661A publication Critical patent/KR20160036661A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/06Cobalt compounds
    • C07F15/065Cobalt compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D207/00Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D207/46Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom with hetero atoms directly attached to the ring nitrogen atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D211/00Heterocyclic compounds containing hydrogenated pyridine rings, not condensed with other rings
    • C07D211/92Heterocyclic compounds containing hydrogenated pyridine rings, not condensed with other rings with a hetero atom directly attached to the ring nitrogen atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic System
    • C07F11/005Compounds containing elements of Groups 6 or 16 of the Periodic System compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F13/00Compounds containing elements of Groups 7 or 17 of the Periodic System
    • C07F13/005Compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/02Iron compounds
    • C07F15/025Iron compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/04Nickel compounds
    • C07F15/045Nickel compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure

Abstract

화합물, 및 화합물의 올리고머를 금속 원자에 부착된 환식 아민 리간드로 합성한다. 이들 화합물은 금속 함유 재료의 합성에 유용하다. 예는 순금속, 금속 합금, 금속 산화물, 금속 질화물, 금속 인화물, 금속 황화물, 금속 셀렌화물, 금속 텔루르화물, 금속 붕화물, 금속 탄화물, 금속 규화물 및 금속 게르마늄화물을 포함한다. 재료 합성 기술은 증착(화학적 증착 및 원자층 증착), 액체 용액법(졸-겔 및 침전) 및 고상 열분해를 포함한다. 적절한 적용은 마이크로 전자 공학에서의 전기 상호 연결 및 자기 정보 저장 소자에서의 자기 저항층을 포함한다. 필름은 좁은 홀에서의 높은 스텝 커버리지 및 매우 균일한 두께를 갖는다.

Description

환식 아민의 금속 아미드{METAL AMIDES OF CYCLIC AMINES}
관련 출원에 대한 상호 참조
본 출원은 2013년 7월 26일 출원된 미국 특허 출원 제61/858,873호의 우선 출원일의 우선권을 주장하며, 이의 내용은 본 명세서에서 그 전체를 참고로 인용된다.
발명의 분야
본 개시는 고체 기판 상에의 금속을 함유하는 등각(conformal) 필름, 구체적으로 크롬, 망간, 철, 코발트, 니켈 또는 화합물(이의 산화물 또는 질화물 포함)을 포함하는 필름의 증착에 사용될 수 있는 신규한 재료에 관한 것이다. 본 개시는 마이크로 전자 공학 소자의 제작에 적용될 수 있다.
반도체 마이크로 전자 공학 소자의 속도 및 기능성이 개선되면서, 새로운 재료 및 증착 공정이 요구된다. 수반되는 구조물은 더 작고 점점 3차원이 된다. 이 경향은 인터넷 상에 http://www.itrs.net/Links/2013ITRS/Home2013.htm에 공개된, 반도체용 국제 기술 로드맵에 기재되어 있다.
널리 사용되는 스퍼터링 및 증발과 같은 물리적 증착(PVD)의 기술은 통상적으로 예컨대 5:1의 종횡비로 홀의 바닥에서 단 20%의 두께를 제공하는 불량한 스텝 커버리지(step coverage)를 갖는다. 따라서, 3차원 구조물 상에서 등각 코팅을 생성할 수 있는 기술에 대한 요구가 증가하고 있다.
평활한 등각 층을 만들기에 적절한 하나의 방법은 증착이다. 증착의 하나의 버전을 "원자층 증착" 또는 ALD(원자층 에피탁시라고도 공지됨)라고 부른다. ALD 공정은 2 이상의 상이한 기상 전구체를 사용하여 고상 재료의 박층을 증착시킨다. 필름이 증착되는 기판의 표면을 하나의 전구체로부터의 증기의 용량(dose)에 노출시킨다. 그 다음, 그 전구체로부터의 임의의 과량의 미반응 증기를 펌핑하여 내보낸다. 그 다음으로, 제2 전구체의 증기 용량을 표면에 오게하여 반응시킨다. 이 단계 사이클을 반복하여 더 두꺼운 필름을 축적시킬 수 있다. ALD 반응은 자기 제한적(self-limiting)이어서, 과량의 반응물이 이용 가능하더라도, 그 사이클 동안 추가의 증착이 일어나지 않은 후에 각각의 사이클에서 단지 일정한 최대 두께가 형성될 수 있다. 이 자기 제한적인 특성으로 인해, ALD 반응으로 매우 균일한 두께의 코팅이 생성된다. ALD 필름 두께의 균일성은 편평한 기판 표면 위 뿐 아니라, 좁은 홀 및 트렌치에도 확대된다. 등각 필름을 만드는 ALD의 이러한 능력을 "양호한 스텝 커버리지"라고 부른다.
증착의 다른 버전은 화학적 증착(CVD)이다. CVD 공정에서는, 증기 또는 증기 혼합물이 반응하여 표면에 재료를 증착시킨다. 반응은 표면을 가열하거나 또는 빛 또는 다른 수단에 의해 전기적으로 공급된 에너지에 의해(플라즈마 활성화) 개시될 수 있다. 표면 상의 반응이 표면까지의 수송 속도에 비해 느린 경우에는, 그 다음 ALD에 의해 얻을 수 있는 것보다 더 높은 증착 속도로 CVD에 의해 양호한 등각성을 갖는 필름이 또한 얻어질 수 있다.
PVD 방법은 일반적으로 임의의 표면 상에 증착되므로 비선택적이다. 종종 특정 재료 상에만 선택적으로 증착하고 다른 것에는 증착시키지 않는 것이 유리하다. 예컨대, 인접 절연체를 제외한 구리의 상부에만 망간 또는 코발트를 선택적으로 증착시켜 전기 이동에 의한 실패에 대해 좁은 구리 라인을 안정화시킬 수 있다. 일부 CVD 및 ALD 기술은 선택적 증착을 나타낸다.
금속 또는 금속 함유 화합물의 증착을 위한 전구체가 개시된다.
본 개시의 일양태는 입체 요구 환식 아민 리간드를 갖는 금속 아미드를 포함한다. 이들 화합물은 증착용 전구체로서 유용할 수 있다. 1 이상의 구체예에서, 금속 환식 아민은 일반식 MAx를 가지며, 식 중, x는 화합물에 중성을 제공하도록 선택된다. 통상적으로, x는 2 또는 3이다. MAx는 바람직하게는 단량체이지만, 올리고머일 수 있으며, 이 경우에는 화합물을 [MAx]y로 기재할 수 있고, 식 중, y는 올리고머화의 정도이고, 통상적으로는 3(삼량체) 이하의 범위, 더욱 바람직하게는 2(이량체), 가장 바람직하게는 1(단량체)이다. 화학식 (MAxLn)y(식 중, n은 양수임)에 해당하는 추가의 중성 리간드 L이 또한 존재할 수 있다.
화합물의 하나의 바람직한 부류는 화학식
Figure pct00001
를 갖는 금속 피롤리디네이트 또는 이의 올리고머를 포함하며, 식 중, Rn은 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택되며, 여기서 할로알킬기는 플루오로알킬, 클로로알킬 및 브로모알킬을 포함한다.
화합물의 다른 바람직한 부류는 화학식
Figure pct00002
를 갖는 금속 피롤리디네이트 또는 이의 올리고머를 포함하며, 식 중, Rn은 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택되며, 여기서 할로알킬기는 플루오로알킬, 클로로알킬 및 브로모알킬을 포함한다.
이들 유형의 혼합 리간드
Figure pct00003
를 갖는 화합물 또는 이의 올리고머도 고려되며, 식 중, Rn은 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택되며, 여기서 할로알킬기는 플루오로알킬, 클로로알킬 및 브로모알킬을 포함한다.
화합물의 또 다른 부류는 화학식
Figure pct00004
를 갖는 금속 피롤리디네이트 또는 이의 올리고머 또는 이의 올리고머를 포함하며, 식 중, n이 1~24의 임의의 정수일 수 있는 "Rn"은 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택될 수 있으며, 여기서 할로알킬기는 플루오로알킬, 클로로알킬 및 브로모알킬을 포함한다.
화학식
Figure pct00005
를 갖는 금속 피페리디네이트 또는 이의 올리고머를 포함하는 화합물의 부류도 포함되며, n이 1~30의 임의의 정수일 수 있는 "Rn"은 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택될 수 있으며, 여기서 할로알킬기는 플루오로알킬, 클로로알킬 및 브로모알킬을 포함한다.
구체예의 또 다른 양태에서, 1 이상의 리간드는 피롤리디네이트이고 1 이상은 피페리디네이트인 3개의 리간드를 갖는 화합물도 고려된다.
일구체예에서, 환식 아민 리간드를 갖는 금속 화합물은 금속 비환식 아미드보다 더 큰 열 안정성을 제공한다.
본 개시의 다른 양태는 크롬, 망간, 철, 코발트, 니켈, 아연 및 마그네슘과 같은 금속을 포함하는 균일하고 등각이며 평활한 필름의 증착 공정을 포함한다.
본 발명에 따르면 금속 함유 코팅을 비교적 낮은 온도에서 그리고 기판에 대한 플라즈마 손상 없이 증착시킬 수 있다.
일구체예에서, 두께가 매우 균일한 금속 함유 코팅이 형성된다. 다른 구체예에서, 다른 표면은 증착시키지 않으면서 특정 표면 상에서 선택적으로 코팅을 형성시킬 수 있다.
본 개시의 관련 양태는 기재와 증착된 코팅 사이에 양호한 접착을 생성하고 예컨대 산화물 기판에 강하게 접착하는 조건 하에서의 금속 함유 코팅의 증착이다.
상기 공정은 매우 평활한 표면을 갖는 금속 함유 코팅의 증착을 가능하게 한다.
상기 공정은 또한 반응물의 농도 및 반응기 내부의 기판의 위치와 같은 다양한 조건 상에서의 매우 균일한 금속 함유 코팅의 증착을 제공한다.
다른 양태에서, 등각 금속 함유 코팅이 좁은 홀, 트렌치 또는 다른 구조를 갖는 기판 상에 형성된다. 이 능력은 보통 "양호한 스텝 커버리지"로서 공지되어 있다. 코팅은 실질적으로 핀 홀 또는 다른 기계적 결함이 없을 수 있다.
일양태에서, 휘발성 환식 아미드의 증기를 표면에서 수소 가스 또는 다른 환원 시약과 반응시켜 표면에 금속의 박층을 생성시킨다.
다른 양태에서, 휘발성 환식 아미드의 증기를 표면에서 암모니아 가스 또는 다른 질소원과 반응시켜 표면에 금속 질화물의 박층을 생성시킨다. 이 반응의 예는 망간(II) 환식 아미드를 암모니아와 반응시켜 질화망간을 증착시키는 것을 포함한다.
또 다른 양태에서, 휘발성 환식 아미드의 증기를 표면에서 수증기 또는 다른 산소원과 반응시켜 표면에 금속 산화물의 박층을 생성시킨다. 이 반응의 예는 마그네슘 환식 아미드를 수증기와 반응시켜 산화마그네슘을 증착시키는 것을 포함한다.
추가의 양태에서, 휘발성 환식 아미드의 증기를 표면에서 트리스-알콕시실란올 증기 또는 다른 실란올원과 반응시켜 표면에 금속 실리케이트의 박층을 생성시킨다. 이 반응의 예는 망간(II) 환식 아미드를 트리스-tert-펜톡시실란올과 반응시켜 규산망간을 증착시키는 것을 포함한다.
다른 구체예에서, 망간, 철, 코발트, 니켈, 아연, 크롬, 바나듐, 티타늄, 마그네슘, 칼슘, 스트론튬, 바륨, 텔루륨, 카드뮴, 주석, 납, 팔라듐, 백금, 로듐, 루테늄, 오스뮴, 이리듐, 몰리브덴, 텅스텐, 니오븀, 탄탈, 알루미늄, 갈륨, 스칸듐, 안티몬, 인듐, 루테튬, 이테르븀, 툴륨, 에르븀, 탈륨, 이트륨, 홀뮴, 디스프로슘, 테르븀, 가돌리늄, 유로퓸, 사마륨, 네오디뮴, 프라세오디뮴, 세륨, 비스무트 및 우라늄의 환식 아미드가 이들 금속 중 1 이상을 포함하는 박막의 증착에 사용된다.
다른 구체예에서, 증착된 금속 함유 코팅은 높은 전기 전도성 또는 다른 유용한 특성을 보유한다.
다른 구체예에서, 화합물을 탄화수소와 같은 용매에 용해시킬 수 있고, 결과로 나온 용액은 증착에 사용된다. 적절한 탄화수소는 알칸, 알켄, 테르펜 또는 이들의 조합을 포함한다. 구체적으로, 도데칸, 테트라데칸, 2,6,10-트리메틸도데칸, 2,2,4,4,6,8,8-헵타메틸노난, 2,6,10-트리메틸펜타데칸 및 2,6,10,14-테트라메틸펜타데칸 또는 이의 조합을 이들 화합물의 용해를 위한 용매로서 사용할 수 있다.
다른 구체예에서, 화합물을 트리알킬아민과 같은 용매에 용해시킬 수 있으며, 결과로 나온 용액은 증착에 사용될 수 있다. 이들 화합물의 용해에 사용될 수 있는 적절한 트리알킬아민은 트리-n-부틸아민, 트리-n-펜틸아민, 트리-n-헥실아민, 트리-n-헵틸아민, 트리-n-옥틸아민 또는 이의 조합을 포함한다.
상기 코팅은 마이크로 전자 공학 소자에서의 커넥터로서, 예컨대 마이크로 전자 공학 상호 연결 구조물에서의 구리 필름용 망간 함유 접착/배리어 층으로서 사용될 수 있다. 코팅은 또한 분말, 와이어 상에 또는 복합 기계 구조물 주위에 그리고 그 안에 배치될 수 있다.
본 발명의 상기 및 다양한 다른 양태, 특징 및 이점 뿐 아니라 본 발명 자체는, 하기 도면과 함께 고려시, 본 발명의 하기 상세한 설명을 참고로 하여 더욱 완전히 이해될 수 있다. 도면은 예시 목적으로만 제시되며, 본 발명을 한정하려는 것은 아니며,
도 1은 X선 결정학에 의해 측정된, 결정의 비스(2,2,5,5-테트라메틸피롤리디노)망간(II) 이량체 분자의 구조의 그림이다.
본 명세서에 사용된 바의 "금속 환식 아미드"는 환식 아민으로부터 유래된 금속, 또는 이로부터 유래된 음이온성 리간드에 부착된 금속을 포함하는 화합물이다. 본 명세서에 사용된 바의 "환식 아민"은 고리 구조가 하나의 질소 원자를 포함하면서 다른 고리 원자(통상적으로 4 또는 5 개)는 탄소인 복소환식 화합물을 의미한다.
1 이상의 구체예에서, 금속 환식 아민은 일반식 MAx를 가지며, 식 중, x는 화합물에 중성을 제공하도록 선택된다. 통상적으로, x는 2 또는 3이다. MAx는 바람직하게는 단량체이지만, 올리고머일 수 있으며, 이 경우에는 화합물을 [MAx]y로 기재할 수 있고, 식 중, y는 올리고머화의 정도이고, 통상적으로는 3(삼량체) 이하의 범위, 더욱 바람직하게는 2(이량체), 가장 바람직하게는 1(단량체)이다. 화학식 (MAxLn)y(식 중, n은 양수임)에 해당하는 추가의 중성 리간드 L이 또한 존재할 수 있다.
1 이상의 구체예에서, M은 통상적으로 2 또는 3의 산화 상태에 있는 주족 원소, 전이 금속 또는 희토류 금속이다. 예시적인 금속은 망간, 철, 코발트, 니켈, 아연, 크롬, 바나듐, 티타늄, 마그네슘, 칼슘, 스트론튬, 바륨, 텔루륨, 카드뮴, 주석, 납, 팔라듐, 백금, 로듐, 루테늄, 오스뮴, 이리듐, 몰리브덴, 텅스텐, 니오븀, 탄탈, 알루미늄, 갈륨, 스칸듐, 안티몬, 인듐, 루테튬, 이테르븀, 툴륨, 에르븀, 탈륨, 이트륨, 홀뮴, 디스프로슘, 테르븀, 가돌리늄, 유로퓸, 사마륨, 네오디뮴, 프라세오디뮴, 세륨, 비스무트 및 우라늄을 포함한다.
일구체예에서, 환식 아민은 5원 피롤리디네이트 고리를 가지며 하기 구조로 표시되며, +2의 산화 상태에 있는 금속 M을 갖는 화합물을 형성시에는 이의 올리고머이다:
Figure pct00006
이 화학식에서, R1 내지 R16, 또는 "Rn"(여기서, n=1-16)은 1 이상의 비금속 원자로부터 이루어진 기를 나타낸다. 일부 구체예에서, Rn은 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택될 수 있으며, 여기서 할로알킬기는 플루오로알킬, 클로로알킬 및 브로모알킬을 포함한다. 일부 구체예에서, 질소에 인접한 탄소에 부착된 기(즉, R1, R2, R7, R8, R9, R10, R15 및 R16)는 수소가 아니어서, 환식 아민 리간드의 장애 벌크가 올리고머 화합물보다 더 휘발성인 단량체 화합물을 제공한다. 특정 구체예에서, 환식 아민 리간드는 산화 상태 +2에 있는 금속 M을 갖는 화합물을 형성하는 2,2,5,5-테트라메틸피롤리디네이트이다:
Figure pct00007
1 이상의 구체예에서, 환식 아민 리간드는 하기 구조로 표시되는, 산화 상태 +2에 있는 금속 M을 갖는 화합물 또는 이의 올리고머를 형성하는 치환된 피페리디네이트이다:
Figure pct00008
이 화학식에서, R1 내지 R20, 또는 "Rn"(여기서, n=1-20)은 1 이상의 비금속 원자로부터 이루어진 기를 나타낸다. 일부 구체예에서, Rn은 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택될 수 있으며, 여기서 할로알킬기는 플루오로알킬, 클로로알킬 및 브로모알킬을 포함한다. 바람직한 구체예에서, 질소에 인접한 탄소에 부착된 기(즉, R1, R2, R9, R10, R11, R12, R19 및 R20)는 수소가 아니어서, 리간드의 장애 벌크가 올리고머 화합물보다 더 휘발성인 단량체 화합물을 제공한다.
일부 구체예에서, 환식 아민 리간드는 산화 상태 +2에 있는 금속 M을 갖는 화합물을 형성하는 2,2,6,6-테트라메틸피페리디네이트이다:
Figure pct00009
+2 산화 상태에 있는 일부 적절한 금속은 Mn(II), Fe(II), Co(II), Ni(II), Zn(II), Cr(II), V(II), Ti(II), Cu(II), Ca(II), Sr(II), Ba(II), Te(II), Pb(II), Pd(II), Pt(II), Rh(II), Ru(II) 또는 Os(II)를 포함한다.
특정 구체예에서, 환식 아민은 하기 구조로 표시되는, 산화 상태 +3에 있는 M을 갖는 화합물 또는 이의 올리고머를 형성하는 트리스(피롤리디네이트)이다:
Figure pct00010
화학식 5에 있어서, n이 1~24의 임의의 정수인 "Rn"은 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택되는 기를 나타내며, 여기서 할로알킬기는 플루오로알킬, 클로로알킬 및 브로모알킬을 포함한다.
화학식 5에서 +3 산화 상태에 있는 일부 적절한 금속은 알루미늄, 코발트, 철, 갈륨, 바나듐, 티타늄, 로듐, 루테늄, 오스뮴, 이리듐, 크롬, 몰리브덴, 텅스텐, 니오븀, 탄탈, 스칸듐, 안티몬, 인듐, 루테튬, 이테르븀, 툴륨, 에르븀, 탈륨, 이트륨, 홀뮴, 디스프로슘, 테르븀, 가돌리늄, 유로퓸, 사마륨, 네오디뮴, 프라세오디뮴, 세륨, 비스무트 또는 우라늄을 포함한다.
특정 구체예에서, 환식 아민은 하기 구조로 표시되는, 산화 상태 +3에 있는 M을 갖는 화합물 또는 이의 올리고머를 형성하는 트리스(피페리디네이트)이다:
Figure pct00011
화학식 6에서, 1~30의 임의의 정수인 "Rn"은 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택되는 기를 나타내며, 여기서 할로알킬기는 플루오로알킬, 클로로알킬 및 브로모알킬을 포함한다.
화학식 6에 있어서 +3 산화 상태에 있는 일부 적절한 금속은 알루미늄, 코발트, 철, 갈륨, 바나듐, 티타늄, 로듐, 루테늄, 오스뮴, 이리듐, 크롬, 몰리브덴, 텅스텐, 니오븀, 탄탈, 스칸듐, 안티몬, 인듐, 루테튬, 이테르븀, 툴륨, 에르븀, 탈륨, 이트륨, 홀뮴, 디스프로슘, 테르븀, 가돌리늄, 유로퓸, 사마륨, 네오디뮴, 프라세오디뮴, 세륨, 비스무트 또는 우라늄을 포함한다.
합성도
특정 구체예에서, 본 명세서에 기재된 금속을 갖는 환식 아민 및 이의 화합물은 실시예에 기재된 반응에 따라 합성될 수 있다. 환식 아민에 상이한 치환기를 추가하는 것은, 당업계의 숙련자에게 이해되는 바와 같이, 상이한 유기 출발 재료의 선택에 의해 달성될 수 있다.
증착
증착 공정에서, 금속 환식 아민 증기 및 임의로 제2 반응물의 증기를 표면에 공급한다. 증기를 표면에 동시에 공급시, 또는 임의의 제2 반응물을 생략할 경우에, 공정을 화학적 증착(CVD)이라고 부른다. 증기를 교대로 표면에 공급할 경우에는, 공정을 원자층 증착(ALD)이라고 부른다. 통상적인 제2 반응물은 수소 가스, 암모니아 가스, 물, 산소, 과산화수소, 이산화질소, 오존, 황화수소, 디보란을 포함한다. 수소 가스 또는 다른 환원 가스를 제2 반응물로서 선택시, 금속이 증착될 수 있다. 암모니아 가스 또는 다른 질소의 반응원을 제2 반응물로서 선택시, 금속 질화물이 증착된다. 수증기, 산소 또는 오존 또는 다른 산소의 반응원을 제2 반응물로서 선택시, 금속 산화물이 증착된다. 황화수소 또는 다른 황의 반응원을 제2 반응물로서 선택시, 금속 황화물이 증착된다. 디보란 또는 다른 붕소의 반응원을 제2 반응물로서 선택시, 금속 붕화물이 증착된다.
1 이상의 구체예에 따르면, 금속 환식 아미드를 증기로서 기판 상에 도입한다. 전구체의 증기는 액체 또는 고체 전구체로부터 종래의 방법에 의해 형성될 수 있다. 1 이상의 구체예에서, 이의 액체 전구체 또는 액체 용액을 예컨대 약 100~200℃로 가열된 관을 따라 흐르게 하여 기화시킬 수 있다. 증착 영역으로의 증기의 수송을 돕기 위해 캐리어 가스도 가열된 관을 통해 흘릴 수 있다. 액체도 분무에 의해 기화 온도 이상으로 예열된 캐리어 가스로 기화시킬 수 있다. 분무는 공기압으로, 초음파로 또는 다른 적절한 방법에 의해 실시할 수 있다. 분무되는 고체 전구체를 데칸, 도데칸, 테트라데칸, 톨루엔, 크실렌 및 메시틸렌, 에테르, 에스테르, 케톤, 아민 및 염소화 탄화수소와 같은 탄화수소를 비롯한 유기 용매에 용해시킬 수 있다. 액체 전구체의 용액은 순수한 액체 전구체보다 더 낮은 점도를 가질 수 있어서, 일부 경우 순수한 액체보다는 용액을 분무 및 증발시키는 것이 바람직할 수 있다. 전구체 액체 또는 전구체 용액도 가열 구역에의 액체 또는 용액의 직접 분사에 의해 또는 버블러에서의 가열에 의해, 박막 증발기로 증발시킬 수 있다. 액체의 기화를 위한 상업적인 장비는 Brooks Instruments(미국 펜실베이니아주 해트필드 소재), MKS Instruments(미국 매사츠세츠주 앤도버 소제), ATMI, Inc.(미국 코네티컷주 댄버리 소재) 및 COVA Technologies(미국 콜로라도주 콜로라도 스프링 소재)가 제조한다. 초음파 분무기는 Sonotek Corporation(미국 뉴욕주 밀튼 소재) 및 Cetac Technologies(미국 네브라스카주 오마하 소재)가 제조한다.
본 명세서에 기재된 금속 전구체를 환원제, 예컨대 수소 가스와 반응시켜 금속의 필름을 형성시킬 수 있다. 예컨대, 니켈(II) 환식 아민을 수소 가스와 반응시켜 니켈 금속을 형성시킬 수 있다. 다른 구체예에서, 본 발명의 금속 전구체를 또한 다른 적절히 반응성이 있는 환원 화합물과 반응시켜 금속을 형성시킬 수 있다. 일부 구체예에서, 본 명세서에 기재된 금속 전구체를 암모니아 가스와 반응시켜 금속 질화물을 형성시킬 수 있다. 예컨대, 코발트(II) 환식 아민을 암모니아 가스와 반응시켜 코발트 질화물을 형성시킬 수 있다. 다른 구체예에서, 본 명세서에 기재된 금속 전구체를 수증기와 반응시켜 금속 산화물을 형성시킬 수 있다. 예컨대, 니켈(II) 환식 아민을 수증기와 반응시켜 니켈 산화물을 형성시킬 수 있다.
본 명세서에 기재된 전구체의 증착은 원자층 증착(ALD)을 이용하여 실시할 수 있다. ALD는 계량된 양의 제1 반응물을 층 증착을 위해 그 안에 기판을 갖는 증착 챔버에 도입시킨다. 제1 반응물의 박층이 기판에 증착된다. 그 다음, 임의의 미반응 제1 반응물 및 휘발성 반응 부산물이 진공 펌프, 및 임의로 불활성 캐리어 가스의 흐름에 의해 제거된다. 그 다음, 계량된 양의 제2 반응물 성분을 증착 챔버에 도입한다. 제2 반응물은 제1 반응물로부터의 이미 증착된 층 위에 증착되고 이와 반응한다. 제1 및 제2 반응물의 교대 용량이 증착 챔버에 도입되고 기판에 증착되어, 제어된 조성 및 두께의 층을 형성시킨다. 용량 사이의 시간은 초 정도일 수 있으며, 방금 도입된 성분이 필름 표면과 반응하고 임의의 과량의 증기 및 부산물이 기판 상의 헤드스페이스로부터 제거되기에 적당한 시간을 제공하도록 선택된다. 표면 반응은 자기 제한적이어서 예상 가능한 조성의 재생 가능한 층이 증착되는 것으로 결정되었다. 당업자의 숙련자에 의해 이해되는 바와 같이, 2 초과의 반응물 성분을 이용하는 증착 공정은 본 발명의 범위에 들어간다.
다른 구체예에서, 본 명세서에 기재된 전구체의 증착은 CVD에 의해 실시될 수 있다.
실시예
예시만을 목적으로 하기 실시예를 제공하며, 이는 어떠한 방식으로든 본 발명을 한정하는 것으로 해석되어서는 안 된다.
이들 방법에 기재된 모든 반응 및 조작은 불활성 분위기 상자 또는 표준 슈렌크 기술을 이용하여 순수한 질소 분위기 하에서 수행할 수 있다. 이들 절차에 의해 제조된 화합물은 일반적으로 주위 공기 중에서 습기 및/또는 산소와 반응하며, 따라서 순수한 질소 또는 아르곤 가스와 같은 불활성의 건조 분위기 하에서 저장 및 취급될 수 있다.
실시예 1. 고리 수축에 의한 2,2,5,5-테트라메틸피롤리딘의 합성
하기 반응 순서를 또한 2,2,5,5-테트라메틸피롤리딘의 제조에 사용할 수 있다:
Figure pct00012
이들 단계는 하기와 같이 더욱 상세히 설명된다:
아세톤과 암모니아의 축합으로 2,2,6,6-테트라메틸피페리딘-4-온의 형성:
Figure pct00013
이 중간체 2,2,6,6-테트라메틸피페리딘-4-온은 또한 상업적으로 구입할 수 있다.
2,2,6,6-테트라메틸피페리딘-4-온의 브롬화:
Figure pct00014
2,2,6,6-테트라메틸피페리딘-4-온(100 g, 0.644 mol)을 수욕 냉각 하에서 빙초산(HOAc)(395 mL)에 용해시켰다. HOAc(285 mL) 중 Br2(205.8 g, 1.288 mol)의 용액을 6 시간에 걸쳐 적가하였다. 1 일 후, 반응 혼합물을 여과하였다. 단리된 고체를 HOAc(200 mL), H2O(200 mL), 그리고 최종적으로 Et2O(2 x 200 mL)로 세정하였다. 7~10 일 동안의 공기 건조 후, 생성물을 연베이지색 분말로서 얻었다(229.55 g, 90%). mp 201℃(dec.). 1H NMR (CDCl3/MeOH-d4, 2:1 v/v): 1.45 (s, 6 H, 2 CH3), 1.88 (s, 6 H, 2 CH3), 5.63 (s, 2 H, 2 CHBr). 문헌[S. W. Stork and M. W. Makinen, "Facile Synthesis of 3-Formyl-2,2,5,5-Tetramethyl-1-oxypyrroline," Synthesis 1309 (1999)] 참조.
암모니아 중에서의 파보르스키(Favorski) 재배열에 의한 고리 수축:
Figure pct00015
3,5-디브로모-2,2,6,6-테트라메틸피페리딘-4-온(75 g, 0.19 mol)을 자기 교반하면서 750 mL의 농축 수성 암모니아에 소부분씩 첨가하였다. 수 분 후, 염이 용해되었다. 용액을 정제 형태로 첨가된 수산화나트륨으로 포화시켰다. 연한 바늘 형상 침전이 형성되었다. 여과 및 건조 후, 다음 단계에서 사용할 25 g(78%)의 백색 고체를 충분한 순도로 얻었다. 문헌[C. Sandris and G. Ourisson, Bull. Soc. Chim. France 345 (1958); H. Pauly, Ann. Chem. 322, 77 (1902)] 참조.
카르복사미드의 피롤리돈으로의 호프만 분해:
Figure pct00016
150 mL의 증류수에 43 g의 수산화나트륨을 용해시키고, 빙욕에서 0℃로 냉각시키고, 격렬하게 교반하면서 35 g의 브롬을 천천히 첨가함으로써, 하이포아브롬산나트륨의 용액을 제조하였다. 약 10 분 후, 250 mL의 증류수 중 30 g의 3-아미노카르보닐-2,2,6,6-테트라메틸-3-피롤린의 용액을 하이포아브롬산나트륨의 냉각 및 교반된 용액에 첨차 첨가하였다. 초기에 무색 또는 연황색이 나는 반응 혼합물을 수욕 상에서 점차 가열하여 환류시켰다. 이의 색이 녹색으로 변한 후, 황색, 오렌지색, 그리고 약 1 시간 후 최종적으로 암적색으로 변했다. 이것이 암적색으로 변하자마자, 용액을 실온으로 냉각시켰다. 150 g의 수산화나트륨 펠렛을 교반하면서 첨가하였다. 펠렛이 용해되자마자, 약 150 mL의 증류물이 얻어질 때까지, 혼합물을 즉시 얼음에 냉각된 수용 플라스크에 증기 증류시켰다. 이 증류물을 수산화나트륨 및 염화나트륨으로 포화시킨 후, 에테르로 추출하였다. 저압 증류(80℃/40 Torr) 후, 13.2 g(55%)의 무색 액체를 얻었다(비점 169℃/747 Torr). 문헌[C. Salvi, C. Fabre, A. Rassat, R. Chiarelli, 유럽 특허 출원 423 033 (1990); R. M. Dupeyre, A. Rassat and P. Rey, Bull. Soc. Chim. France 3643 (1965); C. Sandris and G. Ourisson, Bull. Soc. Chim. France 345 (1958); H. Pauly, Ann. Chem. 322, 77 (1902)] 참조.
히드라진을 사용하는 케톤의 울프-키쉬너(Wolff-Kishner) 환원:
Figure pct00017
2,2,5,5-테트라메틸-3-옥소피롤리딘(1.97 g, 0.014 mol), 히드라진 수화물(2.1 ml, 0.042 mol), 수산화칼륨(2.8 g, 0.050 mol) 및 디에틸렌 글리콜 모노에틸 에테르(10 mL)의 혼합물을 질소 발생이 정지할 때까지(14 시간) 135℃에서 가열하였다. 그 다음, 환류 응축기를 증류 응축기로 교체하고, 욕 온도를 점차 195℃로 증가시켰다. 증류물을 무수 탄산칼륨으로 포화시키고, 유기층을 분리하고, 상압에서 증류시키고, 105~125℃에서 비등하는 분획을 수집하였다. 이 재료를 재증류시켜 1.3 g(73%)의 순수한 2,2,5,5-테트라메틸피롤리딘(비점 110-115℃)을 얻었다. 문헌[W. R. Couet, R. C. Brasch, G. Sosnovsky, J. Lukszo, I. Prakash, C. T. Gnewuch and T. N. Tozer, "Influence of the chemical structure of nitroxyl spin labels on their reduction by ascorbic acid," Tetrahedron 41, 1165-1172 (1985)] 참조.
실시예 2. 니트로 케톤으로부터의 2,2,5,5-테트라메틸피롤리딘의 합성
하기 반응을 이용하여 부트-3-엔-2-온 및 2-니트로프로판으로부터 2,2,5,5-테트라메틸피롤리딘을 합성할 수 있다:
Figure pct00018
문헌[E. Lunt, Nitro Compounds, Proc. Int. Symposium, Tetrahedron Suppl., 291 (1963)] 참조.
실시예 3. 촉매 환화에 의한 2,2,5,5-테트라메틸피롤리딘의 합성
제올라이트와 같은 고상 촉매의 존재 하에서 2,5-디메틸-1,5-헥사디엔을 가열하였다.
Figure pct00019
이 합성은 연속 공정으로서 구동시키기 위해 상업적으로 스케일 업할 수 있지만, 생성물의 수율 및 순도는 낮다. 문헌[Michael Hess, Wolfgang Hoelderich and Matthias Schwartzmann, Preparation of N-Heterocycles. 미국 특허 제4,929,733호(1990)] 참조.
실시예 4. 비스(2,2,5,5-테트라메틸피롤리디네이토)망간(II) 이량체의 제조
Figure pct00020
실시예 1, 2 또는 3에 따라 제조된 2,2,5,5-테트라메틸피롤리딘을 에테르 중에서 n-부틸 리튬과 반응시켜 리튬 2,2,5,5-테트라메틸피롤리디네이트를 제조하였다. 에테르를 저압 하에서 증발시켰다. MnBr2(THF)2 및 펜탄을 리튬 2,2,5,5-테트라메틸피롤리디네이트에 첨가하였다. 반응이 완료될 때가지(통상 밤새) 반응 혼합물을 실온에서 교반시킨 후, 여과하여 고상 브롬화리튬 부산물을 제거하였다. 휘발성 용매(펜탄 및 테트라히드로푸란)를 진공 하에서 여과된 액체로부터 제거하고, 플라스크를 수욕 중에 침지시켜 실온으로 유지시켰다. 결과로 나온 미정제 비스(2,2,5,5-테트라메틸피롤리디네이토)망간(II)을 그 다음 80℃ 이하의 온도에서 진공 승화에 의해 정제하고, 수냉 콜드 핑거 상에서 황색 고체로서 수집하였다. X선 결정학에 의한 고체의 연구는, 이것이 도 1에 도시되고 본 단락에 상기 화학식에 도시된 고체 상태의 이량체임을 증명하였다.
실시예 5.비스(2,2,5,5-테트라메틸피롤리디네이토)철(II)의 제조
Figure pct00021
MnBr2(THF)2 대신에 FeBr2(DME)로 실시예 4를 반복하였다.
실시예 6. 비스(2,2,5,5-테트라메틸피롤리디네이토)코발트(II)의 제조
Figure pct00022
MnBr2(THF)2 대신에 CoBr2(DME)로 실시예 4를 반복하였다.
실시예 7. 비스(2,2,5,5-테트라메틸피롤리디네이토)니켈(II)의 제조
Figure pct00023
MnBr2(THF)2 대신에 NiBr2(DME)로 실시예 4를 반복하였다.
실시예 8. 비스(2,2,6,6-테트라메틸피페리디네이토)망간(II)의 제조
Figure pct00024
상업적으로 입수 가능한 2,2,6,6-테트라메틸피페리딘을 에테르 중에서 n-부틸 리튬과 반응시켜 리튬 2,2,6,6-테트라메틸피페리디네이트를 형성시켰다. 에테르를 진공 하에서 증발시켰다. MnBr2(THF)2 및 펜탄을 리튬 2,2,6,6-테트라메틸피페리디네이트에 첨가하였다. 반응이 완료될 때가지(보통 밤새) 반응 혼합물을 실온에서 교반시킨 후, 여과하여 고상 브롬화리튬을 제거하였다. 휘발성 용매(펜탄 및 테트라히드로푸란)을 진공 하에서 제거하고, 플라스크를 수욕 중에 침지시켜 실온으로 유지시켰다. 결과로 나온 미정제 비스(2,2,6,6-테트라메틸피페리디네이토)망간(II)을 그 다음 80℃ 이하의 온도에서 승화에 의해 정제하고, 수냉 콜드 핑거 상에서 황색 고체로서 수집하였다. 고체의 X선 분석은 단위 셀 파라미터 = 11.17, b = 15.08, c = 16.28, α = 97.87, β = 96.86, γ = 105.61을 나타냈다. 이들 파라미터는 이전에는 보고되지 않은 것이며, 이것이 신규한 화합물임을 보여준다. 그러나, 결정의 품질이 이의 분자 구조를 결정하기에는 충분하지 않았다. 프로톤 NMR은 3 또는 4 개의 브로드한 공명을 보여주었고, 이 화합물이 상자성임을 보여준다.
실시예 9. 비스(2,2,6,6-테트라메틸피페리디네이토)철(II)의 제조
Figure pct00025
MnBr2(THF)2 대신에 FeI2로 실시예 8을 반복하였다.
실시예 10. 비스(2,2,6,6-테트라메틸피페리디네이토)코발트(II)의 제조
Figure pct00026
MnBr2(THF)2 대신에 CoBr2(DME)로 실시예 8을 반복하였다.
실시예 11. 비스(2,2,6,6-테트라메틸피페리디네이토)니켈(II)의 제조
Figure pct00027
MnBr2(THF)2 대신에 NiBr2(DME)로 실시예 8을 반복하였다.
실시예 12. 비스(2,2,6,6-테트라메틸피페리디네이토)망간(II), Mn(TMPP) 2 의 대안적인 제조
Figure pct00028
12a. n-부틸나트륨, nBuNa의 합성. 문헌(Organometallics 1988, 7, 277)로부터의 문헌 절차에 따라 화합물 nBuNa를 제조하였다. NaOtBu를 HOtBu 및 Na0으로부터 새롭게 제조하였다. 새롭게 제조된 Na0 포일을 2~4 배 과량의 HOtBu에 첨가하고, 24 시간 동안 환류하면서 교반하였다. 남은 HOtBu를 진공에서 제거하여 백색 고체 NaOtBu를 얻었고, 이를 nBuNa의 합성에서 즉시 사용하였다.
12b. (2,2,6,6-테트라메틸피페리디네이토)나트륨 삼량체, Na 3 (TMPP) 3 의 합성. 문헌(J. Organomet. Chem. 1999, 587, 88)으로부터의 문헌 절차에 따라 화합물 Na3TMPP3을 제조하였다. 일부 경우, Na3TMPP3의 완전한 형성을 보장하기 위해, 과량의 nBuNa의 첨가가 필요하였다. 1H NMR에 의해 Na3TMPP3의 불완전한 전환이 측정되었고, 이는 유리 TMPPH의 존재를 보여주었다. 1H NMR (벤젠-d6, 500 MHz,δppm): 1.11 (br, 12H, CH3) , 1.36 (br, 4H, β-CH2), 1.89 (br, 2H, γ-CH2).
12c. 비스(2,2,6,6-테트라메틸피페리디네이토)망간(II), Mn(TMPP) 2 의 합성. 분쇄된 MnCl2(175 mg, 1.4 mmol)의 무수 비드를 10 mL의 THF 중에서 18 시간 동안 환류시켰다. 이전에 기재된 대로 10 mL의 헥산 중에서 Na3TMPP3을 제조하였다[nBuNa (223 mg, 2.8 mmol); TMPPH (390 mg, 2.8 mmol); 문헌(J. Organomet. Chem. 1999, 587, 8)]. 새롭게 제조한 Na3TMPP3을 냉 THF(-35℃) 중 MnCl2(THF)의 현탁액에 첨가하였다. 반응물을 실온으로 승온시키고, 12 시간 동안 교반하여 오렌지-갈색 용액을 얻었다. 휘발물을 진공에서 제거하고, 결과로 나온 오일을 헥산(20 mL)에 용해시키고, 셀라이트를 통해 여과하여 NaCl을 제거하였다. 용매를 진공에서 제거하여 오렌지-갈색 오일을 84% 수율로 얻었다. 1H NMR은 농도에 따라 0~20 ppm 사이의 위치에서 이동하는 3 또는 4 개의 상자성 공명을 보여주었다. 하나의 대표적인 1H NMR (벤젠-d6, 500 MHz,δppm): 10.84, 8.86, 4.93, 3.54. 황색 결정을 헥산으로부터 성장시켰다(단위 셀: = 11.17, b = 15.08, c = 16.28, α = 97.87, β = 96.86, γ = 105.61).
실시예 13. 비스(2,2,6,6-테트라메틸피페리디네이토)티타늄(II)의 제조
Figure pct00029
13a. 테트라메틸에틸렌디아민, TiCl 2 (TMEDA) 2 로의 이염화티타늄 착체의 합성. 문헌(Inorganic Chemistry 1991, vol. 30, page 154)에서의 보고로부터 채택된 합성을 이용하여 TiCl2(TMEDA)2를 제조하였다. Ar 글로브박스에서, TMEDA(29 g, 0.25 mol)를 -35℃에서 THF(100 mL) 중 상업적인(Sigma-Aldrich) TiCl3(THF)3(15 g, 0.040 mol)의 현탁액에 첨가하였다. -35℃에서 반응물에 고체 덩어리(chunk)를 첨가하기 전에, 매우 얇은(종이 두께) 새롭게 두들겨 편 리튬 금속 포일(0.95 g, 0.14 mol)을 헥산으로 린싱하였다. 반응물을 실온으로 승온시키고, 이 시점에서 녹색 용액에서 검정색/갈색 현탁액으로 색 변화가 일어났다. 반응물을 24 시간 이하 동안, 그러나 적어도 밤새 실온에서 격렬하게 교반하였다. TMEDA(5 mL) 및 THF(170 mL)의 용액을 -35℃로 냉각시켰다. 반응물 및 필터 장치를 -78℃에서 글로브박스의 콜드 웰에서 냉각시켰다. 차가운 TMEDA/THF 용액을 냉반응물에 첨가하고, 셀라이트를 통해 즉시 여과(냉여과)하여 미반응 리튬 금속을 제거하였다. 용질을 차가운 슈렌크 플라스크에 옮기고, 200 mL의 최종 부피로 농축시켰다. 슈렌크 플라스크는 농축 공정 동안 콜드 웰(-78℃에서)에 남아 있어야 한다. 이 시간 동안, 자주색 침전이 형성되기 시작해야 한다. 생성된 용액을 적어도 24 시간 동안 -35℃에서 저장하여 자주색 침전을 얻었고, 이를 여과에 의해 단리하였다. 자주색 결정을 20 mL의 차가운(-35℃) THF로 세정하였다. 고체로서 단리시 TiCl2(TMEDA)2는 실온에서 안정하였지만, 이를 -35℃ 냉동고에 저장하였다. 단리 수율: 45%. 반응물을 전체 워크업 동안 차갑게 유지하는 것이 필수적이다. 모든 단계에서, 유리 용기 및 용매는 적당한 온도에 도달하는 것을 보장하기 위해 적어도 1 시간 동안 냉각시켜야 한다. 장치 및 용매를 엄격하게 냉각시키지 못 하면 분해 및 낮은 수율을 초래할 것이다.
13b. (2,2,6,6-테트라메틸피페리디네이토)나트륨 착체와 테트라메틸에틸렌디아민의 이량체, Na 2 (TMPP) 2 (TMEDA) 2 의 합성. 문헌(Chem. Eur. J. 2008, 14, 8025)으로부터의 문헌 절차에 따라 화합물 Na2(TMPP)2(TMEDA)2를 제조하였다. Na3(TMPP)3(175 mg, 0.41 mmol, 실시예 12에서와 같이 제조함)을 5 mL의 헥산에 첨가하였다. 고체 Na3(TMPP)3이 헥산에 완전히 용해[이는 Na2(TMPP)2(TMEDA)2로의 완전한 전환을 시사함]될 때까지, TMEDA(>5 mL)를 Na3(TMPP)3에 첨가하였다. 재료를 단리할 필요는 없지만, 하기 Ti(TMPP)2의 합성 동안 이를 인시츄에서 제조하였다. 1H NMR (벤젠-d6, 500 MHz,δppm): 1.43 (TMPP, br, 12H, CH3) , 1.63 (TMPP, br, 4H, β-CH2), 1.90 (TMEDA, br, 4H, CH2), 1.92 (TMEDA, br, 12H, CH3) 2.13 (TMP, br, 2H, γ-CH2).
13c. 비스(2,2,6,6-테트라메틸피페리디네이토)티타늄(II), Ti(TMPP) 2 의 합성. 냉헥산(10 mL, -35℃)을 고체 TiCl2(TMEDA)2(130 mg, 0.37 mmol)에 첨가하였다. 용해된 Na2(TMPP)2(TMEDA)2를 헥산 중 TiCl2(TMEDA)2의 현탁액에 차갑게(-35℃) 첨가하였다. 반응물을 실온으로 승온시키고, 적어도 8 시간 동안 교반하여 갈색 용액을 얻었다. 휘발물을 진공에서 제거하고, 생성된 오일을 헥산에 용해시키고, 셀라이트를 통해 여과하여 NaCl을 제거하였다. 용질을 둥근 바닥 플라스크에 옮기고, 휘발물을 진공에서 제거하였다. 생성된 갈색 오일을 벤젠으로부터 동결 건조시켜 갈색 고체를 얻었다. 단리 수율: 95 mg(80%). 1H NMR은 유리 TMPH에 할당된 이동[1H NMR (벤젠-d6, 500 MHz,δppm): 1.06 (s, 12H, CH3), 1.22 (t, 4H, β-CH2), 1.53 (m, 2H, γ-CH2)], 및 농도에 따라 이동하는 TMEDA에 할당된 2개의 공명[TMEDA에 대한 대표적인 1H NMR 이동(벤젠-d6, 500 MHz,δppm): 2.04 (br, 12H, CH3) , 2.19 (t, 4H, β-CH2)]을 보여주었다. 전자 상자성 공명(EPR)은 g-텐서 성분 gxy = 1.98 및 gz = 1.93을 갖는 Ti2Cl5(TMEDA)2의 불순물과 일치하는 이방성 신호를 보여주었다. 이 염소 함유 불순물이 얼마나 많이 존재하는지 평가하기 위해, 하기와 같이 염소 분석을 실시하였다: 산소 및 습기의 분위기에서 백금 촉매화를 이용하여 플로우-쓰로우 퍼니스(flow-through furnace)(1100℃)에서 샘플을 연소시키고, 유출 가스 중 연소 생성물을 NaOH 및 H2O2로 채워진 트랩에 포획하였다. 연소가 완료된 후, 트랩 내 재 및 액체 모두에 대해 염소 이온을 분석하였다. 사용된 샘플의 중량: 9.920 mg. 재 중 Cl = 0.19%; 유출 가스 중 Cl(트랩 내 액체) = 2.08%. 이 염소 분석을 기준으로 하여, Ti2Cl5(TMEDA)2 불순물의 양은 단지 생성물의 약 6 ± 2 중량%로 추정되었다. 고진공 하에서 승화에 의해 Ti(TMP)2 생성물을 정제하였다.
본 발명의 화합물은 금속 함유 재료의 합성에 유용하다. 예는 순금속, 금속 합금, 금속 산화물, 금속 질화물, 금속 인화물, 금속 황화물, 금속 붕화물, 금속 규화물 및 금속 게르마늄화물을 포함한다. 재료 합성을 위한 기술은 증착(CVD 및 ALD), 액체 용액 방법(졸-겔 및 침전) 및 고상 열분해를 포함한다.
증착에 유용한 증기는 기포로부터의 증류 또는 승화에 의해, 또는 용매 중 용액의 급속 증발에 의해 제조할 수 있다. 이들 용액을 위한 용매는 금속 전구체와 반응하지 않아야 하며, 금속 전구체와 유사한 증발 속도를 가져야 하고, 실온 훨씬 이하에서 융점을 가져야 한다. 본 발명의 화합물은 알칸, 알켄 또는 테르펜과 같은 탄화수소 용매에 매우 가용적이다. 바람직한 용매는 포화 탄화수소 도데칸, 테트라데칸, 2,6,10-트리메틸도데칸(보통 파르네센으로 불림), 2,2,4,4,6,8,8-헵타메틸노난(보통 시프란으로 불림), 2,6,10-트리메틸펜타데칸(보통 노르프리스탄으로 불림) 및 2,6,10,14-테트라메틸펜타데칸(보통 프리스탄으로 불림)을 포함한다. 적절한 용매의 다른 부류는 트리알킬아민, 예컨대 트리-n-부틸아민, 트리-n-펜틸아민, 트리-n-헥실아민, 트리-n-헵틸아민 및 트리-n-옥틸아민을 포함한다.
당업계의 숙련자는 루틴한 실험을 더 이용하지 않더라도, 본 명세서에 구체적으로 기재된 본 발명의 특정 구체예에 대한 다수의 등가물을 인지하거나 확신할 수 있을 것이다. 이러한 등가물을 하기 청구범위에 포함시키고자 한다.

Claims (38)

  1. 화학식 MxAy로 표시되는 화합물 또는 이의 올리고머를 포함하는 조성물로서,
    상기 화학식에서,
    M은 금속이고;
    A는 상기 M에 결합된 환식 아민 리간드이며;
    x 및 y는 양의 정수이고;
    여기서 상기 금속 M은 망간, 철, 코발트, 니켈, 아연, 크롬, 바나듐, 티타늄, 마그네슘, 칼슘, 스트론튬, 바륨, 텔루륨, 카드뮴, 주석, 납, 팔라듐, 백금, 로듐, 루테늄, 오스뮴, 이리듐, 몰리브덴, 텅스텐, 니오븀, 탄탈, 알루미늄, 갈륨, 스칸듐, 안티몬, 인듐, 루테튬, 이테르븀, 툴륨, 에르븀, 탈륨, 이트륨, 홀뮴, 디스프로슘, 테르븀, 가돌리늄, 유로퓸, 사마륨, 네오디뮴, 프라세오디뮴, 세륨, 비스무트 및 우라늄으로 이루어진 군에서 선택되는 조성물.
  2. 제1항에 있어서, 화합물은 구조
    Figure pct00030
    를 갖거나 또는 이의 올리고머이고, 상기 화학식에서, Rn은 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택되는 조성물.
  3. 제2항에 있어서, 금속은 망간, 철, 코발트, 니켈, 크롬, 바나듐, 티타늄, 마그네슘, 칼슘, 스트론튬, 바륨, 카드뮴, 아연, 주석, 납, 텔루륨, 유로퓸, 팔라듐, 백금, 로듐, 루테늄, 오스뮴, 이리듐, 몰리브덴, 텅스텐, 니오븀 및 탄탈에서 선택되는 조성물.
  4. 제1항에 있어서, 환식 아민 리간드는 2,2,5,5-테트라메틸피롤리딘을 포함하는 조성물.
  5. 제3항에 있어서, 화합물은 일반식
    Figure pct00031
    로 표시되는 비스(2,2,5,5-테트라메틸피롤리딘-1-일)금속(II) 또는 이의 올리고머이고, 상기 화학식에서, 금속 M은 망간, 철, 코발트, 니켈, 크롬, 바나듐, 티타늄, 마그네슘, 칼슘, 스트론튬, 바륨, 카드뮴, 아연, 주석, 납, 텔루륨, 유로퓸, 팔라듐, 백금, 로듐, 루테늄, 오스뮴, 이리듐, 몰리브덴, 텅스텐, 니오븀 및 탄탈로 이루어진 군에서 선택되는 조성물.
  6. 제4항에 있어서, 화합물은 화학명 비스(2,2,5,5-테트라메틸피롤리딘-1-일)망간(II) 이량체이며, 화학식
    Figure pct00032
    로 표시되는 조성물.
  7. 제1항에 있어서, 화합물은 화학명 비스(2,2,5,5-테트라메틸피롤리딘-1-일)철(II) 및 화학식
    Figure pct00033
    를 갖는 조성물.
  8. 제1항에 있어서, 화합물은 화학명 비스(2,2,5,5-테트라메틸피롤리딘-1-일)코발트(II) 및 화학식
    Figure pct00034
    를 갖는 조성물.
  9. 제1항에 있어서, 화합물은 화학명 비스(2,2,5,5-테트라메틸피롤리딘-1-일)니켈(II) 및 화학식
    Figure pct00035
    를 갖는 조성물.
  10. 제1항에 있어서, 화합물은 화학명 비스(2,2,5,5-테트라메틸피롤리딘-1-일)티타늄(II) 이량체 및 화학식
    Figure pct00036
    를 갖는 조성물.
  11. 제1항에 있어서, 화합물은 화학명 비스(2,2,5,5-테트라메틸피롤리딘-1-일)크롬(II) 이량체 및 화학식
    Figure pct00037
    를 갖는 조성물.
  12. 제1항에 있어서, 화합물은 일반식
    Figure pct00038
    로 표시되거나 또는 이의 올리고머이며, 상기 화학식에서, Rn은 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택되는 조성물.
  13. 제12항에 있어서, 금속 M은 망간, 철, 코발트, 니켈, 크롬, 바나듐, 티타늄, 칼슘, 스트론튬, 바륨, 납, 텔루륨, 유로퓸, 팔라듐, 백금, 로듐, 루테늄, 오스뮴, 이리듐, 몰리브덴, 텅스텐, 니오븀 및 탄탈로 이루어진 군에서 선택되는 조성물.
  14. 제12항에 있어서, 환식 아민 리간드는 2,2,6,6-테트라메틸피페리딘을 포함하는 조성물.
  15. 제13항에 있어서, 화합물은 일반식
    Figure pct00039
    로 표시되는 비스(2,2,6,6-테트라메틸피페리딘-1-일)금속(II)인 조성물.
  16. 제14항에 있어서, 화합물은 화학명 비스(2,2,6,6-테트라메틸피페리딘-1-일)망간(II) 및 화학식
    Figure pct00040
    를 갖는 조성물.
  17. 제14항에 있어서, 화합물은 화학명 비스(2,2,6,6-테트라메틸피페리딘-1-일)코발트(II) 및 화학식
    Figure pct00041
    를 갖는 조성물.
  18. 제14항에 있어서, 화합물은 화학명 비스(2,2,6,6-테트라메틸피페리딘-1-일)니켈(II) 및 화학식
    Figure pct00042
    를 갖는 조성물.
  19. 제14항에 있어서, 화합물은 화학명 비스(2,2,6,6-테트라메틸피페리딘-1-일)티타늄(II) 및 화학식
    Figure pct00043
    를 갖는 조성물.
  20. 제1항에 있어서, 화합물은 일반식
    Figure pct00044
    로 표시되거나 또는 이의 올리고머이며, 상기 화학식에서, R1 내지 R24는 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택되는 조성물.
  21. 제20항에 있어서, 금속 M은 알루미늄, 코발트, 철, 갈륨, 바나듐, 티타늄, 로듐, 루테늄, 오스뮴, 이리듐, 크롬, 몰리브덴, 텅스텐, 니오븀, 탄탈, 스칸듐, 안티몬, 인듐, 루테튬, 이테르븀, 툴륨, 에르븀, 탈륨, 이트륨, 홀뮴, 디스프로슘, 테르븀, 가돌리늄, 유로퓸, 사마륨, 네오디뮴, 프라세오디뮴, 세륨, 비스무트 및 우라늄으로 이루어진 군에서 선택되는 조성물.
  22. 제1항에 있어서, 화합물은 일반식
    Figure pct00045
    로 표시되거나 또는 이의 올리고머이며, 상기 화학식에서, R1 내지 R30은 독립적으로 수소, 알킬, 치환된 알킬, 시클로알킬, 치환된 시클로알킬, 아릴, 치환된 아릴, 알케닐, 치환된 알케닐, 알키닐, 치환된 알키닐, 트리알킬실릴, 디알킬아미드 또는 할로알킬 기에서 선택되는 조성물.
  23. 제22항에 있어서, 금속 M은 알루미늄, 코발트, 철, 갈륨, 바나듐, 티타늄, 로듐, 루테늄, 오스뮴, 이리듐, 크롬, 몰리브덴, 텅스텐, 니오븀, 탄탈, 스칸듐, 안티몬, 인듐, 루테튬, 이테르븀, 툴륨, 에르븀, 탈륨, 이트륨, 홀뮴, 디스프로슘, 테르븀, 가돌리늄, 유로퓸, 사마륨, 네오디뮴, 프라세오디뮴, 세륨, 비스무트 또는 우라늄으로 이루어진 군에서 선택되는 조성물.
  24. 화학식 MxAy로 표시되는 화합물 또는 이의 올리고머로부터 재료를 증착(depostion)시키는 단계를 포함하는 방법으로서,
    상기 화학식에서,
    M은 금속이고;
    A는 상기 M에 결합된 환식 아민 리간드이며;
    x 및 y는 양의 정수이고;
    상기 금속 M은 망간, 철, 코발트, 니켈, 아연, 크롬, 바나듐, 티타늄, 마그네슘, 칼슘, 스트론튬, 바륨, 텔루륨, 카드뮴, 주석, 납, 팔라듐, 백금, 로듐, 루테늄, 오스뮴, 이리듐, 몰리브덴, 텅스텐, 니오븀, 탄탈, 알루미늄, 갈륨, 스칸듐, 안티몬, 인듐, 루테튬, 이테르븀, 툴륨, 에르븀, 탈륨, 이트륨, 홀뮴, 디스프로슘, 테르븀, 가돌리늄, 유로퓸, 사마륨, 네오디뮴, 프라세오디뮴, 세륨, 비스무트 및 우라늄으로 이루어진 군에서 선택되는 방법.
  25. 제24항에 있어서, 상기 증착은 제2 반응물을 포함하는 방법.
  26. 제25항에 있어서, 상기 제2 반응물의 증착은 상기 화합물의 증착과 동시에 실시하는 방법.
  27. 제25항에 있어서, 상기 제2 반응물의 증착 및 상기 화합물의 증착은 별개의 시간에 실시하는 방법.
  28. 제27항에 있어서, 상기 화합물의 증착과 상기 제2의 반응물의 증착 사이에 퍼지(purge) 가스를 적용하는 것을 더 포함하는 방법.
  29. 제25항에 있어서, 상기 제2 반응물은 수소를 포함하는 방법.
  30. 제25항에 있어서, 상기 제2 반응물은 암모니아를 포함하는 방법.
  31. 제25항에 있어서, 상기 제2 반응물은 물, 산소, 과산화수소, 이산화질소 또는 오존을 포함하는 방법.
  32. 제25항에 있어서, 상기 제2 반응물은 황화수소를 포함하는 방법.
  33. 제25항에 있어서, 상기 제2 반응물은 디보란을 포함하는 방법.
  34. 제24항에 있어서, 상기 화합물은 용매로부터 증착시키는 방법.
  35. 제34항에 있어서, 상기 용매는 알칸, 알켄, 테르펜 및 이의 조합으로 이루어진 군에서 선택되는 탄화수소인 방법.
  36. 제34항에 있어서, 상기 용매는 도데칸, 테트라데칸, 2,6,10-트리메틸도데칸, 2,2,4,4,6,8,8-헵타메틸노난, 2,6,10-트리메틸펜타데칸 및 2,6,10,14-테트라메틸펜타데칸 및 이의 조합으로 이루어진 군에서 선택되는 포화 탄화수소인 방법.
  37. 제34항에 있어서, 상기 용매는 트리알킬아민인 방법.
  38. 제37항에 있어서, 상기 트리알킬아민은 트리-n-부틸아민, 트리-n-펜틸아민, 트리-n-헥실아민, 트리-n-헵틸아민, 트리-n-옥틸아민 및 이의 조합으로 이루어진 군에서 선택되는 방법.
KR1020167003631A 2013-07-26 2014-07-25 환식 아민의 금속 아미드 KR20160036661A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361858873P 2013-07-26 2013-07-26
US61/858,873 2013-07-26
PCT/US2014/048220 WO2015013630A1 (en) 2013-07-26 2014-07-25 Metal amides of cyclic amines

Publications (1)

Publication Number Publication Date
KR20160036661A true KR20160036661A (ko) 2016-04-04

Family

ID=52393871

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167003631A KR20160036661A (ko) 2013-07-26 2014-07-25 환식 아민의 금속 아미드

Country Status (4)

Country Link
US (1) US9663546B2 (ko)
JP (1) JP2016525550A (ko)
KR (1) KR20160036661A (ko)
WO (1) WO2015013630A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11482593B2 (en) 2019-10-10 2022-10-25 Samsung Sdi Co., Ltd. Composition for depositing thin film, manufacturing method for thin film using the composition, thin film manufactured from the composition, and semiconductor device including the thin film

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) * 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3721777A1 (de) 1987-07-01 1989-01-12 Basf Ag Verfahren zur herstellung von n-heterocyclen
FR2653121B1 (fr) 1989-10-13 1991-12-13 Commissariat Energie Atomique Nouveaux radicaux nitroxydes, leur procede de preparation et leur utilisation en magnetometrie a une temperature de 30 a 130degre c.
EP1171480B1 (de) 1999-03-29 2005-05-25 Basell Polyolefine GmbH Verfahren zur polymerisation von olefinen
KR100815009B1 (ko) * 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US7514119B2 (en) 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US7816737B2 (en) * 2006-03-31 2010-10-19 Tokyo Electron Limited Semiconductor device with gate dielectric containing mixed rare earth elements
US7759746B2 (en) * 2006-03-31 2010-07-20 Tokyo Electron Limited Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
WO2007133837A2 (en) 2006-05-12 2007-11-22 Advanced Technology Materials, Inc. Low temperature deposition of phase change memory materials
EP2511280A1 (en) * 2006-11-02 2012-10-17 Advanced Technology Materials, Inc. Germanium amidinate complexes useful for CVD/ALD of metal thin films
US7659414B2 (en) 2007-07-20 2010-02-09 Rohm And Haas Company Method of preparing organometallic compounds
US8236381B2 (en) * 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
KR20140021979A (ko) * 2012-08-13 2014-02-21 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 Ald/cvd 공정에서 gst 필름을 위한 전구체

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11482593B2 (en) 2019-10-10 2022-10-25 Samsung Sdi Co., Ltd. Composition for depositing thin film, manufacturing method for thin film using the composition, thin film manufactured from the composition, and semiconductor device including the thin film

Also Published As

Publication number Publication date
WO2015013630A1 (en) 2015-01-29
US9663546B2 (en) 2017-05-30
JP2016525550A (ja) 2016-08-25
US20160152649A1 (en) 2016-06-02

Similar Documents

Publication Publication Date Title
KR20160036661A (ko) 환식 아민의 금속 아미드
KR102220703B1 (ko) 금속 아미디네이트를 이용한 원자층 증착법
KR100950393B1 (ko) 여러자리 베타-케토이미네이트의 금속 착물
JP5775134B2 (ja) 揮発性第二族金属前駆体
JP6854294B2 (ja) 金属膜の生成方法
JP2012246531A (ja) 酸化モリブデンを含有する薄膜の製造方法、酸化モリブデンを含有する薄膜の形成用原料及びモリブデンアミド化合物
JP2014518944A (ja) 11族モノ金属前駆化合物およびその金属堆積における使用
JP2008500377A (ja) 金属錯体
JP2021507124A (ja) 金属含有膜の生成方法
JP2017505858A (ja) 無機薄膜の生成方法
KR101936162B1 (ko) 액체 전구체 조성물, 이의 제조 방법, 및 상기 조성물을 이용한 막의 형성 방법
WO2018069130A1 (en) Process for the generation of metal-containing films
KR101643480B1 (ko) 유기 백금 화합물을 포함하는 화학 증착용 원료 및 상기 화학 증착용 원료를 사용한 화학 증착법
IL259109B (en) A process for creating metallic layers
Willcocks et al. Tailoring precursors for deposition: Synthesis, structure, and thermal studies of cyclopentadienylcopper (I) isocyanide complexes
TWI374870B (en) Copper(i) formate complexes
TWI672390B (zh) 二取代炔基二鈷六羰基化合物、其製造及使用方法
JP2017081857A (ja) ビス(シリルアミドアミノアルカン)金属化合物及び当該金属化合物を用いた金属含有膜の製造方法
Guard et al. Synthesis and computational studies of Mg complexes supported by 2, 2′: 6, 2′′-terpyridine ligands
Lee et al. Synthesis and characterization of volatile liquid Mo precursors for vapor phase deposition of thin films containing molybdenum
KR20220018546A (ko) 금속 또는 반금속-함유 필름의 제조 방법
McCarty Precursor design for materials applications
Spange Phosphane and Phosphite Silver (I) Complexes: Synthesis, Reaction Chemistry and their Use as CVD Precursors
JP2010229112A (ja) β−ジケトナト基を配位子として有するコバルト錯体及びそれを用いてコバルト含有薄膜を製造する方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid