TW201545895A - 鈷錳氣相沉積 - Google Patents

鈷錳氣相沉積 Download PDF

Info

Publication number
TW201545895A
TW201545895A TW103145783A TW103145783A TW201545895A TW 201545895 A TW201545895 A TW 201545895A TW 103145783 A TW103145783 A TW 103145783A TW 103145783 A TW103145783 A TW 103145783A TW 201545895 A TW201545895 A TW 201545895A
Authority
TW
Taiwan
Prior art keywords
layer
barrier layer
cobalt
dielectric
manganese
Prior art date
Application number
TW103145783A
Other languages
English (en)
Inventor
Sang Ho Yu
Paul F Ma
Jiang Lu
Ben-Li Sheu
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201545895A publication Critical patent/TW201545895A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明描述半導體元件以及形成具有阻障層的半導體元件的方法,其中該阻障層包含鈷與錳氮化物。本發明亦描述半導體元件以及形成具有阻障層和可選的黏附層的半導體元件的方法,其中該阻障層包含CoMn(N)。

Description

鈷錳氣相沉積
本發明的實施例大致上關於半導體元件中的阻障層,以及形成這樣的阻障層的方法。更特別地,本發明的實施例關於包含鈷、錳、鈷與錳、及鈷錳氮化物(MnNx)的膜。
微電子元件(諸如半導體或積體電路)可包括數百萬個電路元件(諸如電晶體、電容等)。為了更增加元件在積體電路上的密度,甚至更小的特徵結構尺寸是令人期待的。為了達到這些更小的特徵結構尺寸,導線、介層洞、內連線、閘極等的尺寸必須被減小。多層內連線結構的可靠形成亦是必須的,以增加電路密度與品質。製造技術的進展已經使得用於導線、內連線、介層洞、與其他結構的銅的使用成為可能。然而,隨著減小的特徵結構尺寸以及增加的用在內連線的銅的使用,內連線結構中的電遷移變成更大的待克服的障礙。
隨著臨界尺寸(critical dimension)的持續縮小,用於線內連接的後端的單一層阻障物/襯裡的需求是必須的。傳統上,阻障物的沉積然後內襯的沉積已經廣泛地被用在TaN/Ta與TaN/Co的形成中的BEOL中。然而,多層方式的使用變得 無效,這是因為內連接的特徵結構尺寸變得更小以為了容許更多層。所以,此技術領域需要單一層的阻障膜,其是使用可共形地塗覆高深寬比的小特徵溝槽或孔洞的沉積技術。
本發明的一或更多個實施例是導向半導體元件,該半導體元件包含一基材,該基材具有被沉積在該基材上的一介電質。該介電質包含被形成在該介電質中的至少一特徵結構。該特徵結構具有至少一側壁。包含鈷與錳氮化物的一阻障層設置在該介電質上且形成一實質上共形膜。一填充層位在該阻障層上。
本發明的額外實施例是導向形成一半導體元件的方法。提供一基材,該基材具有設置在該基材上的一介電層,其中該介電層具有一特徵結構,該特徵結構具有至少一側壁。一阻障層被形成在該介電層上。該阻障層包含鈷與錳氮化物。一填充層被沉積在該阻障層上方。
100‧‧‧微電子元件
105‧‧‧基材
110‧‧‧介電層
115‧‧‧側壁
120‧‧‧溝槽底部
130‧‧‧阻障層
140‧‧‧填充材料
150‧‧‧溝槽
160‧‧‧開口
可藉由參考本發明的實施例來詳細暸解本發明的上述特徵,本發明的上述特徵簡短地在前面概述過,其中該些實施例的一些實施例在附圖中示出。但是應注意的是,附圖僅示出本發明的典型實施例,並且因此附圖不應被視為會對本發明範疇構成限制,這是因為本發明可允許其他等效實施例。
第1A和1B圖示出根據本發明的一或更多個實施例的在阻障層與導電填充材料的沉積之前和之後的介電層。
在描述本發明的一些示範性實施例之前,應瞭解的是本發明不受限於以下詳細說明所公開的結構或製程步驟的細節。本發明涵蓋其他實施例且能以各種方式來實現或實施。
錳能以矽酸鹽與/或氧化物的形式形成良好的阻障物。鈷可作為良好的用於銅的潤濕層。本案發明人已經發現到可在單一層CoMn(N)中執行此兩元素的結合。使用CVD或ALD技術來揭示金屬或金屬氮化物的沉積。
本發明的實施例關於CoMn(N)的沉積,這可藉由使用雙安瓿CVD/ALD腔室使前驅物共流來達成。沉積可同時地進行(如在CVD類型反應中)或循環地進行(如在ALD類型反應中)。一旦沉積了,不受限於任特定的運作理論,咸信CoMn(N)膜內的Mn將和介電質形成矽酸鹽或氧化物。MnOx形成具有強驅動力,並且這會導致CoMn(N)內的Mn到氧化物界面的分離。Mn的分離將留下一富鈷界面,後續的Cu填充導致良好的在界面處的黏附性。或者,CoMn(N)交互混合與/或反應將導致單一膜,該單一膜是一銅與氧阻障物且是一良好的用於銅的潤濕層。可藉由CVD反應中的前驅物流動的量以及藉由ALD類型反應中暴露時間的量來控制此膜中的Co/Mn比例。此比例的控制可容許此阻障物以及此二元膜的潤濕性質的調整。
本發明的一態樣關於一微電子元件,該微電子元件包含一基材、一介電層、一阻障層、與一導電材料。第1A圖示出微電子元件100的一實施例,該微電子元件100包含一 基材105與一介電層110。介電層110設置在基材105上,並且介電層110具有一溝槽150,溝槽150是由一溝槽底部120、側壁115、與開口160來界定。
在一或更多個實施例中,介電層110是一低k介電層。在一些實施例中,介電層包含SiOx。進一步的實施例提供介電層,該介電層包含多孔碳摻雜SiOx。在一或更多個實施例中,介電層是一具有k值小於3的多孔碳摻雜SiOx層。
第1B圖顯示在阻障層130的沉積之後的相同的微電子元件100,其中該阻障層130覆蓋側壁115與/或溝槽底部120至少一部分。如第1B圖所示,阻障層130可覆蓋側壁115與溝槽底部120的全部。
阻障層130被沉積在介電層110上,並且包含鈷與錳氮化物。可藉由任何適當的技術來沉積鈷與錳氮化物層。例如,可藉由原子層沉積或化學氣相沉積或技術的組合來沉積此膜。可同時地或在多個層中沉積鈷與錳。在一些實施例中,藉由化學氣相沉積來沉積CoMn(N)層,其中鈷前驅物、錳前驅物、與氮化劑被共流到製程腔室內且被容許在氣相中反應並沉積到介電質的表面上。亦可分別地沉積鈷與錳層,其中各是藉由化學氣相沉積反應來沉積。如本案說明書與隨附申請專利範圍所使用,CoMn(N)的組成可以是鈷、錳、鈷氮化物、與錳氮化物的任何混合物。
在其他實施例中,藉由原子層沉積以分離的層來沉積鈷與錳氮化物。在原子層沉積類型腔室中,可藉由空間上或時間上分離的製程將基材暴露於第一與第二前驅物。時間 領域ALD是一種傳統製程,其中第一前驅物流動到腔室內以和表面反應。在流動第二前驅物之前,第一前驅物從腔室被清除。在空間ALD中,第一與第二前驅物同時地被流動到腔室,但是空間上分離的,以致該些流動之間具有一可避免該些前驅物混合的區域。在空間ALD中,必須使基材相對於氣體散佈板移動,或反之亦然。
在一些實施例中,可藉由將基材暴露於一鈷前驅物然後暴露於一還原劑來沉積一鈷層,並且接著可藉由將含有此鈷層的基材暴露於一錳前驅物然後暴露於一還原劑(例如一氮化劑)來沉積一錳層。本案發明人已經發現到Mn原子會擴散到低k氧化物以形成Mn(N)SiOx與/或Mn(N)O,內嵌的Mn(N)SiOx/Mn(N)Ox氧化物是良好的氧與銅阻障物。在一或更多個實施例中,可藉由將基材暴露於一錳前驅物然後暴露於一還原劑(例如一氮化劑)來沉積一錳層,並且接著可藉由將將含有此錳層的基材暴露於一鈷前驅物然後暴露於一還原劑(例如一氮化劑)來沉積一鈷層。在沉積之後,最終膜中的該些元素會遷移到此層內能量上最有利的位置。當分離地沉積該些層,可第一次或第二次來沉積鈷層。在一些實施例中,鈷層是被沉積到介電質上的第一層,然後錳層才被沉積。
在一些實施例中,鈷層與錳層的任一者可藉由原子層沉積來沉積,並且另一層可藉由化學氣相沉積來沉積。該些沉積可發生在相同的製程腔室中或不同的製程腔室中。
本案發明人已經發現到CoMn(N)阻障層可比傳統的錳阻障層明顯薄得多,同時維持阻障層的潤濕能力與阻障性 質。在一些實施例中,阻障層的厚度是小於約25Å、或小於約20Å、或小於約15Å。在一些實施例中,阻障層的厚度在約10Å至約25Å的範圍中、或在約10Å至約20Å的範圍中、或在約10Å至約15Å的範圍中。在一些實施例中,阻障層的厚度是約10Å。
阻障層中鈷對錳的比例會影響阻障層的性質(包括潤濕性質與阻障性質)。可取決於特定的被沉積的介電層與/或特定的被沉積在阻障層上的填充層來調整此比例。在一些實施例中,鈷對錳的比例,在原子基礎上,是在約1:5至約5:1的範圍中、或是在約1:4至約4:1的範圍中、或是在約1:3至約3:1的範圍中、或是在約1:1至約3:1或約2:1的範圍中。
在一或更多個實施例中,基於阻障層的重量,阻障層包含0.1至10%摻質。在一些實施例中,阻障層包含0.2至8wt.%摻質。在特定實施例中,阻障層包含0.5至5wt.%摻質。
在一些實施例中,阻障層實質上不含有銅。如本案說明書與隨附申請專利範圍所使用,用語「實質上不含有銅」意指銅含量小於約5wt.%、4wt.%、3wt.%、2wt.%、或1wt.%。此外,吾人不意圖將銅以大於約5wt.%、4wt.%、3wt.%、2wt.%、或1wt.%的含量添加到阻障層。熟習此技藝之人士可瞭解的是一實質上不含有銅的層可具有由銅從一相鄰層擴散造成的銅含量。熟習此技藝之人士可瞭解的是銅含量會取決於例如氧化物類型、密度、孔隙度、孔洞尺寸等而改變,並且實質上不含有銅意指銅含量被最小化而不具有銅相關洩漏問題。
一導電填充材料140填充溝槽150的至少一部分,其中該溝槽150被阻障層130形成內襯。根據一或更多個實施例,導電填充材料包含銅或銅合金。在其他實施例中,導電填充材料更包含鋁。
根據本發明的一些實施例,阻障層130容許填充材料140的直接接觸,而不需要一中間黏附層。然而,儘管第1B圖中的導電填充材料140顯示成和阻障層130直接接觸,中間層(諸如黏附層或晶種層)可被併入到導電填充材料140與阻障層130之間,以增強黏附性質。根據一或更多個實施例,微電子元件更包含一黏附層,該黏附層包含Ru與Co、Mn的一或更多者。除了Ru與/或Co,黏附層可包含一或更多個摻質(諸如Ta、Al、Mg、Cr、Nb、Ti、或V)。
在一些實施例中,一晶種層被沉積在阻障層的頂部上。根據一或更多個實施例,晶種層包含一銅合金(諸如銅錳合金)。在一些實施例中,晶種層包含小於約5wt.%Mn、小於約4wt.%Mn、小於約3wt.%Mn、或小於約2wt.%Mn。在一或更多個實施例中,晶種層包含約1wt.%Mn。含有1wt.%Mn的銅合金的線電阻被預期成是和純銅的線電阻相同或類似。
在一些實施例中,阻障層包含MnNx。一些實施例的x是在約0.1至約3的範圍中、或在約0.2至約2的範圍中、或在約0.25至約1的範圍中。在一些實施例中,此膜包含錳矽酸鹽且可被形成在介電層上。在一或更多個實施例中,錳膜包含靠近介電表面的錳矽酸鹽以及更遠離此表面的錳氮化物。可以漸進或分離階梯的方式達成矽酸鹽到氮化物的過渡。
在一些實施例中,阻障層在小於約20Å的厚度是連續的。如本案說明書與隨附申請專利範圍所使用,用語「連續的」意指在膜中沒有大於總膜區域約10%的間隙。在一些實施例中,膜在小於30Å、25Å、15Å、或10Å的厚度是連續的。
除了以上所述的膜,本發明的另一態樣關於一種用以在一微電子元件中形成內連接的方法,該方法包含:提供具有一介電層沉積在其上的一基材;沉積一阻障層於該介電層上;及沉積一導電材料於該阻障層上。根據此態樣的一或更多個實施例,阻障層包含錳氮化物以及選自Ta、Al、Mg、Cr、Nb、Ti、與V的摻質。
根據本發明的各種實施例,該些膜可被沉積在實際上任何基材材料上。如在此所使用,「基材表面」是指任何基材或被形成在基材上的材料表面,其中在製造過程期間膜處理被執行在該基材或該材料表面上。例如,取決於應用,其上被執行處理的基材表面包括材料,諸如矽、氧化矽、應變矽、絕緣層上矽(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石(sapphire)、與任何其他材料(諸如金屬、金屬氮化物、金屬合金、與其他導電材料)。基材可具有各種尺寸,諸如200mm或300mm直徑晶圓以及矩形或方形板。本發明的實施例在其上是有用的基材包括但不限於半導體晶圓,諸如結晶矽(例如Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或非摻雜多晶矽、摻雜或非摻雜矽晶圓、III-V材料(諸如GaAs、GaN、InP等)、與圖案化或非圖案化 晶圓。基材可被暴露於預處理製程以研磨、蝕刻、還原、氧化、羥化、退火、與/或烘烤基材表面。
可在單一基材沉積腔室中處理基材,其中單一個基材在另一個基材被處理之前在此處被裝載、處理、與卸載。亦可以連續方式來處理基材,類似輸送帶系統,其中多個基材個別地被裝載到腔室的第一部分內、移動通過腔室、且從腔室的第二部分被卸載。腔室與相關的輸送帶系統的形狀可形成直線路徑或彎曲路徑。此外,製程腔室可以是一轉盤,其中多個基材繞著中心軸被移動且在不同位置處被暴露於沉積氣體。
共反應物通常是蒸汽或氣體形式。可藉由一載氣來輸送該些反應物。載氣、清除氣體、沉積氣體、或其他製程氣體可含有氮、氫、氬、氖、氦、或它們的組合。在此所述的各種電漿(諸如氮電漿或惰性氣體電漿)可從一電漿共反應氣體被點燃與/或含有一電漿共反應氣體。
在一或更多個實施例中,用於此製程的各種氣體可被脈衝化到一入口內、從各種孔洞或出口通過一氣體通道、並進入到一中央通道內。在一或更多個實施例中,多個沉積氣體可依序地被脈衝化到且通過一噴頭。或者,如上所述,多個氣體可同時地流動通過氣體供應噴嘴或頭,並且基材與/或氣體供應頭可被移動,以致基材依序地被暴露於該些氣體。
在另一實施例中,可在電漿增強原子層沉積(PEALD)製程期間形成一含錳膜,其中該電漿增強原子層沉積(PEALD)提供多個前驅物的依序脈衝與電漿。在特定實施例中,共反 應物可涉及一電漿。在其他涉及電漿的使用的實施例中,在電漿步驟期間,反應物大致上在過程中被離子化,儘管這可能僅發生在沉積腔室的上游以致離子或其他富有能量或發光物種沒有直接接觸沉積膜(此組態常稱為遠端電漿)。因此,在這種PEALD製程的類型中,電漿大致上位在製程腔室的外面,諸如藉由遠端電漿產生器系統。在PEALD製程期間,可從微波(MW)頻率產生器或射頻(RF)產生器來產生電漿。儘管可在此所揭示的沉積製程期間使用電漿,應知道電漿不是必須的。實際上,其他實施例是關於處於非常溫和條件下而不具有電漿的沉積製程。
本案說明書中的用語「一個實施例」、「特定實施例」、「一或更多個實施例」、或「一實施例」意指涉及該實施例所描述的特定特徵、結構、材料、或特色被包括在本發明的至少一個實施例中。因此,諸如「在一或更多個實施例中」、「在特定實施例中」、「在一個實施例中」、或「在一實施例中」的片語在本案說明出各處的出現不必然指稱本發明的同一個實施例。又,可在一或更多個實施例中以任何適當方式來結合特定特徵、結構、材料、或特色。
儘管已經以參照特定實施例的方式來描述本文,應瞭解的是這些實施例僅是為了說明本發明的原理與應用之用。熟習此技藝之人士可對本發明的方法與設備輕易進行各種變更和變化,而不悖離本發明的精神和範疇。因此,吾人意圖使本發明包括落入隨附申請專利範圍及其均等物內的變更和變化。
100‧‧‧微電子元件
105‧‧‧基材
110‧‧‧介電層
115‧‧‧側壁
120‧‧‧溝槽底部
150‧‧‧溝槽
160‧‧‧開口

Claims (20)

  1. 一種半導體元件,包含:一基材,該基材具有被沉積在該基材上的一介電質,該介電質包含被形成在該介電質中的至少一特徵結構,該特徵結構具有至少一側壁;一阻障層,該阻障層包含設置在該介電質上且形成一實質上共形膜的鈷與錳氮化物;及一填充層,該填充層位在該阻障層上。
  2. 如請求項1所述之半導體元件,其中該阻障層具有小於約25Å的一厚度。
  3. 如請求項1所述之半導體元件,其中該阻障層具有小於約10Å的一厚度。
  4. 如請求項1所述之半導體元件,其中在原子基礎上,鈷對錳組成在約1:5至約5:1的範圍中。
  5. 如請求項1所述之半導體元件,其中在原子基礎上,鈷對錳組成是約2:1。
  6. 如請求項1所述之半導體元件,其中該填充層包含銅與鋁的一或更多者。
  7. 如請求項1所述之半導體元件,其中該阻障層更包含一摻質。
  8. 如請求項1所述之半導體元件,更包含一黏附層,該黏附層被沉積在該阻障層與該填充層之間,該黏附層包含Ru。
  9. 一種形成一半導體元件的方法,該方法包含以下步驟:提供一基材,該基材包含設置在該基材上的一介電層,該介電層具有一特徵結構,該特徵結構具有至少一側壁;形成一阻障層於該介電層上,該阻障層包含鈷與錳氮化物;及沉積一填充層於該阻障層上方。
  10. 如請求項9所述之方法,其中藉由在約相同時間鈷與錳氮化物的化學氣相沉積來形成該阻障層。
  11. 如請求項9所述之方法,其中藉由依序地沉積一鈷層與一錳氮化物層來形成該阻障層。
  12. 如請求項11所述之方法,其中藉由原子層沉積來沉積該鈷層與該錳氮化物層。
  13. 如請求項11所述之方法,其中藉由原子層沉積來沉積該鈷層與該錳氮化物層的其中一者,並且藉由化學氣相沉積來 沉積另一者。
  14. 如請求項9所述之方法,其中該阻障層具有小於約25Å的一厚度。
  15. 如請求項9所述之方法,其中該阻障層具有小於約10Å的一厚度。
  16. 如請求項9所述之方法,其中在原子基礎上,鈷對錳組成在約1:5至約5:1的範圍中。
  17. 如請求項9所述之方法,其中在原子基礎上,鈷對錳組成是約2:1。
  18. 如請求項9所述之方法,其中該填充層包含銅與鋁的一或更多者。
  19. 如請求項9所述之方法,其中該阻障層更包含一摻質。
  20. 一種半導體元件,包含:一基材,該基材具有被沉積在該基材上的一介電質,該介電質包含被形成在該介電質中的至少一特徵結構,該特徵結構具有至少一側壁;一阻障層,該阻障層具有小於或等於約25Å的一厚度, 該阻障層包含鈷與錳(氮化物)設置在該介電質上且形成一實質上共形膜,其中在原子基礎上,鈷對錳組成在約1:5至約5:1的範圍中;一可選的黏附層,該可選的黏附層被沉積在該阻障層上且包含釕;及一填充層,該填充層包含銅或鋁的一或更多者,該填充層位在該阻障層與該可選的黏附層上。
TW103145783A 2014-01-08 2014-12-26 鈷錳氣相沉積 TW201545895A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201461925034P 2014-01-08 2014-01-08

Publications (1)

Publication Number Publication Date
TW201545895A true TW201545895A (zh) 2015-12-16

Family

ID=53495789

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103145783A TW201545895A (zh) 2014-01-08 2014-12-26 鈷錳氣相沉積

Country Status (3)

Country Link
US (2) US9953926B2 (zh)
TW (1) TW201545895A (zh)
WO (1) WO2015105953A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI577824B (zh) * 2013-06-06 2017-04-11 應用材料股份有限公司 使用二氮丁二烯基前驅物沉積含錳膜之方法
CN108987376B (zh) * 2018-06-25 2020-05-15 复旦大学 一种低电阻率锰氧氮抗Cu扩散阻挡层材料
US10818589B2 (en) 2019-03-13 2020-10-27 International Business Machines Corporation Metal interconnect structures with self-forming sidewall barrier layer

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0779136B2 (ja) 1986-06-06 1995-08-23 株式会社日立製作所 半導体装置
US7514353B2 (en) 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US8188599B2 (en) 2006-02-28 2012-05-29 Advanced Interconnect Materials, Llc Semiconductor device, its manufacturing method, and sputtering target material for use in the method
US8372745B2 (en) 2006-02-28 2013-02-12 Advanced Interconnect Materials, Llc Semiconductor device, its manufacturing method, and sputtering target material for use in the method
JP4415100B1 (ja) 2008-12-19 2010-02-17 国立大学法人東北大学 銅配線、半導体装置および銅配線形成方法
US8053861B2 (en) 2009-01-26 2011-11-08 Novellus Systems, Inc. Diffusion barrier layers
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
JP5560696B2 (ja) 2009-12-21 2014-07-30 富士通セミコンダクター株式会社 半導体装置の製造方法
US8492897B2 (en) 2011-09-14 2013-07-23 International Business Machines Corporation Microstructure modification in copper interconnect structures
KR20130060432A (ko) 2011-11-30 2013-06-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8941239B2 (en) 2012-04-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Copper interconnect structure and method for forming the same
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US9076661B2 (en) * 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
US9059176B2 (en) * 2012-04-20 2015-06-16 International Business Machines Corporation Copper interconnect with CVD liner and metallic cap

Also Published As

Publication number Publication date
US10665542B2 (en) 2020-05-26
US20180240755A1 (en) 2018-08-23
US9953926B2 (en) 2018-04-24
US20150194384A1 (en) 2015-07-09
WO2015105953A1 (en) 2015-07-16

Similar Documents

Publication Publication Date Title
US11587829B2 (en) Doping control of metal nitride films
EP2837022B1 (en) Methods for depositing manganese and manganese nitrides
US10699946B2 (en) Method of enabling seamless cobalt gap-fill
US10784157B2 (en) Doped tantalum nitride for copper barrier applications
KR102021131B1 (ko) 심리스 코발트 갭-필을 가능하게 하는 방법
US9076661B2 (en) Methods for manganese nitride integration
US10283352B2 (en) Precursors of manganese and manganese-based compounds for copper diffusion barrier layers and methods of use
US10665542B2 (en) Cobalt manganese vapor phase deposition
TWI609095B (zh) 用於氮化錳整合之方法
US20230072614A1 (en) Method Of Forming A Metal Liner For Interconnect Structures