KR20190101497A - 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능 - Google Patents

루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능 Download PDF

Info

Publication number
KR20190101497A
KR20190101497A KR1020197024595A KR20197024595A KR20190101497A KR 20190101497 A KR20190101497 A KR 20190101497A KR 1020197024595 A KR1020197024595 A KR 1020197024595A KR 20197024595 A KR20197024595 A KR 20197024595A KR 20190101497 A KR20190101497 A KR 20190101497A
Authority
KR
South Korea
Prior art keywords
cobalt
layer
substrate
ruthenium
exposing
Prior art date
Application number
KR1020197024595A
Other languages
English (en)
Other versions
KR102470903B1 (ko
Inventor
지위안 우
니콜라오스 베키아리스
메훌 비. 나이크
진 희 박
마크 현 이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020217038010A priority Critical patent/KR102565626B1/ko
Publication of KR20190101497A publication Critical patent/KR20190101497A/ko
Application granted granted Critical
Publication of KR102470903B1 publication Critical patent/KR102470903B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemically Coating (AREA)

Abstract

일 구현에서, 기판 상에 코발트 층을 형성하는 방법이 제공된다. 방법은, 기판의 제1 표면에 형성된 피쳐 한정부를 갖는 기판 상에 장벽 및/또는 라이너 층을 형성하는 단계를 포함하고, 장벽 및/또는 라이너 층은 피쳐 한정부의 측벽 및 바닥 표면 상에 형성된다. 방법은, 루테늄 함유 층을 장벽 및/또는 라이너 층 상에 형성하기 위해 기판을 루테늄 전구체에 노출시키는 단계를 더 포함한다. 방법은, 루테늄 함유 층의 최상부에 코발트 시드 층을 형성하기 위해 기판을 코발트 전구체에 노출시키는 단계를 더 포함한다. 방법은, 피쳐 한정부를 충전하기 위해 코발트 시드 층 상에 벌크 코발트 층을 형성하는 단계를 더 포함한다.

Description

루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능
본 개시내용의 구현들은 일반적으로, 기판들을 처리하는 방법들에 관한 것이며, 구체적으로는 코발트 갭 충전을 위한 방법들에 관한 것이다.
마이크로전자 디바이스들, 예컨대, 마이크로 규모의 전자, 전자-기계 또는 광학 디바이스들은 일반적으로, 기판들, 예컨대, 규소 웨이퍼들 상에 및/또는 안에 제조된다. 예를 들어, 반도체 물질 웨이퍼 상의 전형적인 제조 프로세스에서, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 무전해 도금 프로세스들, 또는 다른 적합한 방법들을 사용하여 전도성 층이 기판의 표면 상에 먼저 형성된다. 전도성 층을 형성한 후에, 금속 이온들을 함유하는 전기-처리 용액의 존재 하에 전도성 층과 하나 이상의 전극 사이에 적절한 전위를 인가함으로써 기판 상에 금속의 층이 도금된다. 그 다음, 기판은, 디바이스들, 콘택들 또는 전도성 라인들을 형성하기 위해, 후속 절차들에서 세정되고/거나 어닐링된다. 일부 기판들은 장벽 및/또는 라이너 층 상에 형성된 전도성 층을 갖는 장벽 및/또는 라이너 층을 가질 수 있다.
현재, 대부분의 마이크로전자 디바이스들은 구리(Cu)로 도금된 기판들 상에 만들어진다. 구리가 높은 전도성을 갖지만, 기판 또는 기판 상의 유전체 물질 내로의 구리의 확산을 방지하기 위해, 두꺼운 장벽 및/또는 라이너 층들, 예컨대, 질화탄탈럼(TaN)이 전형적으로 필요하다. 이러한 종류들의 장벽 및/또는 라이너 층들은 비교적 낮은 전도성을 갖는다. 알려진 기법들을 사용하여, 기판 상의 피쳐들은 산성 구리 전기도금 용액들을 사용하여 전기도금된 구리로 충전된다. 이러한 전기도금 용액들은 보이드없는 충전을 생성하기 위해 (측면들로부터 내측으로 보다는, 피쳐들이 주로 상향식으로 충전되는) 초-등각 충전 프로세스를 촉진하기 위해 첨가제들을 종종 사용한다. 피쳐 크기들이 축소됨에 따라, 전통적인 구리 도금 프로세스들을 이용하여 보이드없는 충전을 달성하는 것이 더 어려워졌다. 추가적으로, 피쳐들이 더 작아짐에 따라, 구리에 대한 장벽 및/또는 라이너 층들은 더 작은 피쳐의 더 큰 상대적 체적을 점유하는데, 이는, 피쳐 크기에 관계없이, 구리 확산을 방지하기 위해 최소 장벽 층 두께가 유지되기 때문이다.
예를 들어, 구리의 확산을 방지하기 위해 3 nm의 최소 장벽 및/또는 라이너 층 두께가 필요하다면, 4:1의 종횡비의, 60 nm 임계 치수를 갖는 피쳐의 경우, 장벽 및/또는 라이너 층은 단면적의 대략 11%를 점유한다. 그러나, 2:1의 종횡비의, 20 nm 임계 치수를 갖는 피쳐의 경우, 장벽 및/또는 라이너 층은 3 nm 두께로 유지되지만, 이제 단면적의 33%를 점유한다. 이 경우, 장벽 및/또는 라이너 층(낮은 전도성을 가짐)의 체적이 비례적으로 더 높으므로, 인터커넥트, 비아 또는 다른 피쳐의 저항이 비례적으로 더 높다. 피쳐들이 점진적으로 더 작아지면서, 장벽 및/또는 라이너 층에 대한 구리의 비율은, 저항이 허용불가능하게 되는 정도까지 증가한다.
이 기술적 난제를 극복하기 위해 제안된 일 접근법은, 구리를 두꺼운 장벽 및/또는 라이너 층들을 필요로 하지 않는 금속, 예컨대, 코발트(Co)로 대체하는 것이다. 코발트는 구리보다 더 높은 비저항(6.2 μOhm-cm 대 1.7 μOhm-cm)을 갖지만, 코발트는 규소 또는 유전체로의 확산을 방지하기 위해 두꺼운 장벽 및/또는 라이너 층들을 필요로 하지 않을 수 있다. 그러나, 현재의 처리 온도들에서, 코발트 응집이 문제를 제시한다. 이 코발트 응집은 최종 구조에서 보이드들의 형성으로 이어지며, 이는 저항을 증가시킬 뿐만 아니라 최종 구조의 신뢰성을 감소시킨다.
그러므로, 코발트를 이용한, 협소한 피쳐들의 등각 및 결함없는 충전을 위해 새로운 기법들이 필요하다.
본 개시내용의 구현들은 일반적으로, 기판들을 처리하는 방법들에 관한 것이며, 구체적으로는 코발트 갭 충전을 위한 방법들에 관한 것이다. 일 구현에서, 기판 상에 코발트 층을 형성하는 방법이 제공된다. 방법은, 루테늄 함유 층을 기판의 제1 표면 상에 그리고 피쳐 한정부의 측벽 및 바닥 표면 상에 형성하기 위해, 기판의 제1 표면에 형성된 피쳐 한정부를 갖는 기판을 루테늄 전구체에 노출시키는 단계를 포함한다. 방법은, 루테늄 함유 층의 최상부에 코발트 시드 층을 형성하기 위해 기판을 코발트 전구체에 노출시키는 단계를 더 포함한다.
다른 구현에서, 기판 상에 코발트 층을 형성하는 방법이 제공된다. 방법은, 기판의 제1 표면에 형성된 피쳐 한정부를 갖는 기판 상에 장벽 및/또는 라이너 층을 형성하는 단계를 포함한다. 장벽 및/또는 라이너 층은 피쳐 한정부의 측벽 및 바닥 표면 상에 형성된다. 방법은, 루테늄 함유 층을 장벽 및/또는 라이너 층 상에 형성하기 위해 기판을 루테늄 전구체에 노출시키는 단계를 더 포함한다. 방법은, 루테늄 함유 층의 최상부에 코발트 시드 층을 형성하기 위해 기판을 코발트 전구체에 노출시키는 단계를 더 포함한다. 방법은, 피쳐 한정부를 충전하기 위해 코발트 시드 층 상에 벌크 코발트 층을 형성하는 단계를 더 포함한다.
또 다른 구현에서, 기판 상에 코발트 층을 형성하는 방법이 제공된다. 방법은, 기판의 제1 표면에 형성된 피쳐 한정부를 갖는 기판 상에 장벽 및/또는 라이너 층을 형성하는 단계를 포함한다. 장벽 및/또는 라이너 층은 피쳐 한정부의 측벽 및 바닥 표면 상에 형성된다. 방법은, 장벽 및/또는 라이너 층의 최상부에 코발트 시드 층을 형성하기 위해 기판을 코발트 전구체에 노출시키는 단계를 더 포함한다. 방법은, 루테늄 도핑된 코발트 시드 층을 형성하기 위해 코발트 시드 층을 루테늄 프로세스에 노출시키는 단계를 더 포함한다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 구현들의 더 구체적인 설명이 구현들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시된다. 그러나, 본 개시내용은 동등한 효과의 다른 구현들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 구현들만을 예시하며, 그러므로 그의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은, 본 개시내용의 하나 이상의 구현에 따른, 코발트 층을 반도체 디바이스에 형성하기 위한 프로세스 순서의 흐름도를 도시하고;
도 2a-2f는, 본 개시내용의 하나 이상의 구현에 따른, 코발트 층의 형성 동안의 반도체 디바이스의 단면도들을 도시하고;
도 3은, 본 개시내용의 하나 이상의 구현에 따른, 코발트 층을 반도체 디바이스에 형성하기 위한 프로세스 순서의 다른 흐름도를 도시하고;
도 4는, 본 개시내용의 하나 이상의 구현에 따른, 코발트 층을 반도체 디바이스에 형성하기 위한 프로세스 순서의 다른 흐름도를 도시하고;
도 5는, 본 개시내용의 하나 이상의 구현에 따른, 코발트 층을 반도체 디바이스에 형성하기 위한 프로세스 순서의 다른 흐름도를 도시하고;
도 6은, 본 개시내용의 하나 이상의 구현에 따른, 코발트 층을 반도체 디바이스에 형성하기 위한 프로세스 순서의 다른 흐름도를 도시하고;
도 7은, 본원에 설명된 방법들을 수행하는 데에 사용될 수 있는 예시적인 다중챔버 처리 시스템의 개략적인 평면도를 도시한다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 구현의 요소들 및 특징들이 추가의 언급 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다.
다음의 개시내용은 기판들을 처리하는 방법들, 구체적으로는 코발트 갭 충전을 위한 방법들을 설명한다. 본 개시내용의 다양한 구현들의 완전한 이해를 제공하기 위해, 특정 세부사항들이 이하의 설명 및 도 1-7에 열거된다. 다양한 구현들의 설명을 불필요하게 모호하게 하는 것을 피하기 위해, 루테늄 및 코발트 증착에 종종 연관되는 잘 알려진 구조들 및 시스템들을 설명하는 다른 세부사항들은 이하의 개시내용에 열거되지 않는다.
도면들에 도시된 세부사항들, 치수들, 각도들 및 다른 특징들 중 다수는 단지 특정 구현들을 예시할 뿐이다. 이에 따라, 다른 구현들은 본 개시내용의 사상 또는 범위를 벗어나지 않고 다른 세부사항들, 구성요소들, 치수들, 각도들 및 특징들을 가질 수 있다. 추가적으로, 본 개시내용의 추가의 구현들은 아래에 설명되는 세부사항들 중 몇몇 없이 실시될 수 있다.
본원에 설명된 구현들은, 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능한 엔듀라® 볼타™(Endura® Volta™) CVD 코발트와 같은 시스템을 사용하여 수행될 수 있는 코발트 증착 프로세스들에 관하여 아래에서 설명될 것이다. 코발트 증착 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본원에 설명된 구현들로부터 혜택을 받도록 적응될 수 있다. 추가적으로, 본원에 설명된 루테늄 및 코발트 증착 프로세스들을 가능하게 하는 임의의 시스템이 유리하게 사용될 수 있다. 본원에 설명된 장치 설명은 예시적이며, 본원에 설명된 구현들의 범위를 제한하는 것으로서 해석되거나 이해되어서는 안 된다.
진보된 CMOS 트랜지스터들의 축소 기술 노드들(≤ 11 나노미터)과 조합된 트랜지스터 밀도의 증가는, 반도체 제조 동안 활용되는 전도성 금속 층들의 단면 치수들의 감소를 초래했다. 그러한 금속 전도성 층들의 예들은 금속 콘택 충전, 금속 게이트 충전 및 인터커넥트 충전을 포함한다. 이러한 응용들에 대한 매우 협소한 단면 치수들(예를 들어, <10 나노미터)은, 두꺼운 고 비저항 장벽 및/또는 라이너 층들이 필요 없이 금속 충전 기술을 필요로 한다. 임계 치수들이 축소됨에 따라(예를 들어, <20 나노미터), 코발트의 비저항의 증가는 구리보다 더 느릴 것으로 예상되고 따라서, 더 작은 임계 치수들에서 비례하지 않는다. 코발트는 또한, 더 작은 임계 치수들에서 구리에 비해 비저항 이점들을 제공한다. 추가적으로, 코발트는 더 작은 임계 치수들에서 구리에 비해 개선된 신뢰성을 보여준다. CVD 코발트 프로세스들을 활용하는 갭 충전 방법들은 갭 충전을 위한 잠재적인 낮은 접촉 저항(Rc)의 일-물질 용액을 제공한다. CVD 코발트 막은 등각 피복률 및 낮은 거칠기를 갖는 것이 바람직하다. 그러나, 현재의 처리 온도들에서, 코발트 응집은 거칠기를 증가시키고 등각 피복률을 감소시킨다. 본 발명자들은, 루테늄으로 코발트 층을 도핑하는 것이 응집을 감소시키는 것을 발견했다. 코발트 층은, 코발트 층의 증착 이전에, 동안에, 그리고/또는 증착 이후에 루테늄을 증착시킴으로써 루테늄으로 도핑될 수 있다. 일 구현에서, 코발트 층의 도핑은, 코발트 증착 이전에 루테늄 물질의 얇은 층을 증착시키는 것, 루테늄 전구체들을 코발트 전구체들과 공동 유동시키는 것, 및 증착 후 프로세스에서 코발트 층을 루테늄 물질에 노출시키는 것 중 적어도 하나에 의해 달성될 수 있다. 증착 후 프로세스는 물리적 주입 프로세스, 소정 기간 동안 코발트 층이 루테늄 함유 분위기에 노출되는 침지 프로세스, 또는 코발트 층 상의 루테늄의 얇은 층의 증착일 수 있다. 일 구현에서, 루테늄 도핑된 코발트 시드 층은, 다층 루테늄 및 코발트 구조를 형성하기 위한 루테늄 및 코발트의 주기적 증착에 의해 적층 구조로서 형성된다. 응집의 이러한 감소는 증착된 코발트의 어닐링을 허용하고, 이는 증착된 코발트로부터 불순물들을 제거하며, 감소된 저항으로 이어진다.
도 1은, 본 개시내용의 하나 이상의 구현에 따른, 코발트 층을 반도체 디바이스에 형성하기 위한 처리 순서(100)의 흐름도를 도시한다. 도 1에 설명된 처리 순서(100)는 아래에 논의되는 도 2a-2f에 도시된 제조 단계들에 대응한다. 도 2a-2f는, 처리 순서(100)에 예시된 디바이스 구조(208)의 피쳐 한정부 내에 코발트 층을 형성하는 상이한 스테이지들 동안의, 디바이스 구조(208)가 기판(202) 상에 형성된 기판(202)을 갖는 작업물(200)의 단면도들을 도시한다.
처리 순서(100)는 피쳐 한정부가 기판 내에 형성된 기판, 예컨대, 도 2a에 도시된 바와 같이 피쳐 한정부(206)가 기판(202)에 형성된 기판(202)을 갖는 작업물을 제공함으로써 작동(110)에서 시작한다. 피쳐 한정부는 비아들, 트렌치들, 라인들, 콘택 홀들, 및 다마신 피쳐들로부터 선택될 수 있다. 작업물(200)은 처리 챔버 내에 위치된다. 도 2a에 도시된 작업물(200)은 기판(202) 상에 형성된 디바이스 구조(208)(예를 들어, 콘택 구조, 게이트 구조 또는 인터커넥트 구조)를 갖는 기판(202)을 포함한다. 이러한 특정 디바이스 구조(208)는 3차원(3-D) 플래시 메모리 응용들, DRAM 응용들, 또는 고 종횡비 또는 다른 특이한 기하형상들을 갖는 다른 적합한 응용들에 사용될 수 있다는 점을 주목한다.
층(204)이 기판(202) 상에 형성된다. 층(204)은 고 종횡비들, 예컨대, 1:1 초과, 예를 들어, 약 10:1 초과, 예컨대, 약 20:1 초과의 종횡비들로 층(204)에 형성된 피쳐 한정부(206)를 갖는다. 피쳐 한정부(206)는 디바이스 구조(208)에 형성되고, 아래놓인 층(204)을 노출시키기 위해 개방 채널을 형성하는, 측벽들(212) 및 바닥 표면(214)을 갖는다. 층(204)은 임의의 적합한 층들, 예컨대, 단일 규소 층, 고 k 유전체 층, 저 k 유전체 층, 또는 내부에 형성된 상기 언급된 층들 중 적어도 하나를 갖는 다중 층 막 스택을 포함할 수 있다. 층(204)이 단일 층의 형태인 일 구현에서, 층(204)은 산화규소 층, 산화물 층, 질화규소 층, 질화물 층, 산질화규소 층, 질화티타늄 층, 폴리규소 층, 미세결정질 규소 층, 단결정질 규소, 도핑된 폴리규소 층, 도핑된 미세결정질 규소 층, 또는 도핑된 단결정질 규소일 수 있다.
다른 예에서, 층(204)이 규소 함유 층인 경우, 층(204)은 저 k 유전체 층, 복합 산화물 및 질화물 층, 질화물 층을 개재하는 적어도 하나 이상의 산화물 층, 및 이들의 조합들을 포함하는 막 적층체일 수 있다. 층(204)에 도핑된 적합한 도펀트들은 p형 도펀트들 및 n형 도펀트들, 예컨대, 붕소(B) 함유 도펀트들 또는 포스핀(P) 함유 도펀트들을 포함할 수 있다. 층(204)이, 적어도 하나의 규소 함유 층을 갖는 다중 막 적층체의 형태인 일 구현에서, 규소 함유 층은 규소 함유 층 및 유전체 층을 포함하는 층들의 반복된 쌍들을 포함할 수 있다. 일 구현에서, 층(204)은 폴리규소 층 및/또는 내부에 배치된 다른 금속 물질들 및/또는 유전체 층을 포함할 수 있다. 유전체 층의 적합한 예들은, 특히, 산화물 층, 산화규소 층, 질화규소 층, 질화물 층, 질화티타늄 층, 산화물 및 질화물의 복합물 층, 질화물 층을 개재하는 적어도 하나 이상의 산화물 층, 및 이들의 조합들로 구성된 군으로부터 선택될 수 있다.
작동(110)에서 설명된 처리 챔버 내로 작업물(200)을 이송하기 전에, 자연 산화물들 또는 오염물질들의 다른 공급원들을 제거하기 위해 기판 표면(211), 피쳐 한정부(206)의 측벽들(212) 및 바닥 표면(214)을 처리하도록 사전 세정 프로세스가 임의로 수행된다. 기판(202)으로부터 자연 산화물들 또는 오염물질들의 다른 공급원들을 제거하는 것은, 금속 층을 형성하기 위한 양호한 접촉 표면을 형성하기 위해 낮은 접촉 저항 표면을 제공할 수 있다.
수행되는 사전 세정 프로세스는 사전 세정 가스 혼합물을 사전 세정 챔버 내에 공급하는 단계를 포함한다. 사전 세정 챔버는 프리클린(Preclean) PCII 챔버, PCXT 리액티브 프리클린™(Reactive Preclean™)(RPC) 챔버, 악티브®(AKTIV®) 프리-클린™ 챔버, 시코니®(SICONI®) 챔버 또는 카프라™(Capra™) 챔버일 수 있으며, 이들 모두는 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 사전 세정 챔버는 통합 처리 툴, 예컨대, 예시적인 다중챔버 처리 시스템(700)(도 7 참고)에 포함될 수 있다. 다른 제조업자들로부터 입수가능한 다른 사전 세정 챔버들이 또한, 본원에 설명된 구현들을 실시하도록 활용될 수 있다는 점을 주목한다.
작동(110)에서, 도 2b에 도시된 바와 같이 피쳐 한정부(206)에 장벽 및/또는 라이너 층(216)을 증착시키기 위해 장벽 및/또는 라이너 층 증착 프로세스가 수행될 수 있다. 장벽 및/또는 라이너 층(216)은 일반적으로, 기판(202) 상의 접합 물질, 전형적으로 규소 또는 규소 게르마늄 화합물로의 금속 층의 확산을 방지한다. 장벽 및/또는 라이너 층은 일반적으로, 금속 또는 금속 질화물 물질, 예컨대, 티타늄(Ti), 질화티타늄(TiN), 그의 합금들, 또는 이들의 조합들을 함유한다. 장벽 및/또는 라이너 층(216)은 또한, 플라즈마 질화된(N2 또는 NH3) 티타늄 물질을 포함할 수 있다. 장벽 및/또는 라이너 층(216)이 질화티타늄 층을 포함하는 일부 구현들에서, 티타늄의 최상부의 수 옹스트롬만이 TiN 화합물로 전환된다. 산화 및 비-산화 티타늄 및 TiN 장벽 및/또는 라이너 층들 양쪽 모두가, 개선된 확산 저항을 제공하는 것을 발견했다. 장벽 및/또는 라이너 층(216)은 약 2 Å 내지 약 100 Å 범위 내의, 더 좁게는 약 3 Å 내지 약 80 Å 범위 내의, 더 좁게는 약 4 Å 내지 약 50 Å 범위 내의, 더 좁게는 약 5 Å 내지 약 25 Å 범위 내의, 더 좁게는 약 5 Å 내지 약 20 Å 범위 내의, 더 좁게는 약 10 Å 내지 약 20 Å 범위 내의, 더 좁게는 약 5 Å 내지 약 15 Å 범위 내의, 더 좁게는 약 5 Å 내지 약 10 Å 범위 내의 두께를 가질 수 있다. 장벽 및/또는 라이너 층(216)은 일반적으로, 원자 층 증착(ALD), 플라즈마 강화 ALD (PE-ALD), 화학 기상 증착(CVD), 또는 물리 기상 증착(PVD) 프로세스들에 의해 증착된다. 장벽 및/또는 라이너 층(216)은, 위에서 설명된 바와 같이, 기판 상의 접합 물질로의 금속 층의 확산을 일반적으로 방지한다. 일 구현에서, 장벽 및/또는 라이너 층(216)은 ALD에 의해 증착된, 약 10 Å 내지 약 20 Å의 두께를 갖는 TiN 층이다.
임의로, 작동(130)에서, 장벽 및/또는 라이너 층(216)은 플라즈마 처리 프로세스에 노출된다. 이론에 얽매이지는 않지만, 플라즈마 처리 프로세스는, 불순물들을 감소시키고 장벽 및/또는 라이너 층(216)을 조밀화함으로써, 증착된 장벽 및/또는 라이너 층(216)의 표면 거칠기를 감소시키는 것으로 여겨진다.
작동(130)의 플라즈마 처리 프로세스를 위한 예시적인 플라즈마 형성 가스들은 수소(H2), 질소(N2), 암모니아(NH3), 및 이들의 조합들을 포함한다. 플라즈마 처리 프로세스 동안, 수 개의 프로세스 파라미터들이 또한 조절된다. 일 구현에서, 프로세스 압력은 약 0.1 Torr 내지 약 100 Torr(예를 들어, 약 0.1 Torr 내지 약 80 Torr; 약 1 Torr 내지 약 20 Torr 또는 약 7 Torr 내지 약 30 Torr)로 제어된다. 일 구현에서, 처리 온도는 섭씨 약 100 도 내지 섭씨 약 900 도(예를 들어, 섭씨 약 125 도 내지 섭씨 약 350 도; 섭씨 약 200 도 내지 섭씨 약 300 도; 또는 섭씨 약 250 도 내지 섭씨 약 340 도)이다. RF 전력은 약 100 와트 내지 약 800 와트, 예를 들어, 약 400 와트로 제어될 수 있다. 플라즈마 형성 가스, 예컨대, H2 가스는 약 3000 sccm 내지 약 5000 sccm, 예를 들어, 약 4000 sccm으로 공급될 수 있다. 기판 에지/기판 바닥으로부터 공급되는 H2 가스는 약 200 sccm 내지 약 1000 sccm으로 제어될 수 있다. 아르곤 가스는 기판 에지/기판 바닥으로부터 약 200 sccm 내지 약 1000 sccm으로 공급될 수 있다.
작동(140)에서, 도 2c에 도시된 바와 같이 장벽 및/또는 라이너 층(216) 상에 루테늄 함유 층(218)을 증착시키기 위해 루테늄 함유 층 증착 프로세스가 수행될 수 있다. 이론에 얽매이지는 않지만, 루테늄 함유 층(218)은 응집을 감소시키고, 증가된 처리 온도들에서, 후속 증착된 코발트 층들의 형태를 개선하는 것으로 여겨진다. 일 구현에서, 루테늄 함유 층(218)은 연속 막이다. 다른 구현에서, 루테늄 함유 층(218)은 불연속 막이다. 루테늄 함유 층(218)은 약 1 Å 내지 약 30 Å 범위 내의, 더 좁게는 약 1 Å 내지 약 20 Å 범위 내의, 더 좁게는 약 3 Å 내지 약 20 Å 범위 내의, 더 좁게는 약 3 Å 내지 약 10 Å 범위 내의, 더 좁게는 약 5 Å 내지 약 10 Å 범위 내의 두께를 가질 수 있다. 류테늄 함유 층(218)은 일반적으로, 원자 층 증착(ALD), 플라즈마 강화 ALD (PE-ALD), 화학 기상 증착(CVD), 또는 물리 기상 증착(PVD) 프로세스들에 의해 증착된다. 일 구현에서, 루테늄 함유 층(218)은 약 3 Å 내지 약 20 Å의 두께를 가지며, 금속 유기 기상 증착(MOCVD) 프로세스에 의해 증착된다.
증착 프로세스가 MOCVD 프로세스인 구현들에서, 증착 프로세스는, 적어도 루테늄(Ru) 함유 전구체를 포함하는 증착 가스 혼합물을 처리 챔버 내에 공급함으로써 수행될 수 있다. 루테늄(Ru) 함유 전구체는 RxRuyR'z의 화학식을 가질 수 있고, 여기서 R 및 R'은 H, CH3, C2H5, C3H7, CO, NCO, 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, 3급 부틸, 알킬 또는 아릴 기이고, x, y, 및 z는 1 내지 8 범위를 갖는 정수들이다.
일 구현에서, 루테늄 전구체는 메틸-시클로헥사디엔 루테늄 트리카르보닐시클로헥사디엔, 루테늄 트리카르보닐, 부타디엔 루테늄 트리카르보닐, 디메틸 부타디엔 루테늄 트리카르보닐, 또는 Ru(CO)3으로 개질된 디엔들 중 하나 이상이다.
일부 구현들에서, 루테늄(Ru) 함유 층의 속성들을 개질시키기 위해 다양한 다른 처리 가스들이 가스 혼합물에 추가될 수 있다. 예를 들어, 반응성 가스, 예컨대, 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 또는 이들의 조합들이, 루테늄(Ru) 함유 층을 증착시키는 것을 보조하기 위해, 증착 가스 혼합물에 임의로 그리고 선택적으로 공급될 수 있다. 캐리어 가스, 예컨대, 질소(N2) 및 산화질소(NO), 및/또는 불활성 가스, 예컨대, 아르곤(Ar) 및 헬륨(He)이 증착 가스 혼합물과 함께 처리 챔버 내에 공급될 수 있다. 상이한 반응성 가스들 또는 불활성 가스들의 추가는, 막 구조 및/또는 막 화학적 성분들, 예컨대, 반사율을 변경할 수 있고, 따라서, 상이한 프로세스 요건들을 충족시키기 위해 원하는 막 속성을 갖도록, 증착된 막을 조정한다.
대안적으로, 루테늄(Ru) 함유 층이 물리 기상 증착 프로세스(PVD), 예컨대, 스퍼터링 증착 프로세스에 의해 형성되는 예에서, 금속 루테늄(Ru), 금속 루테늄(Ru) 함유 물질, 또는 루테늄(Ru) 함유 합금을 갖는 타겟은 루테늄(Ru) 함유 층을 형성하기 위해 스퍼터링 증착을 수행하는 데에 활용될 수 있다.
루테늄(Ru) 함유 층의 증착 동안, 수 개의 프로세스 파라미터들이 프로세스를 조절할 수 있다. 300 mm 기판을 처리하기에 적합한 일 구현에서, 프로세스 압력은 약 0.1 Torr 내지 약 100 Torr(예를 들어, 약 0.1 Torr 내지 약 80 Torr; 약 1 Torr 내지 약 20 Torr 또는 약 7 Torr 내지 약 30 Torr)로 제어될 수 있다. 기판과 샤워헤드 사이의 간격은 약 200 mil 내지 약 1000 mil로 제어될 수 있다. 기판 온도는 섭씨 약 100 도 내지 섭씨 약 900 도(예를 들어, 섭씨 약 125 도 내지 섭씨 약 350 도; 섭씨 약 200 도 내지 섭씨 약 300 도; 또는 섭씨 약 250 도 내지 섭씨 약 340 도)이다.
일 구현에서, 루테늄 함유 층(218)은 수소 가스(H2)와 루테늄 함유 전구체를 공동 유동시키는 것을 수반하는 열 CVD 프로세스에 의해 증착된다.
일부 구현들에서, 루테늄 함유 층(218)은 수소 침지 프로세스에 노출된다. 일 구현에서, 작동(140)으로부터 수소 가스를 계속 유동시키면서, 작동(140)으로부터 루테늄 함유 전구체의 유동을 중단함으로써, 수소 침지 프로세스가 수행된다. 이론에 얽매이지는 않지만, 수소 침지 프로세스는 루테늄 함유 층(218)의 불순물들을 감소시키는 것으로 여겨진다. 일부 구현들에서, 기판 표면은 섭씨 약 100 도 내지 섭씨 약 600 도(예를 들어, 섭씨 약 100 도 내지 섭씨 약 400 도; 또는 섭씨 약 200 도 내지 섭씨 약 300 도) 범위의 온도의 침지 프로세스에 노출된다. 침지 프로세스는 전형적으로, 약 1 Torr 내지 약 150 Torr, 바람직하게는 약 5 Torr 내지 약 90 Torr 범위의 압력에서 수행된다. 일부 예들에서, 압력은 약 5 Torr 내지 약 20 Torr 범위에 있다. 다른 예에서, 압력은 약 40 Torr이다. 침지는 보통, 약 5 초 내지 약 90 초 범위의 기간 동안 기판 표면 및 노출된 루테늄 함유 층에 대해 수행된다. 일 양상에서, 침지는 약 60 초 이하 동안 지속될 것이다. 다른 양상에서, 침지는 약 30 초 이하 동안 지속될 것이다. 다른 양상에서, 침지는 약 10 초 동안 지속될 것이다. 수소 가스의 유량은 일반적으로, 약 10 sccm 내지 약 2,000 sccm, 바람직하게는 약 50 sccm 내지 약 500 sccm 범위에 있다.
일부 구현들에서, 루테늄 함유 층(218)은 플라즈마 처리 프로세스에 노출된다. 플라즈마 처리 프로세스는 작동(130)의 플라즈마 처리 프로세스와 유사할 수 있다. 이론에 얽매이지는 않지만, 플라즈마 처리 프로세스는 불순물들을 감소시키고 막 밀도를 증가시킴으로써, 증착된 루테늄 함유 층(218)의 표면 거칠기를 감소시키는 것으로 여겨진다.
루테늄 함유 층(218)의 플라즈마 처리 프로세스를 위한 예시적인 플라즈마 형성 가스들은 수소(H2), 질소(N2), 암모니아(NH3) 및 이들의 조합들을 포함한다. 플라즈마 처리 프로세스 동안, 수 개의 프로세스 파라미터들이 또한 조절된다. 일 구현에서, 프로세스 압력은 약 7 Torr 내지 약 30 Torr로 제어된다. 처리 온도는 섭씨 약 125 도 내지 섭씨 약 250 도이다. RF 전력은 약 100 와트 내지 약 800 와트, 예를 들어, 약 400 와트로 제어될 수 있다. 플라즈마 형성 가스, 예컨대, H2 가스는 약 3000 sccm 내지 약 5000 sccm, 예를 들어, 약 4000 sccm으로 공급될 수 있다. 기판 에지/기판 바닥으로부터 공급되는 H2 가스는 약 200 sccm 내지 약 1000 sccm으로 제어될 수 있다. 아르곤 가스는 기판 에지/기판 바닥으로부터 약 200 sccm 내지 약 1000 sccm으로 공급될 수 있다.
작동(150)에서, 도 2d에 도시된 바와 같이 코발트 시드 층(220)을 루테늄 함유 층(218) 상에 증착시키기 위해 코발트 시드 층 증착 프로세스가 수행될 수 있다. 코발트 시드 층(220)은 약 1 Å 내지 약 100 Å 범위 내의(예를 들어, 약 1 Å 내지 약 30 Å 범위 내의; 약 1 Å 내지 약 20 Å 범위 내의; 약 3 Å 내지 약 20 Å 범위 내의; 약 3 Å 내지 약 10 Å 범위 내의; 약 10 Å 내지 약 20 Å 범위 내의; 약 5 Å 내지 약 10 Å 범위 내의) 두께를 가질 수 있다. 코발트 시드 층(220)은 일반적으로, 원자 층 증착(ALD), 플라즈마 강화 ALD(PE-ALD), 또는 화학 기상 증착(CVD)에 의해 증착된다. 일 구현에서, 코발트 시드 층은 CVD 프로세스에 의해 증착되고 약 3 Å 내지 약 20 Å의 두께를 갖는다. CVD 코발트는 보통, 불순물들(예를 들어, 탄소 및/또는 산소)을 함유하므로, 후속 처리, 예컨대, 수소 환경에서의 어닐링 또는 플라즈마 처리가 불순물들을 감소시키는 데에 전형적으로 사용된다. 그러나, 어닐링은 박막 응집으로 이어질 수 있으며, 이는 갭 충전 문제들로 이어진다. 루테늄 함유 층(218)은 응집 없이 불순물들의 제거를 허용한다.
일부 구현들에서, 작업물(200)은 약 750 sccm 내지 약 1000 sccm의 유량의 코발트 전구체에 노출된다. 일부 구현들에서, 적합한 코발트 전구체들은, 코발트 카르보닐 착물들, 코발트 아미디네이트 화합물들, 코발토센 화합물들, 코발트 디에닐 착물들, 코발트 니트로실 착물들, 이들의 유도체들, 이들의 착물들, 이들의 플라즈마들, 또는 이들의 조합들 중 하나 이상을 포함할 수 있다. 일부 구현들에서, 디코발트 헥사카르보닐 아세틸 화합물들이, 코발트 시드 층(220)을 형성하는 데에 사용될 수 있다. 디코발트 헥사카르보닐 아세틸 화합물들은 (CO)6CO2(RC≡CR')의 화학식을 가질 수 있고, 여기서 R 및 R'은 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, tert부틸, 펜타, 벤질, 아릴, 이들의 이성질체들, 이들의 유도체들, 또는 이들의 조합들로부터 독립적으로 선택된다. 일 예에서, 디코발트 헥사카르보닐 부틸아세틸렌(CCTBA, (CO)6CO2(HC≡CtBu))은 코발트 전구체이다. 디코발트 헥사카르보닐 아세틸 화합물들의 다른 예들은, 디코발트 헥사카르보닐 메틸부틸아세틸렌((CO)6CO2(MeC≡CtBu)), 디코발트 헥사카르보닐 페닐아세틸렌((CO)6CO2(HC≡CPh)), 헥사카르보닐 메틸페닐아세틸렌((CO)6CO2(MeC≡CPh)), 디코발트 헥사카르보닐 메틸아세틸렌((CO)6CO2(HC≡CMe)), 디코발트 헥사카르보닐 디메틸아세틸렌((CO)6CO2(MeC≡CMe)), 이들의 유도체들, 이들의 착물들, 또는 이들의 조합들을 포함한다. 다른 예시적인 코발트 카르보닐 착물들은 시클로펜타디에닐 코발트 비스(카르보닐) (CpCo(CO)2), 트리카르보닐 알릴 코발트((CO)3Co(CH2CH〓CH2)), 또는 이들의 유도체들, 이들의 착물들, 또는 이들의 조합들을 포함한다. 코발트 전구체는 캐리어 가스, 예컨대, 아르곤 가스와 함께 공급될 수 있다.
대안적인 시약들(즉, 본원에 설명된 바와 같이 증착 프로세스 동안 코발트 물질들을 형성하기 위해 코발트 전구체들과 함께 사용되는 환원제들)의 예들은, 수소(예를 들어, H2 또는 원자 H), 질소(예를 들어, N2 또는 원자 N), 암모니아(NH3), 히드라진(N2H4), 수소 및 암모니아 혼합물(H2/NH3), 보란(BH3), 디보란(B2H6), 트리에틸보란(Et3B), 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 테트라실란(Si4H10), 메틸 실란(SiCH6), 디메틸실란(SiC2H8), 포스핀(PH3), 이들의 유도체들, 이들의 플라즈마들, 또는 이들의 조합들을 포함할 수 있다. 특정한 일 예에서, 본원에서 사용되는 시약 또는 환원제는 암모니아(NH3)이다.
일부 구현들에서, 방법은 반응물 가스, 예컨대, 수소 가스(H2)를 전구체 가스들과 함께 유동시키는 단계를 더 포함할 수 있다. 위에서 논의된 코발트 시드 층(220)을 형성하기 위한 일반적인 처리 조건들은, 프로세스 챔버 압력을, 예를 들어, 약 15 내지 약 25 Torr로 유지하는 것을 포함한다. 300 mm 기판을 처리하기에 적합한 일 구현에서, 프로세스 압력은 약 0.1 Torr 내지 약 80 Torr, 예를 들어, 약 15 Torr 내지 약 25 Torr로 유지될 수 있다. 증착 프로세스의 기판 온도는, 섭씨 약 150 도 내지 섭씨 약 400 도, 예를 들어, 섭씨 약 150 도 내지 섭씨 약 300 도; 또는 예를 들어, 섭씨 약 150 도 내지 섭씨 약 200 도로 유지된다.
일부 구현들에서, 코발트 시드 층(220)은 플라즈마 보조 증착 프로세스, 예컨대, 플라즈마 강화 화학 기상 증착 프로세스, 또는 열 화학 기상 증착 프로세스에 의해 형성될 수 있다. 일부 구현들에서, 예를 들어, 작업물(200)은 플라즈마 상태의 코발트 전구체에 노출될 수 있다. 플라즈마는 플라즈마를 형성하기 위해 코발트 전구체를 점화하기에 충분한 에너지, 예를 들어, 전원으로부터의 무선 주파수(RF) 에너지를 결합함으로써 형성될 수 있다. 일부 구현들에서, 전원은 적합한 주파수, 예컨대, 약 13.56MHz의 약 400 와트의 전력을 예시적으로 제공할 수 있다. 플라즈마는, 코발트 시드 층(220)을 형성하기 위해, 전구체들의 분해를 용이하게 하여, 작업물(200) 상의 물질의 증착을 야기한다.
일 구현에서, 다층 루테늄 및 코발트 적층 구조를 형성하기 위해, 루테늄의 얇은 층, 및 그에 후속된 코발트의 얇은 층을 주기적 프로세스로 증착시키도록, 원하는 횟수만큼 작동(140) 및 작동(150)이 반복된다.
임의로, 작동(160)에서, 코발트 시드 층(220)은 코발트 시드 층(220)의 속성들을 개선하기 위해 열 어닐링 프로세스에 노출된다. 일부 구현들에서, 열 어닐링 프로세스는 코발트 시드 층 증착 프로세스와 동일한 처리 챔버에서 인-시튜로 수행될 수 있다. 일부 구현들에서, 열 어닐링 프로세스는 별개의 처리 챔버에서 수행될 수 있다. 일 구현에서, 작동(160)에서 수행되는 열 어닐링 프로세스는 섭씨 약 200 도 내지 섭씨 약 1400 도(예를 들어, 섭씨 약 200 도 내지 섭씨 약 500 도; 섭씨 약 300 도 내지 섭씨 약 500 도; 또는 섭씨 약 400 도 내지 섭씨 약 450 도) 범위의 온도를 가질 수 있다. 열 어닐링 프로세스 동안, 적어도 수소 함유 가스 및/또는 불활성 가스(예를 들어, 아르곤)를 포함하는 가스 혼합물이 처리 챔버 내에 공급된다. 가스 혼합물은, 어닐링 프로세스 이전에 챔버가 가스로 충전되는 정적 프로세스 또는 어닐링 프로세스 동안 가스 혼합물이 어닐링 챔버를 통해 연속적으로 유동되는 연속적인 유동 프로세스를 사용하여 어닐링 챔버에 공급될 수 있다.
일 구현에서, 작동(160)은, 수소 함유 가스, 불활성 가스, 및 질소 함유 가스 중 적어도 하나를 포함하는 가스 혼합물을 어닐링 챔버 내에 약 10 sccm 내지 약 10,000 sccm(예를 들어, 약 100 sccm 내지 약 2000 sccm)의 유량으로 공급하고, 약 0.1 Torr 내지 약 100 Torr, 예를 들어, 약 0.5 Torr 내지 약 15 Torr, 예컨대, 약 5 Torr 내지 약 8 Torr의 챔버 압력을 제어하면서, 섭씨 약 150 도 내지 섭씨 약 500 도(예를 들어, 섭씨 약 300 도 내지 섭씨 약 475 도, 또는 섭씨 약 400 도 내지 섭씨 약 450 도) 범위의 온도를 유지하고, 약 30 초 내지 약 600 초 동안, 임의로 기판을 회전시키면서, 열 어닐링 프로세스를 수행함으로써, 수행될 수 있다. 열 어닐링 챔버에 공급되는 가스 혼합물을 위한 가스들의 적합한 예들은, 필요에 따라, 수소 가스, 질소 함유 가스, 불활성 가스(예를 들어, 아르곤) 또는 다른 가스들을 포함할 수 있다.
다음으로, 작동(170)에서, 코발트 시드 층(220)의 형성에 후속하여, 코발트 층(230)이 코발트 시드 층(220) 상에 증착될 수 있다. 예를 들어, 코발트 물질은, 코발트 층(230)을 형성하기 위해, 기판의 상부 표면 상에 뿐만 아니라 피쳐 한정부(206)의 측벽들(212) 및 바닥 표면(214) 위에도 증착될 수 있다. 일부 구현들에서, 코발트 물질은 물리 기상 증착 프로세스에 의해 증착될 수 있다. 일 구현에서, 물리 기상 증착 프로세스는 실온(예를 들어, 섭씨 20 내지 25 도) 내지 섭씨 약 500 도의 온도에서 수행될 수 있다. 물리 기상 증착 프로세스의 선택성으로 인해, 피쳐 한정부(206) 내의 하나 이상의 영역(예를 들어, 측벽들(212), 바닥 표면(214), 또는 양쪽 모두)에 비해, 기판(202)의 상부 표면 상에 배치된 영역들에서, 코발트 층(230)이 더 두꺼울 수 있다. 일부 구현들에서, 코발트 층(230)은 바닥 표면(214) 상에서 더 두껍고 측벽들(212) 상에서 더 얇다.
임의로, 코발트 층(230)은 증착된 물질을 피쳐 한정부(206) 내로(예를 들어, 모세관 작용을 통해) 끌어당기기 위해 가열되거나 어닐링될 수 있다. 가열 프로세스는 섭씨 약 100 내지 약 900 도(예를 들어, 섭씨 약 150 내지 약 400 도) 범위의 온도에서 수행될 수 있다. 루테늄 함유 층(218) 및 코발트 시드 층(220)은 유리하게, 코발트 층(230)이 코발트 탈습윤화와 함께 개구부 내로 리플로우하여 개구부에 보이드를 형성하지 않고 개구부를 충전하는 것을 허용하거나, 피쳐 내부의 코발트 층이 재결정화하고 보이드들을 탈습윤화 없이 가열하는 것을 허용한다. 가열 프로세스의 완료 시에, 피쳐 한정부(206)의 바닥 표면(214)은 증착된 물질로 덮힐 수 있다. 일부 구현들에서, 코발트 물질을 증착시키고 증착된 코발트 물질을 어닐링하는 프로세스가, 피쳐 한정부(206)를 충전하기 위해 반복될 수 있다. 대안적으로, 피쳐 한정부(206)의 일부를, 본원에 설명된 바와 같이 충전한 이후에, 피쳐 한정부(206)의 나머지는 전기도금 프로세스를 사용하여 충전될 수 있다. 추가로, 피쳐 한정부(206)가 전도성 물질에 의해 충전되었을 때, 피쳐 한정부(206)는 기판의 상부 표면의 높이 위로 충전될 수 있고/거나, 예를 들어, 코발트 층(230)으로부터의 증착된 물질이 기판(202)의 상부 표면 상에 남을 수 있다. 이에 따라, 기법들, 예컨대, 산성 용액에서의 습식 세정, 화학적 또는 전기화학적 기계적 연마 등이, 과잉 증착된 물질을 상부 표면으로부터 제거하는 데에 사용될 수 있고, 이로써, 피쳐 한정부(206)는, 도 2f에 도시된 바와 같이, 상부 표면과 대략 동등한 높이까지 벌크 코발트 물질(240)로 충전된다. 도 2f에 도시된 바와 같이, 벌크 코발트 물질(240)은 코발트 시드 층(220) 및 코발트 층(230)으로부터 형성된다.
도 3은, 본 개시내용의 하나 이상의 구현에 따른, 코발트 층을 반도체 디바이스에 형성하기 위한 처리 순서(300)의 다른 흐름도를 도시한다. 처리 순서(300)는, 벌크 코발트 물질이 PVD 프로세스 대신에 CVD 프로세스에 의해 증착되는 것을 제외하고, 처리 순서(100)와 유사하다. 작동(310)에서, 기판에 형성된 피쳐 한정부를 갖는 기판이 제공된다. 작동(310)은 작동(110)과 유사하게 수행될 수 있다. 작동(320)에서, 장벽 및/또는 라이너 층이 피쳐 한정부에 증착된다. 작동(320)은 작동(120)과 유사하게 수행될 수 있다. 임의로, 작동(330)에서, 장벽 및/또는 라이너 층은 플라즈마 처리에 노출된다. 작동(330)은 작동(130)과 유사하게 수행될 수 있다. 작동(340)에서, 루테늄 함유 층이 장벽 및/또는 라이너 층 상에 형성된다. 작동(340)은 작동(140)과 유사하게 수행될 수 있다. 작동(350)에서, 코발트 시드 층이 루테늄 함유 층 상에 형성된다. 작동(350)은 작동(150)과 유사하게 수행될 수 있다. 일 구현에서, 다층 루테늄 및 코발트 적층 구조를 형성하기 위해, 루테늄의 얇은 층, 및 그에 후속된 코발트의 얇은 층을 주기적 프로세스로 증착시키도록, 원하는 횟수만큼 작동(340) 및 작동(350)이 반복된다.
임의로, 작동(360)에서 코발트 시드 층은 어닐링 프로세스에 노출된다. 작동(360)은 작동(160)과 유사하게 수행될 수 있다.
작동(370)에서, 벌크 코발트 물질은 화학 기상 증착 프로세스에 의해 코발트 시드 층 상에 증착된다. 일부 구현들에서, 벌크 코발트 물질은 주기적 CVD 프로세스에 의해 증착된다. 일 구현에서, 주기적 CVD 프로세스는 벌크 코발트 물질의 미리 결정된 두께가 달성될 때까지 작동(360) 및 작동(370)을 반복하는 단계를 포함한다. 벌크 코발트 물질의 미리 결정된 두께가 달성되지 않았다면, 작동(370)의 어닐링 프로세스가 후속되는 작동(360)의 코발트 CVD 프로세스로부터, 추가적인 주기들이 시작한다. 예를 들어, 벌크 코발트 물질의 총 두께가 300 Å이고 벌크 코발트 물질의 각각의 부분이 50 Å/주기로 증착된다면, 6회 주기들(50 Å의 증착 및 후속되는 어닐링)이 필요할 것이다.
다른 구현에서, 주기적 CVD 프로세스는, 벌크 코발트 물질의 미리 결정된 두께가 달성될 때까지, 작동(330)에서 설명된 바와 같이 플라즈마 처리 프로세스가 후속하는 작동(370)을 반복하는 단계를 포함한다. 벌크 코발트 물질의 미리 결정된 두께가 달성되지 않았다면, 플라즈마 처리 프로세스가 후속되는 작동(370)의 코발트 CVD 프로세스로부터, 추가적인 주기들이 시작한다. 예를 들어, 벌크 코발트 물질의 총 두께가 300 Å이고 벌크 코발트 물질의 각각의 부분이 50 Å/주기로 증착된다면, 6회 주기들(50 Å의 증착 및 후속되는 플라즈마 처리)이 필요할 것이다. 플라즈마 처리 프로세스는 증착된 코발트 층의 거칠기를 감소시켰고, 코발트의 불순물들을 감소시키고 결정립 성장을 촉진함으로써 후속 코발트 층들의 더 균일한 증착을 허용한다.
도 4는, 본 개시내용의 하나 이상의 구현에 따른, 코발트 층을 반도체 디바이스에 형성하기 위한 처리 순서(400)의 다른 흐름도를 도시한다. 처리 순서(400)는, 벌크 코발트 물질이 PVD 프로세스 대신에 CVD 프로세스에 의해 증착되는 것을 제외하고, 처리 순서(100)와 유사하다. 작동(410)에서, 기판에 형성된 피쳐 한정부를 갖는 기판이 제공된다. 작동(410)은 작동(110)과 유사하게 수행될 수 있다. 작동(420)에서, 장벽 및/또는 라이너 층이 피쳐 한정부에 증착된다. 작동(420)은 작동(120)과 유사하게 수행될 수 있다. 임의로, 작동(330)에서, 장벽 및/또는 라이너 층은 플라즈마 처리에 노출된다. 작동(430)은 작동(130)과 유사하게 수행될 수 있다. 작동(440)에서, 루테늄 함유 층이 장벽 및/또는 라이너 층 상에 형성된다. 작동(440)은 작동(140)과 유사하게 수행될 수 있다. 작동(450)에서, 코발트 시드 층이 루테늄 함유 층 상에 형성된다. 작동(450)은 작동(150)과 유사하게 수행될 수 있다. 일 구현에서, 다층 루테늄 및 코발트 적층 구조를 형성하기 위해, 루테늄의 얇은 층, 및 그에 후속된 코발트의 얇은 층을 주기적 프로세스로 증착시키도록, 원하는 횟수만큼 작동(440) 및 작동(450)이 반복된다.
임의로, 작동(460)에서 코발트 시드 층은 어닐링 프로세스에 노출된다. 작동(460)은 작동(160)과 유사하게 수행될 수 있다.
작동(470)에서, 벌크 코발트 물질은 전기도금 프로세스에 의해 코발트 시드 층 상에 증착된다.
도 5는, 본 개시내용의 하나 이상의 구현에 따른, 코발트 층을 반도체 디바이스에 형성하기 위한 처리 순서(500)의 다른 흐름도를 도시한다. 처리 순서(500)는, 루테늄 도핑된 코발트 시드 층이, 하나 이상의 코발트 전구체와 하나 이상의 루테늄 전구체를 공동 유동시킴으로써 증착되는 것을 제외하고, 처리 순서(100)와 유사하다. 작동(510)에서, 기판에 형성된 피쳐 한정부를 갖는 기판이 제공된다. 작동(510)은 작동(110)과 유사하게 수행될 수 있다. 작동(520)에서, 장벽 및/또는 라이너 층이 피쳐 한정부에 증착된다. 작동(520)은 작동(120)과 유사하게 수행될 수 있다. 임의로, 작동(530)에서, 장벽 및/또는 라이너 층은 플라즈마 처리에 노출된다. 작동(530)은 작동(130)과 유사하게 수행될 수 있다. 작동(540)에서, 루테늄 도핑된 코발트 시드 층이 장벽 및/또는 라이너 층 상에 형성된다. 일 구현에서, 루테늄 도핑된 코발트 시드 층은, 하나 이상의 코발트 전구체와 하나 이상의 루테늄 전구체를 공동 유동시키는 것을 포함하는 CVD 프로세스에 의해 형성된다. 일 구현에서, CVD 프로세스는 본원에 설명된 CVD 파라미터들, 코발트 전구체들 및 루테늄 전구체들을 사용하여 수행된다. 임의로, 작동(560)에서 코발트 시드 층은 어닐링 프로세스에 노출된다. 작동(560)은 작동(160)과 유사하게 수행될 수 있다.
작동(570)에서, 벌크 코발트 물질이 루테늄 도핑된 코발트 시드 층 상에 증착된다. 벌크 코발트 물질은 임의의 적합한 증착 방법을 사용하여 증착될 수 있다. 일 구현에서, 벌크 코발트 물질은 작동(170)에서 설명된 바와 같이 PVD 코발트 리플로우 프로세스에 의해 증착된다. 다른 구현에서, 벌크 코발트 물질은 작동(470)에서 설명된 바와 같이 전기도금 프로세스에 의해 증착된다. 또 다른 구현에서, 벌크 코발트 물질은 작동(370)에서 설명된 바와 같이 코발트 CVD 프로세스에 의해 증착된다. 일부 구현들에서, 벌크 코발트 물질은 주기적 CVD 프로세스에 의해 증착된다. 일 구현에서, 주기적 CVD 프로세스는 벌크 코발트 물질의 미리 결정된 두께가 달성될 때까지 작동(560) 및 작동(570)을 반복하는 단계를 포함한다. 벌크 코발트 물질의 미리 결정된 두께가 달성되지 않았다면, 작동(570)의 어닐링 프로세스가 후속되는 작동(560)의 코발트 CVD 프로세스로부터 시작하는 추가적인 주기들이 수행된다. 예를 들어, 벌크 코발트 물질의 총 두께가 300 Å이고 벌크 코발트 물질의 각각의 부분이 50 Å/주기로 증착된다면, 6회 주기들(50 Å의 증착(작동(560)) 및 후속되는 어닐링(작동(570)))이 사용된다.
다른 구현에서, 주기적 CVD 프로세스는, 벌크 코발트 물질의 미리 결정된 두께가 달성될 때까지, 작동(530)에서 설명된 바와 같이 플라즈마 처리 프로세스가 후속하는 작동(570)을 반복하는 단계를 포함한다. 벌크 코발트 물질의 미리 결정된 두께가 달성되지 않았다면, 작동(530)의 플라즈마 처리 프로세스가 후속되는 작동(570)의 코발트 CVD 프로세스로부터 시작하는 추가적인 주기들이 수행될 수 있다. 예를 들어, 벌크 코발트 물질의 총 두께가 300 Å이고 벌크 코발트 물질의 각각의 부분이 50 Å/주기로 증착된다면, 6회 주기들(50 Å의 증착(작동(570)) 및 후속되는 플라즈마 처리(작동(530)))이 사용된다.
도 6은, 본 개시내용의 하나 이상의 구현에 따른, 코발트 층을 반도체 디바이스에 형성하기 위한 처리 순서(600)의 다른 흐름도를 도시한다. 처리 순서(600)는, 작동(640)에서 장벽 및/또는 라이너 층 상에 코발트 시드 층을 증착시키고 후속하여 작동(650)에서 코발트 시드 층을 루테늄 프로세스에 노출시킴으로써, 루테늄 도핑된 코발트 시드 층이 증착되는 것을 제외하고, 처리 순서(100)와 유사하다. 작동(610)에서, 기판에 형성된 피쳐 한정부를 갖는 기판이 제공된다. 작동(610)은 작동(110)과 유사하게 수행될 수 있다. 작동(620)에서, 장벽 및/또는 라이너 층이 피쳐 한정부에 증착된다. 작동(620)은 작동(120)과 유사하게 수행될 수 있다. 임의로, 작동(630)에서, 장벽 및/또는 라이너 층은 플라즈마 처리에 노출된다. 작동(630)은 작동(130)과 유사하게 수행될 수 있다.
작동(640)에서, 코발트 시드 층이 장벽 및/또는 라이너 층 상에 증착된다. 작동(640)은 작동(150)과 유사하게 수행될 수 있다. 작동(650)에서, 코발트 시드 층은 루테늄 프로세스에 노출된다. 일 구현에서, 작동(650)의 루테늄 프로세스는, 루테늄의 얇은 층을 코발트 시드 층 상에 증착시키는 단계, 코발트 시드 층 내로의 루테늄의 물리적 주입 단계, 및/또는 코발트 시드 층을 루테늄 함유 환경에 침지하는 단계 중 적어도 하나를 포함한다. 일 구현에서, 루테늄의 얇은 층을 코발트 시드 층 위에 증착시키기 위해 작동(650)은 작동(140)과 유사하게 수행된다. 일 구현에서, 작동(650)은 루테늄 PVD 프로세스이고, 이는 코발트 시드 층을 루테늄으로 도핑한다. 일 구현에서, 코발트 시드 층은, 코발트 시드 층을 루테늄으로 도핑하기 위해 침지 프로세스에서 루테늄 함유 환경에 노출된다.
일 구현에서, 다층 루테늄 및 코발트 적층 구조를 형성하기 위해, 루테늄의 얇은 층, 및 그에 후속된 코발트의 얇은 층을 주기적 프로세스로 증착시키도록, 원하는 횟수만큼 작동(640) 및 작동(650)이 반복된다.
임의로, 작동(660)에서 루테늄 도핑된 코발트 시드 층이 어닐링 프로세스에 노출된다. 작동(660)은 작동(160)과 유사하게 수행될 수 있다.
작동(670)에서, 벌크 코발트 물질이 루테늄 도핑된 코발트 시드 층 상에 증착된다. 벌크 코발트 물질은 임의의 적합한 증착 방법을 사용하여 증착될 수 있다. 일 구현에서, 벌크 코발트 물질은 작동(170)에서 설명된 바와 같이 PVD 코발트 리플로우 프로세스에 의해 증착된다. 다른 구현에서, 벌크 코발트 물질은 작동(470)에서 설명된 바와 같이 전기도금 프로세스에 의해 증착된다. 또 다른 구현에서, 벌크 코발트 물질은 작동(370)에서 설명된 바와 같이 코발트 CVD 프로세스에 의해 증착된다. 일부 구현들에서, 벌크 코발트 물질은 주기적 CVD 프로세스에 의해 증착된다. 일 구현에서, 주기적 CVD 프로세스는 벌크 코발트 물질의 미리 결정된 두께가 달성될 때까지 작동(660) 및 작동(670)을 반복하는 단계를 포함한다. 벌크 코발트 물질의 미리 결정된 두께가 달성되지 않았다면, 작동(670)의 어닐링 프로세스가 후속되는 작동(660)의 코발트 CVD 프로세스로부터 시작하는 추가적인 주기들이 수행된다. 예를 들어, 벌크 코발트 물질의 총 두께가 300 Å이고 벌크 코발트 물질의 각각의 부분이 50 Å/주기로 증착된다면, 6회 주기들(50 Å의 증착(작동(660)) 및 후속되는 어닐링(작동(670)))이 필요할 것이다.
다른 구현에서, 주기적 CVD 프로세스는, 벌크 코발트 물질의 미리 결정된 두께가 달성될 때까지, 작동(630)에서 설명된 바와 같이 플라즈마 처리 프로세스가 후속하는 작동(670)을 반복하는 단계를 포함한다. 벌크 코발트 물질의 미리 결정된 두께가 달성되지 않았다면, 작동(630)의 플라즈마 처리 프로세스가 후속되는 작동(670)의 코발트 CVD 프로세스로부터 시작하는 추가적인 주기들이 수행될 수 있다. 예를 들어, 벌크 코발트 물질의 총 두께가 300 Å이고 벌크 코발트 물질의 각각의 부분이 50 Å/주기로 증착된다면, 6회 주기들(50 Å의 증착(작동(670)) 및 후속되는 플라즈마 처리(작동(630)))이 사용된다.
본원에서 설명되는 방법들은, 독립형 구성으로 또는 클러스터 툴, 예를 들어, 도 7과 관련하여 아래에 설명되는 다중챔버 처리 시스템(700)(즉, 클러스터 툴)의 일부로서 제공될 수 있는 개별 프로세스 챔버들에서 수행될 수 있다. 다중챔버 처리 시스템(700)의 예들은 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 센츄라® 및 엔듀라® 통합 툴들을 포함한다. 그러나, 본원에 설명되는 방법들은, 결합된 적합한 프로세스 챔버들을 갖는 다른 클러스터 툴들을 사용하여, 또는 다른 적합한 프로세스 챔버들에서 실시될 수 있다. 예를 들어, 일부 구현들에서, 위에서 논의된 본 발명의 방법들은 유리하게, 처리 단계들 사이에 진공 파괴들이 제한되거나 없도록, 통합 툴에서 수행될 수 있다. 예를 들어, 감소된 진공 파괴들은 시드 층 또는 기판의 다른 부분들의 오염을 제한하거나 방지할 수 있다.
도 7은 본원에 개시된 바와 같은 금속 층 증착 프로세스를 수행하도록 적응될 수 있는 다중챔버 처리 시스템(700)의 개략적인 평면도이다. 시스템(700)은 작업물(200)를 시스템(700)의 안팎으로 이송하기 위한 하나 이상의 로드 록 챔버(702 및 704)를 포함할 수 있다. 일반적으로, 시스템(700)은 진공 하에서 유지되고, 로드 록 챔버들(702 및 704)은 시스템(700) 내에 도입되는 작업물(200)을 도입하기 위해 "펌프 다운"될 수 있다. 제1 로봇(710)은 로드 록 챔버들(702 및 704)과, 하나 이상의 기판 처리 챔버들(712, 714, 716, 및 718)의 제1 세트 간에 작업물(200)을 이송할 수 있다. 각각의 처리 챔버(712, 714, 716 및 718)는 기판 증착 프로세스, 예컨대, 주기적 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 식각, 탈가스, 사전 세정 배향, 어닐링, 및 다른 기판 프로세스들 중 적어도 하나이도록 구성된다. 게다가, 처리 챔버들(712, 714, 716, 및 718) 중 하나는 또한, 작업물(200) 상에 증착 프로세스 또는 열 어닐링 프로세스를 수행하기 전에 사전 세정 프로세스를 수행하도록 구성될 수 있다. 다른 처리 챔버들(712, 714, 716)에 대해 열 어닐링 프로세스를 수행하기 위해 활용되는 처리 챔버(718)의 위치는 예시를 위한 것이며, 처리 챔버(718)의 위치는, 원한다면, 처리 챔버들(712, 714, 716) 중 임의의 챔버와 임의로 바꿀 수 있다.
제1 로봇(710)은 또한, 하나 이상의 이송 챔버(722 및 724)로/로부터 작업물(200)을 이송할 수 있다. 이송 챔버들(722 및 724)은, 작업물(200)이 시스템(700) 내에서 이송되는 것을 허용하면서 초고 진공 조건들을 유지하는 데에 사용될 수 있다. 제2 로봇(730)은 이송 챔버들(722 및 724)과, 하나 이상의 처리 챔버(732, 734, 736, 및 738)의 제2 세트 간에 작업물(200)을 이송할 수 있다. 처리 챔버들(712, 714, 716, 및 718)과 유사하게, 처리 챔버들(732, 734, 736, 및 738)은, 예를 들어, 주기적 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 식각, 사전 세정, 탈가스, 및 배향에 추가하여, 본원에 설명된 건식 식각 프로세스들을 포함하는 다양한 기판 처리 작동들을 수행하도록 구비될 수 있다. 기판 처리 챔버들(712, 714, 716, 732, 734, 736, 및 738) 중 임의의 챔버는, 특정 프로세스가 시스템(700)에 의해 수행될 필요가 없다면, 시스템(700)으로부터 제거될 수 있다. 사전 세정, 증착 및/또는 열 어닐링 프로세스가 처리 챔버(718)에서 수행된 후에, 필요에 따라 다른 프로세스를 수행하기 위해, 기판은 시스템(700)의 처리 챔버들(712, 714, 716, 732, 734, 736, 및 738) 중 임의의 챔버로 더 이송될 수 있다.
마이크로프로세서 제어기(740)는 처리 챔버들(712, 714, 716, 718, 732, 734, 736, 및 738)의 직접 제어를 사용하여, 또는 대안적으로, 시스템(700) 및 처리 챔버들(712, 714, 716, 718, 732, 734, 736, 및 738)과 연관된 컴퓨터들(또는 제어기들)을 제어함으로써 시스템(700)의 작동을 제어한다. 작동 시에, 마이크로프로세서 제어기(740)는, 시스템(700)의 성능을 최적화하기 위해, 각각의 챔버들 및 시스템들로부터의 데이터 수집 및 피드백을 가능하게 한다. 마이크로프로세서 제어기(740)는 일반적으로, 중앙 처리 유닛(CPU), 메모리 및 지원 회로를 포함한다. CPU는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 지원 회로는 CPU에 통상적으로 결합되고, 캐시, 클럭 회로들, 입력/출력 하위시스템들, 전력 공급부들 등을 포함할 수 있다. 소프트웨어 루틴들, 예컨대, 위에서 설명된 바와 같은 방법은 메모리에 저장될 수 있고, CPU에 의해 실행될 때, CPU를 특정 목적 컴퓨터(마이크로프로세서 제어기)(740)로 변환한다. 소프트웨어 루틴들은 또한, 시스템(700)으로부터 원격에 위치되는 제2 제어기(도시되지 않음)에 의해 저장되고/거나 실행될 수 있다.
요약하면, 본 개시내용의 일부 구현들의 이점들의 일부는, 코발트 물질이 보이드들, 이음매들, 및 다른 결함들 없이 증착되도록, 피쳐 한정부를 코발트 물질로 충전하기 위한 방법들을 제공한다. 본원에 설명된 개선된 방법들은 고 종횡비 피쳐들을 충전하는 데에 특히 유리하다. 본원에 설명된 구현들은 더 높은 처리 온도들에서 감소된 응집을 갖는 코발트 막들을 제공한다. 본 발명자들은, 코발트 층의 증착 이전에, 동안에, 그리고/또는 증착 이후에 루테늄 물질의 얇은 층을 증착시키는 것이 응집을 감소시킨다는 것을 발견했다. 응집의 이러한 감소는 증착된 코발트의 어닐링을 허용하고, 이는 증착된 코발트로부터 불순물들을 제거하며, 감소된 저항으로 이어진다.
여러 구현들을 개시하였지만, 개시된 구현들의 사상으로부터 벗어나지 않고 다양한 수정들, 대안적인 구성들, 및 등가물들이 사용될 수 있음을 관련 기술분야의 통상의 기술자들이 인식할 것이다. 추가적으로, 본 개시내용을 불필요하게 모호하게 하는 것을 회피하기 위해, 다수의 잘 알려진 프로세스들 및 요소들은 설명되지 않았다. 이에 따라, 위의 설명은 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다.
본 개시내용 또는 예시적인 양상들 또는 그의 구현(들)의 요소들을 도입할 때, 단수 형태 및 "상기"는 요소들 중 하나 이상이 존재한다는 것을 의미하도록 의도된다.
"포함" 및 "갖는"이라는 용어들은 포괄적인 것으로 의도되고, 나열된 요소들 이외의 추가적인 요소들이 존재할 수 있다는 것을 의미한다.
본원에서 사용되는 바와 같은 "침지" 또는 "침지 프로세스"는 기판의 표면을 활성화하기 위해 처리 챔버의 반응 영역 내에 도입되는 다량의 특정 화합물을 지칭하도록 의도된다. 표면의 활성화는 표면을 수화시키는 것, 표면에 촉매 작용하는 것, 또는 할로겐화물 종결된 표면들을 형성하는 것을 포함할 수 있다. 특정 침지 프로세스는 단일 화합물 또는 2종 이상의 화합물들의 혼합물/조합을 포함할 수 있다. 침지 프로세스들은 일반적으로, 약 1 초 이상의 지속기간들을 갖는다.
전술한 내용은 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 코발트 층을 기판 상에 형성하는 방법으로서,
    루테늄 함유 층을 기판의 제1 표면 상에 그리고 피쳐 한정부의 측벽 및 바닥 표면 상에 형성하기 위해, 상기 기판의 상기 제1 표면에 형성된 상기 피쳐 한정부를 갖는 상기 기판을 루테늄 전구체에 노출시키는 단계; 및
    상기 루테늄 함유 층의 최상부에 코발트 시드 층을 형성하기 위해 상기 기판을 코발트 전구체에 노출시키는 단계를 포함하는, 코발트 층을 기판 상에 형성하는 방법.
  2. 제1항에 있어서,
    상기 루테늄 함유 층은 불연속 층인, 코발트 층을 기판 상에 형성하는 방법.
  3. 제1항에 있어서,
    상기 루테늄 함유 층은 약 1 옹스트롬 내지 약 20 옹스트롬의 두께를 갖는, 코발트 층을 기판 상에 형성하는 방법.
  4. 제3항에 있어서,
    상기 코발트 시드 층은 약 1 옹스트롬 내지 약 200 옹스트롬의 두께를 갖는, 코발트 층을 기판 상에 형성하는 방법.
  5. 제1항에 있어서,
    다층 루테늄 및 코발트 구조를 형성하기 위해 상기 기판을 루테늄 전구체에 노출시키는 단계 및 상기 기판을 노출시키는 단계를 반복하는 단계를 더 포함하는, 코발트 층을 기판 상에 형성하는 방법.
  6. 제1항에 있어서,
    상기 기판을 코발트 전구체에 노출시키는 단계는 섭씨 150 도 초과의 온도에서 수행되는, 코발트 층을 기판 상에 형성하는 방법.
  7. 제1항에 있어서,
    상기 루테늄 전구체와 함께 수소 함유 분위기에 상기 기판을 노출시키는 단계를 더 포함하는, 코발트 층을 기판 상에 형성하는 방법.
  8. 제1항에 있어서,
    상기 코발트 전구체는 코발트 카르보닐 착물들, 코발트 아미디네이트 화합물들, 코발토센 화합물들, 코발트 디에닐 착물들, 코발트 니트로실 착물들, 디코발트 헥사카르보닐 아세틸 화합물들, 시클로펜타디에닐 코발트 비스(카르보닐) (CpCo(CO)2), 트리카르보닐 알릴 코발트 ((CO)3Co(CH2CH〓CH2)), 또는 이들의 유도체들, 이들의 착물들, 또는 이들의 조합들 중 하나 이상인, 코발트 층을 기판 상에 형성하는 방법.
  9. 제1항에 있어서,
    상기 루테늄 전구체는 메틸-시클로헥사디엔 루테늄 트리카르보닐시클로헥사디엔, 루테늄 트리카르보닐, 부타디엔 루테늄 트리카르보닐, 디메틸 부타디엔 루테늄 트리카르보닐, 또는 Ru(CO)3으로 개질된 디엔들 중 하나 이상인, 코발트 층을 기판 상에 형성하는 방법.
  10. 제1항에 있어서,
    상기 기판을 섭씨 약 100 도 내지 섭씨 약 900 도의 온도에서 수행되는 수소 어닐링 프로세스에 노출시키는 단계를 더 포함하는, 코발트 층을 기판 상에 형성하는 방법.
  11. 제10항에 있어서,
    코발트 시드 층을 형성하기 위해 상기 기판을 코발트 전구체에 노출시키는 단계, 및 상기 코발트 시드 층의 미리 결정된 두께가 달성될 때까지 상기 기판을 수소 어닐링 프로세스에 노출시키는 단계를 반복하는 단계를 더 포함하는, 코발트 층을 기판 상에 형성하는 방법.
  12. 제1항에 있어서,
    코발트 벌크 층을 상기 코발트 시드 층 위에 증착시키는 단계를 더 포함하고, 상기 코발트 벌크 층은 화학 기상 증착 프로세스, 물리 기상 증착 프로세스, 또는 전기도금 프로세스에 의해 증착되는, 코발트 층을 기판 상에 형성하는 방법.
  13. 코발트 층을 기판 상에 형성하는 방법으로서,
    기판의 제1 표면에 형성된 피쳐 한정부를 갖는 상기 기판 상에 장벽 및/또는 라이너 층을 형성하는 단계 ― 상기 장벽 및/또는 라이너 층은 상기 피쳐 한정부의 측벽 및 바닥 표면 상에 형성됨 ―;
    루테늄 함유 층을 상기 장벽 및/또는 라이너 층 상에 형성하기 위해 상기 기판을 루테늄 전구체에 노출시키는 단계;
    상기 루테늄 함유 층의 최상부에 코발트 시드 층을 형성하기 위해 상기 기판을 코발트 전구체에 노출시키는 단계; 및
    상기 피쳐 한정부를 충전하기 위해 상기 코발트 시드 층 상에 벌크 코발트 층을 형성하는 단계를 포함하는, 코발트 층을 기판 상에 형성하는 방법.
  14. 제13항에 있어서,
    상기 기판을 상기 루테늄 전구체에 노출시키기 전에 상기 장벽 및/또는 라이너 층을 플라즈마 처리 프로세스에 노출시키는 단계를 더 포함하는, 코발트 층을 기판 상에 형성하는 방법.
  15. 제13항에 있어서,
    상기 코발트 시드 층을 수소 함유 분위기에서 섭씨 약 100 도 내지 섭씨 약 900 도의 온도로 어닐링하는 단계를 더 포함하는, 코발트 층을 기판 상에 형성하는 방법.
KR1020197024595A 2017-01-24 2018-01-18 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능 KR102470903B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217038010A KR102565626B1 (ko) 2017-01-24 2018-01-18 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762449791P 2017-01-24 2017-01-24
US62/449,791 2017-01-24
PCT/US2018/014210 WO2018140282A1 (en) 2017-01-24 2018-01-18 Enhanced cobalt agglomeration resistance and gap-fill performance by ruthenium doping

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217038010A Division KR102565626B1 (ko) 2017-01-24 2018-01-18 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능

Publications (2)

Publication Number Publication Date
KR20190101497A true KR20190101497A (ko) 2019-08-30
KR102470903B1 KR102470903B1 (ko) 2022-11-28

Family

ID=62906567

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197024595A KR102470903B1 (ko) 2017-01-24 2018-01-18 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능
KR1020217038010A KR102565626B1 (ko) 2017-01-24 2018-01-18 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020217038010A KR102565626B1 (ko) 2017-01-24 2018-01-18 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능

Country Status (7)

Country Link
US (2) US10410918B2 (ko)
EP (1) EP3574125B1 (ko)
JP (1) JP6980020B2 (ko)
KR (2) KR102470903B1 (ko)
CN (1) CN110214200B (ko)
TW (2) TWI758398B (ko)
WO (1) WO2018140282A1 (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10867848B2 (en) 2018-04-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11421318B2 (en) * 2018-05-04 2022-08-23 Applied Materials, Inc. Methods and apparatus for high reflectivity aluminum layers
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP7182970B2 (ja) * 2018-09-20 2022-12-05 東京エレクトロン株式会社 埋め込み方法及び処理システム
US11424132B2 (en) * 2018-11-03 2022-08-23 Applied Materials, Inc. Methods and apparatus for controlling contact resistance in cobalt-titanium structures
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US20200373200A1 (en) 2019-05-24 2020-11-26 Applied Materials, Inc. Metal based hydrogen barrier
US11152258B2 (en) * 2019-09-17 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect in a semiconductor device
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
DE102020127319A1 (de) * 2019-12-27 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Zweischichtauskleidung für metallisierung
US11854878B2 (en) 2019-12-27 2023-12-26 Taiwan Semiconductor Manufacturing Ltd. Bi-layer alloy liner for interconnect metallization and methods of forming the same
US11158538B2 (en) 2020-02-04 2021-10-26 International Business Machines Corporation Interconnect structures with cobalt-infused ruthenium liner and a cobalt cap
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11764157B2 (en) 2020-07-23 2023-09-19 Applied Materials, Inc. Ruthenium liner and cap for back-end-of-line applications
TWI789848B (zh) * 2020-08-04 2023-01-11 嶺南大學校產學協力團 釕薄膜之形成方法
US11527437B2 (en) * 2020-09-15 2022-12-13 Applied Materials, Inc. Methods and apparatus for intermixing layer for enhanced metal reflow
CN112201618A (zh) * 2020-09-30 2021-01-08 上海华力集成电路制造有限公司 一种优化衬垫层质量的方法
CN113013091A (zh) * 2021-02-22 2021-06-22 上海华力集成电路制造有限公司 金属互连结构的制造方法
US11742290B2 (en) 2021-03-10 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150203961A1 (en) * 2014-01-21 2015-07-23 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
JP2016046532A (ja) * 2014-08-21 2016-04-04 ラム リサーチ コーポレーションLam Research Corporation コバルトの間隙をボイドなしで充填する方法及び装置
KR20160063378A (ko) * 2013-09-27 2016-06-03 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US7666781B2 (en) * 2006-11-22 2010-02-23 International Business Machines Corporation Interconnect structures with improved electromigration resistance and methods for forming such interconnect structures
JP5234718B2 (ja) * 2007-03-26 2013-07-10 株式会社アルバック 半導体装置の製造方法
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US8242600B2 (en) 2009-05-19 2012-08-14 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
US20120141667A1 (en) 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US20120161320A1 (en) 2010-12-23 2012-06-28 Akolkar Rohan N Cobalt metal barrier layers
US8524600B2 (en) * 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8435887B2 (en) * 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9514983B2 (en) * 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9190321B2 (en) * 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9768060B2 (en) * 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US10043709B2 (en) 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US10002834B2 (en) 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
US9679810B1 (en) * 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
US10115670B2 (en) * 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US20180144973A1 (en) 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160063378A (ko) * 2013-09-27 2016-06-03 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
US20150203961A1 (en) * 2014-01-21 2015-07-23 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
JP2016046532A (ja) * 2014-08-21 2016-04-04 ラム リサーチ コーポレーションLam Research Corporation コバルトの間隙をボイドなしで充填する方法及び装置

Also Published As

Publication number Publication date
TWI758398B (zh) 2022-03-21
TWI809712B (zh) 2023-07-21
CN110214200B (zh) 2021-12-10
CN110214200A (zh) 2019-09-06
EP3574125B1 (en) 2021-11-17
US20200235006A1 (en) 2020-07-23
KR102470903B1 (ko) 2022-11-28
JP6980020B2 (ja) 2021-12-15
EP3574125A4 (en) 2020-10-21
US11043415B2 (en) 2021-06-22
US10410918B2 (en) 2019-09-10
WO2018140282A1 (en) 2018-08-02
KR102565626B1 (ko) 2023-08-10
KR20210146431A (ko) 2021-12-03
JP2020506540A (ja) 2020-02-27
TW201842219A (zh) 2018-12-01
EP3574125A1 (en) 2019-12-04
TW202231900A (zh) 2022-08-16
US20180211872A1 (en) 2018-07-26

Similar Documents

Publication Publication Date Title
US11043415B2 (en) Enhanced cobalt agglomeration resistance and gap-fill performance by ruthenium doping
JP6962955B2 (ja) シームレスのコバルト間隙充填を可能にする方法
JP6488284B2 (ja) シームレスのコバルト間隙充填を可能にする方法
TWI520268B (zh) 高溫鎢金屬化製程
KR102189781B1 (ko) 망간 및 망간 니트라이드들의 증착 방법들
US9659814B2 (en) Doping control of metal nitride films
TW201330175A (zh) 用於銅阻障層應用之摻雜的氮化鉭
KR20220116263A (ko) 금속 배리어 층들의 도핑
TWI609095B (zh) 用於氮化錳整合之方法
US20240006235A1 (en) Composite barrier layers
US20230070489A1 (en) Doped tantalum-containing barrier films

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2021101002957; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20211122

Effective date: 20220927

GRNO Decision to grant (after opposition)
GRNT Written decision to grant