KR20220114060A - 금속 막들의 증착 - Google Patents

금속 막들의 증착 Download PDF

Info

Publication number
KR20220114060A
KR20220114060A KR1020227024201A KR20227024201A KR20220114060A KR 20220114060 A KR20220114060 A KR 20220114060A KR 1020227024201 A KR1020227024201 A KR 1020227024201A KR 20227024201 A KR20227024201 A KR 20227024201A KR 20220114060 A KR20220114060 A KR 20220114060A
Authority
KR
South Korea
Prior art keywords
substrate
formula
organometallic precursor
hydrogen
metallic material
Prior art date
Application number
KR1020227024201A
Other languages
English (en)
Inventor
병훈 윤
웨이 레이
상호 유
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220114060A publication Critical patent/KR20220114060A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

표면들 상에 선택적으로 증착하기 위한 방법들이 개시된다. 본 개시내용의 일부 실시예들은, 할로겐이 실질적으로 존재하지 않고 산소가 실질적으로 존재하지 않는 유기금속성 전구체를 사용한다. 증착은 금속성 표면에 비해 비-금속성 표면 상에 금속 막을 선택적으로 증착하기 위해 수행된다. 본 개시내용의 일부 실시예들은 갭 충전 방법들에 관한 것이다.

Description

금속 막들의 증착
[0001] 본 개시내용의 실시예들은 일반적으로, 금속 막을 증착하는 방법들에 관한 것이다. 더욱 구체적으로, 본 개시내용의 실시예들은 산소-부재 및 할로겐화물-부재인 전구체들을 사용하여 금속 막을 증착하는 것을 개선하는 방법들에 관한 것이다.
[0002] 집적 회로들은 기판 표면들 상에 복잡하게 패턴화된 재료 층들을 생성하는 프로세스들에 의해 가능하게 제조된다. 기판 상에 패턴화된 재료를 생성하는 것은 원하는 재료들의 증착을 위한 제어된 방법들을 필요로 한다. 상이한 표면에 비해 하나의 표면 상에 막을 선택적으로 증착하는 것은 패턴화 및 다른 적용들에 유용하다.
[0003] 집적 회로들의 제조에서, 하부 반도체 재료에 저 저항 콘택트들을 제공하기 위해 콘택트 레벨 금속화 방식들이 종종 이용된다. 통상적으로, 콘택트 레벨 금속화 방식들은 배리어 층을 콘택트 레벨 금속 층과 결합시킨다.
[0004] 예를 들어, 코발트, 텅스텐, 또는 구리를 사용하는 멀티레벨 인터커넥트들을 형성하는 데 사용되는 콘택트들, 비아들, 라인들, 및 다른 피처들을 포함하는 고종횡비 애퍼처들은, 제조업체들이 회로 밀도 및 품질을 증가시키려고 노력함에 따라, 사이즈가 계속 감소되고 있다. 금속 콘택트 구조가 제조될 때, 하부 반도체 재료(예를 들어, 폴리실리콘)와 콘택트 레벨 금속 층(예를 들어, 텅스텐(W), 알루미늄(Al) 또는 구리(Cu)) 사이에 배리어 층(예를 들어, 티탄 질화물(TiN) 또는 바나듐 질화물(VN))이 형성된다. 배리어 층은 하부 반도체 재료 내로의 텅스텐, 알루미늄 또는 구리의 확산을 억제한다. 이러한 텅스텐, 알루미늄 또는 구리 확산은, 그것이 잠재적으로 콘택트의 특성들을 변화시키기 때문에 바람직하지 않다.
[0005] 집적 회로들의 회로 밀도들이 증가함에 따라, 비아들, 라인들 및 콘택트들의 폭들은 서브-마이크론 치수들(예를 들어, 약 0.2 마이크로미터 미만)로 감소될 수 있는 반면, 이러한 구조들 사이의 유전체 재료 층들의 두께는 통상적으로 비교적 일정하게 유지된다. 이는 이러한 피처들에 대한 종횡비를 증가시킨다. 많은 전통적인 증착 프로세스들(예를 들어, 화학 기상 증착(CVD; chemical vapor deposition) 및 물리 기상 증착(PVD; physical vapor deposition))은 종횡비가 6:1을 초과하는, 그리고 특히 종횡비가 10:1을 초과하는 서브-마이크론 구조들을 충전하는 데 유용하지 않다.
[0006] 따라서, 고종횡비 개구들에 금속 막들, 예를 들어, 티탄 질화물(TiN) 막들을 증착하는 방법이 필요하다.
[0007] 본 개시내용의 하나 이상의 실시예들은 프로세싱 방법들에 관한 것이다. 프로세싱 방법은 증착 프로세스 동안 프로세싱 챔버 내에서 기판의 제1 표면과 상이한 재료인 제2 표면에 비해 선택적으로 기판의 제1 표면 상에 금속 막을 증착하는 단계를 포함하며, 증착 프로세스는 프로세싱 챔버 내로 유기금속성 전구체 및 환원 공반응물 전구체를 동시-유동시키는 것을 포함하며, 유기금속성 전구체에는 할로겐이 실질적으로 존재하지 않고, 산소가 실질적으로 존재하지 않는다.
[0008] 본 개시내용의 추가적인 실시예들은 선택적 증착 방법들에 관한 것이다. 하나 이상의 실시예들에서, 선택적 증착 방법은, 제1 표면을 갖는 금속성 재료 및 제2 표면을 갖는 비-금속성 재료를 포함하는 기판을 블로킹 화합물에 노출시켜, 제2 표면에 비해 제1 표면 상에 블로킹 층을 선택적으로 형성하는 단계; 및 기판을 유기금속성 전구체 및 반응물에 순차적으로 노출시켜 제1 표면 상의 블로킹 층에 비해 제2 표면 상에 막을 형성하는 단계 ― 유기금속성 전구체에는 할로겐이 실질적으로 존재하지 않고 산소가 실질적으로 존재하지 않음 ―; 및 제1 표면으로부터 블로킹 층을 제거하는 단계를 포함한다.
[0009] 본 개시내용의 추가적인 실시예들은 금속 비아들을 형성하는 방법들에 관한 것이다. 하나 이상의 실시예들에서, 금속 비아들을 형성하는 방법은 적어도 하나의 피처가 내부에 형성된 기판 표면을 갖는 기판을 제공하는 단계 ― 적어도 하나의 피처는 측벽 및 최하부를 가지며, 측벽은 비-금속성 재료 표면을 포함하며, 최하부는 금속성 재료 표면을 포함함 ―; 비-금속성 재료 표면에 비해 금속성 재료 표면 상에 블로킹 층을 선택적으로 형성하기 위해 기판을 블로킹 화합물에 노출시키는 단계; 금속성 재료 표면 상의 블로킹 층에 비해 비-금속성 재료 표면 상에 막을 형성하기 위해 기판을 유기금속성 전구체 및 반응물에 순차적으로 노출시키는 단계 ― 유기금속성 전구체에는 할로겐이 실질적으로 존재하지 않고 산소가 실질적으로 존재하지 않음 ―; 선택적으로, 금속성 재료 표면으로부터 블로킹 층을 제거하는 단계; 및 저-저항 금속 비아를 형성하기 위해, 적어도 하나의 피처 내에 전도성 충전 재료를 증착하는 단계를 포함한다.
[0010] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1a는 본 개시내용의 하나 이상의 실시예들에 따른 기판의 부분 단면도를 예시한다.
[0012] 도 1b는 본 개시내용의 하나 이상의 실시예들에 따른 기판의 부분 단면도를 예시한다.
[0013] 도 1c는 본 개시내용의 하나 이상의 실시예들에 따른 기판의 부분 단면도를 예시한다.
[0014] 도 1d는 본 개시내용의 하나 이상의 실시예들에 따른 기판의 부분 단면도를 예시한다.
[0015] 도 2a는 본 개시내용의 하나 이상의 실시예들에 따른 기판의 부분 단면도를 예시한다.
[0016] 도 2b는 본 개시내용의 하나 이상의 실시예들에 따른 기판의 부분 단면도를 예시한다.
[0017] 도 2c는 본 개시내용의 하나 이상의 실시예들에 따른 기판의 부분 단면도를 예시한다.
[0018] 도 2d는 본 개시내용의 하나 이상의 실시예들에 따른 기판의 부분 단면도를 예시한다.
[0019] 도 2e는 본 개시내용의 하나 이상의 실시예들에 따른 기판의 부분 단면도를 예시한다.
[0020] 도 3a는 본 개시내용의 하나 이상의 실시예들에 따른 기판의 부분 단면도를 예시한다.
[0021] 도 3b는 본 개시내용의 하나 이상의 실시예들에 따른 기판의 부분 단면도를 예시한다.
[0022] 도 3c는 본 개시내용의 하나 이상의 실시예들에 따른 기판의 부분 단면도를 예시한다.
[0023] 도 4는 본 개시내용의 하나 이상의 실시예들에 따른 프로세스 흐름도를 도시한다.
[0024] 도 5는 본 개시내용의 하나 이상의 실시예들에 따른 프로세스 흐름도를 도시한다.
[0025] 도 6은 본 개시내용의 하나 이상의 실시예들에 따른 프로세싱 플랫폼의 개략도를 예시한다.
[0026] 본 개시내용의 몇몇 예시적인 실시예들을 설명하기 전에, 본 개시내용은 다음의 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는 것으로 이해되어야 한다. 본 개시내용은 다른 구현예들이 가능하고, 다양한 방식들로 실시되거나 수행될 수 있다.
[0027] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 용어 "기판"은 프로세스가 그 위에서 작용하는 표면 또는 표면의 일부를 지칭한다. 또한, 문맥상 명확하게 달리 표시되지 않는 한, 기판에 대한 언급이 기판의 일부만을 또한 지칭할 수 있다는 것이 당업자에 의해 이해될 것이다. 추가적으로, 기판 상에 증착하는 것에 대한 언급은 베어(bare) 기판, 및 하나 이상의 막들 또는 피처들이 그 위에 증착 또는 형성된 기판 둘 모두를 의미할 수 있다.
[0028] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 용어 "기판" 및 "웨이퍼"는 상호교환 가능하게 사용되며, 둘 모두는 프로세스가 그 위에서 작용하는 표면 또는 표면의 일부를 지칭한다. 또한, 문맥상 명확하게 달리 표시되지 않는 한, 기판에 대한 언급이 기판의 일부만을 또한 지칭할 수 있다는 것이 당업자에 의해 이해될 것이다. 추가적으로, 기판 상에 증착하는 것에 대한 언급은 베어 기판, 및 하나 이상의 막들 또는 피처들이 그 위에 증착 또는 형성된 기판 둘 모두를 의미할 수 있다.
[0029] 본원에서 사용되는 "기판"은, 제작 프로세스 동안 막 프로세싱이 그 위에 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예를 들어, 프로세싱이 그 위에서 수행될 수 있는 기판 표면은 적용에 따라, 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), 실리콘 온 인슐레이터(silicon on insulator; SOI), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소화물, 유리, 사파이어와 같은 재료들, 및 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들과 같은 임의의 다른 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함하지만, 이로 제한되지 않는다. 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링, UV 경화, e-빔 경화, 및/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판 자체의 표면 상에서의 직접적인 막 프로세싱 이외에, 본 개시내용에서, 개시된 임의의 막 프로세싱 단계들은 또한 하기에 보다 상세히 개시되는 바와 같이 기판 상에 형성된 하부층 상에서 수행될 수 있으며, 용어 "기판 표면"은 문맥에서 지시하는 바와 같은 이러한 하부층을 포함하는 것으로 의도된다. 따라서, 예를 들어, 막/층 또는 부분 막/층이 기판 표면 상에 증착된 경우, 새로이 증착된 막/층의 노출된 표면은 기판 표면이 된다.
[0030] 본 명세서 및 첨부된 청구항들에서 사용되는 용어들 "반응성 가스", "전구체", "반응물" 등은, 기판 표면과 반응성인 종을 포함하는 가스를 의미하도록 상호교환 가능하게 사용된다.
[0031] 플라즈마-강화 화학 기상 증착(PECVD)을 포함하는 화학 기상 증착(CVD) 프로세스들은 원자 층 증착(ALD)과 상이하다. ALD 프로세스는, 이원(또는 더 높은 차수의) 반응을 사용하여 재료의 단일 층을 증착하는 자기-제한 프로세스(self-limiting process)이다. 프로세스는 기판 표면 상의 모든 이용가능한 활성 사이트들이 반응될 때까지 계속된다. CVD 프로세스는 자기-제한적이지 않으며, 막은 임의의 사전 결정된 두께까지 성장될 수 있다. PECVD는 더 많은 반응성 라디칼들을 생성하기 위해 플라즈마 상태의 에너지의 사용에 의존한다.
[0032] 하나 이상의 실시예들은 역 선택적 증착 프로세스들에서 사용하기 위한, 할로겐 리간드 및 산소 모이어티를 갖지 않는 유기금속성 전구체들을 제공한다. 더욱 구체적으로, 하나 이상의 실시예들은 티탄 질화물(TiN) 막들의 역 증착에 사용되는 할로겐 및 산소 모이어티를 갖지 않는 유기금속성 전구체들을 제공한다. 하나 이상의 실시예들에서, 티탄 종의 강 루이스 산(Ti4+)은 η3, η5, 또는 η6 리간드들을 갖는 알킬아민들의 약 루이스 염기와 쌍을 이룬다. 본 개시내용의 실시예들은 금속성 재료 표면 상에 배리어 층을 선택적으로 형성하기 위한 방법들을 제공한다. 본 개시내용의 일부 실시예들은, 금속성 재료 표면에 비해 비-금속성 재료 표면 상에 막을 선택적으로 증착하기 위한 방법들을 제공한다.
[0033] 본원에서 사용되는 용어 "할로겐"은, 플루오르화물, 염화물, 브롬화물, 요오드화물, 또는 아스타타이드 화합물을 제조하기 위해, 하나의 부분이 할로겐 원자이고 다른 부분이 할로겐보다 덜 전기음성인 원소 또는 라디칼인 이원상(binary phase)을 지칭한다. 할로겐화물 이온은 음전하를 갖는 할로겐 원자이다. 당업자에게 공지된 바와 같이, 할로겐화물 음이온은 플루오르화물(F-), 염화물(Cl-), 브롬화물(Br-), 요오드화물(I-), 및 아스타타이드(At-)를 포함한다. 하나 이상의 실시예들에서, 유기금속성 전구체에는 할로겐 및/또는 할로겐화물이 실질적으로 존재하지 않는다. 본원에서 사용되는 용어 "실질적으로 존재하지 않는"은 유기금속성 전구체에 4% 미만, 3% 미만, 2% 미만, 1% 미만, 및 0.5% 미만을 포함하여 5% 미만의 할로겐 또는 할로겐화물이 존재한다는 것을 의미한다.
[0034] 하나 이상의 실시예들에서, 유기금속성 전구체에는 산소가 실질적으로 존재하지 않는다. 본원에서 사용되는 용어 "실질적으로 존재하지 않는"은 유기금속성 전구체에 4% 미만, 3% 미만, 2% 미만, 1% 미만, 및 0.5% 미만을 포함하여 5% 미만의 산소가 존재한다는 것을 의미한다.
[0035] 본 개시내용의 일부 구현예들은 유리하게는 유전체 표면들에 비해 금속성 표면들 상에 고순도의 금속 막들의 선택적 증착을 제공한다. 예를 들어, 유전체들에 비해 구리 상에 금속(예를 들어, 티탄)을 선택적으로 증착하는 것은 추가적인 에칭 또는 리소그래피 단계들 없이 구리 캡핑 층들을 유리하게 제공한다. 추가적으로, 선택적 증착은 또한, 최하부 및 유전체 측벽들에서 금속 콘택트들을 갖는 피처들(예를 들어, 트렌치들, 비아들)에 대한 상향식 갭충전을 가능하게 할 수 있다.
[0036] 본 개시내용의 일부 실시예들은 유리하게, 금속성 표면들에 비해 유전체 표면들 상에서의 고순도를 갖는 금속 막들의 선택적 증착을 제공한다. 예를 들어, 유전체들에 비해 금속들을 선택적으로 증착하는 것은 백 엔드 적용들(back end application)에서 배리어들 또는 다른 유전체들 상에 금속 층들을 유리하게 제공한다.
[0037] 본원에서 사용되는 어구 "금속성 재료 표면" 또는 "비-금속성 재료 표면"은 각각, 금속성 또는 비-금속성 재료의 표면을 지칭한다. 일부 실시예들에서, 비-금속성 재료는 유전체 재료이다.
[0038] 본원에서 사용되는 용어 "제2 표면에 비해 제1 표면 상에 선택적으로 증착하는 것" 등은, 제1 표면 상에 제1 양 또는 두께가 증착되고 제2 표면 상에 제2 양 또는 두께가 증착되는 것을 의미하며, 여기서, 제2 양 또는 두께는 제1 양 또는 두께 미만이거나, 일부 실시예들에서, 제2 표면 상에 증착되는 양이 없다.
[0039] 본원에서 사용되는 용어 "비해(over)"는 다른 표면의 최상부 상에 하나의 표면의 물리적 배향을 시사하는 것이 아니라, 다른 표면에 비해 하나의 표면과의 화학 반응의 열역학적 또는 동역학적 특성들의 관계를 시사한다. 예를 들어, 유전체 표면에 비해 구리 표면 상에 코발트 막을 선택적으로 증착하는 것은 코발트 막이 구리 표면 상에 증착되며 코발트 막이 유전체 표면 상에 덜 증착되거나 전혀 증착되지 않거나; 구리 표면 상의 코발트 막의 형성이 유전체 표면 상의 코발트 막의 형성에 비해 열역학적으로 또는 동역학적으로 유리함을 의미한다.
[0040] 일부 실시예들에서, 용어 "선택적으로"는, 대상 재료가 선택되지 않은 표면 상의 형성률보다 약 2x, 3x, 4x, 5x, 7x, 10x, 15x, 20x, 25x, 30x, 35x, 40x, 45x 또는 50x 이상의 형성률로 선택된 표면 상에 형성되는 것을 의미한다. 달리 기술하면, 선택되지 않은 표면에 비해 선택된 표면에 대한 기술된 프로세스의 선택성은 약 2:1, 3:1, 4:1, 5:1, 7:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1, 40:1, 45:1 또는 50:1 이상이다.
[0041] 하나 이상의 실시예들의 유기금속성 전구체들은, 치환된 사이클로펜타디엔 유도체들과 같은(그러나 이로 제한되지는 않음) 알칸 탄화수소들을 갖는 알킬아민 리간드들로부터 합성된다. 예를 들어, 하나 이상의 실시예들에서, 유기금속성 전구체들은 하기 화학식 (I)의 구조를 갖는다:
[0042]
Figure pct00001
상기 식에서, R1, R2, R3, 및 R4는 독립적으로 수소(H) 및 알킬로부터 선택되며; R5, R6 및 R7은 독립적으로 알킬아민을 포함하며; n은 0 내지 8의 범위의 수이다.
[0043] 달리 명시하지 않는 한, 단독으로 또는 또 다른 기의 일부로서 본원에서 사용되는 용어 "저급 알킬", "알킬" 또는 "알크"는 메틸, 에틸, 프로필, 이소프로필, 부틸, t-부틸, 이소부틸, 펜틸, 헥실, 이소헥실, 헵틸, 4,4-디메틸펜틸, 옥틸, 2,2,4-트리메틸-펜틸, 노닐, 데실, 운데실, 도데실, 이들의 다양한 분지쇄 이성질체들 등과 같은, 노말 사슬(normal chain)에서 1 내지 20개의 탄소를 함유한 직쇄 및 분지쇄 탄화수소들 둘 모두를 포함한다. 이러한 기들은 최대 1 내지 4개의 치환체들을 선택적으로 포함할 수 있다.
[0044] 하나 이상의 실시예들에서, R1, R2, R3, R4는 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, 및 3차-부틸을 포함하지만, 이로 제한되지 않는다.
[0045] 달리 명시하지 않는 한, 단독으로 또는 다른 기의 일부로서 본원에서 사용되는 용어 "알킬아민"은 하나 이상의 알킬 기들을 함유하는 아민을 지칭한다.
[0046] 하나 이상의 실시예들에서, 알킬아민 리간드들은 디메틸아민, 디에틸아민, 디프로필아민, 및 디이소프로필아민으로부터 선택될 수 있다. 하나 이상의 실시예들에서, R5, R6, 및 R7은 독립적으로, -N(Me)2, -N(Et)3, -N(프로필)2, 및 N-(이소프로필)2로부터 선택되는 알킬아민을 포함한다.
[0047] 하나 이상의 실시예들에서, L2X 타입 리간드들로서, 포화 선형 탄화수소들, 예컨대, 치환된 사이클로펜타디에닐 리간드들(그러나 이로 제한되지 않음)은 산소-부재 및 비-할로겐화물 티탄 분자 착물들의 설계에 사용된다.
[0048] 다른 실시예들에서, 유기금속성 전구체들은, 하기 화학식 (a), 화학식 (b), 및 화학식 (c)로부터 선택되는 구아니디네이트 착물 구조를 갖는다:
[0049]
Figure pct00002
[0050] 상기 식에서, R1, R2, R3, 및 R4는 독립적으로 수소(H) 및 알킬로부터 선택되며; n은 0 내지 8의 범위의 수이다.
[0051] 하나 이상의 실시예들에서, R1, R2, R3, R4는 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, 및 3차-부틸을 포함하지만, 이로 제한되지 않는다.
[0052] 상기 화학식 (a), 화학식 (b) 및 화학식 (c)에서 디메틸아민이 도시되어 있지만, 당업자는, 디메틸아민 대신에 임의의 디알킬 아민이 사용될 수 있음을 인지할 것이다. 하나 이상의 실시예들에서, 알킬아민 리간드들은 디메틸아민, 디에틸아민, 디프로필아민, 및 디이소프로필아민으로부터 선택될 수 있다. 하나 이상의 실시예들에서, R5, R6, 및 R7은 독립적으로, -N(Me)2, -N(Et)3, -N(프로필)2, 및 N-(이소프로필)2로부터 선택되는 알킬아민을 포함한다.
[0053] 더 추가의 실시예들에서, 유기금속성 전구체들은, 하기 화학식 (d), 화학식 (e), 및 화학식 (f)로부터 선택될 수 있다:
[0054]
Figure pct00003
[0055]
Figure pct00004
[0056]
Figure pct00005
[0057] 화학식 (d), 화학식 (e), 및 화학식 (f)에서, R은 수소(H) 또는 -(CH2)n이며, 여기서, n은 0 내지 8이며, R1 및 R3은 수소 또는 알킬이며, X는 탄소(C) 또는 질소(N)이다. 하나 이상의 실시예들에서, R1 및 R3은 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, 및 3차-부틸을 포함하지만, 이로 제한되지 않는다.
[0058] 또 다른 실시예들에서, 유기금속성 전구체들은 하기 화학식들 중 하나 이상으로부터 선택된다:
[0059]
Figure pct00006
[0060] 상기 식에서, R1, R2, R3, 및 R4는 독립적으로 수소(H) 및 알킬로부터 선택된다. 하나 이상의 실시예들에서, R1, R2, R3, R4는 수소, 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, 및 3차-부틸을 포함하지만, 이로 제한되지 않는다.
[0061] 하나 이상의 실시예들에서, X 및 Y는 독립적으로 탄소(C) 또는 질소(N)로부터 선택되며, n은 0 내지 8의 범위의 수이다.
[0062] 하나 이상의 실시예들은 제2 표면에 비해 기판의 제1 표면 상에 배리어 층을 선택적으로 형성하는 방법들을 제공한다. 기판은 제1 표면을 갖는 금속성 재료 및 제2 표면을 갖는 비-금속성 재료를 포함한다. 일부 실시예들에서, 제1 표면은 금속성 재료 표면으로서 기술될 수 있으며, 제2 표면은 비-금속성 재료 표면으로서 기술될 수 있다. 일부 실시예들에서, 배리어 층은 금속 막을 포함한다. 하나 이상의 실시예들에서, 배리어 층은 티탄 질화물(TiN)을 포함한다.
[0063] 기판의 금속성 재료는 임의의 적합한 금속성 재료일 수 있다. 일부 실시예들에서, 본 개시내용의 금속성 재료들은 전도성 재료들이다. 적합한 금속성 재료들은 금속들, 금속 질화물들, 일부 금속 산화물들, 금속 합금들, 실리콘, 이들의 조합들 및 다른 전도성 재료들을 포함하지만, 이로 제한되지 않는다.
[0064] 일부 실시예들에서, 금속성 재료는 크롬(Cr), 망간(Mn), 철(Fe), 구리(Cu), 니켈(Ni), 코발트(Co), 텅스텐(W), 루테늄(Ru), 몰리브덴(Mo), 탄탈(Ta), 티탄(Ti), 바나듐(V), 또는 이들의 조합들을 포함한다. 일부 실시예들에서, 금속성 재료는 크롬(Cr), 망간(Mn), 철(Fe), 구리(Cu), 니켈(Ni), 코발트(Co), 텅스텐(W), 루테늄(Ru), 몰리브덴(Mo), 탄탈 질화물(TaN), 티탄 질화물(TiN), 또는 바나듐 질화물(VN)을 필수적 요소로 하여 구성된다. 본 명세서 및 첨부된 청구항들에서 사용되는 용어 "를 필수적 요소로 하여 구성되는"은 재료가 원자 기준으로 기술된 재료의 약 95%, 98% 또는 99% 이상임을 의미한다.
[0065] 기판의 비-금속성 재료는 임의의 적합한 재료일 수 있다. 일부 실시예들에서, 본 개시내용의 비-금속성 재료들은 유전체 재료들이다. 적합한 비-금속성 재료들은, 실리콘 산화물들(예를 들어, SiO2), 실리콘 질화물들, 실리콘 탄화물들, 및 이들의 조합들(예를 들어, SiCON)을 포함하지만, 이로 제한되지 않는다. 일부 실시예들에서, 비-금속성 재료는 실리콘 이산화물(SiO2)을 필수적 요소로 하여 구성된다. 일부 실시예들에서, 비-금속성 재료는 실리콘 질화물을 포함한다. 일부 실시예들에서, 비-금속성 재료는 실리콘 질화물을 필수적 요소로 하여 구성된다.
[0066] 본 개시내용의 실시예들은, 개선된 최하부 커버리지 및 고종횡비 피처들에서의 티탄 막들의 선택적 증착을 포함하여, 원하는 위치들에 티탄 층들을 제공하기 위한 프로세싱 방법들을 제공한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 다른 표면에 비해 하나의 표면 상에 막"의 선택적 증착" 및 막을 "선택적으로 증착하는 것" 등의 용어는 제1 양의 막이 제1 표면 상에 증착되며 제2 양의 막이 제2 표면 상에 증착되며, 여기서, 막의 제2 양은 막의 제1 양보다 적거나, 제2 표면 상에 막이 증착되지 않는 것을 의미한다. 이와 관련하여 사용되는 용어 "에 비해(over)"는 다른 표면의 최상부 상에 하나의 표면의 물리적 배향을 시사하는 것이 아니라, 다른 표면에 비해 하나의 표면과의 화학 반응의 열역학적 또는 동역학적 특성들의 관계를 시사한다. 예를 들어, 실리콘 이산화물(SiO2) 표면에 비해 실리콘(Si) 표면 상에 티탄 막을 선택적으로 증착하는 것은, Si 표면 상에 티탄 막이 증착되고 SiO2 표면 상에 더 적은 티탄 막이 증착되거나; Si 표면 상의 티탄 막의 형성이 SiO2 표면 상의 티탄 막의 형성에 비해 열역학적으로 또는 동역학적으로 유리함을 의미한다. 달리 기술하면, 막이 제2 표면에 비해 제1 표면 상에 선택적으로 증착될 수 있다는 것은, 제1 표면 상의 증착이 제2 표면 상의 증착에 비해 유리하다는 것을 의미한다.
[0067] 본 개시내용의 실시예들은, 플라즈마 강화 화학 기상 증착(PECVD)을 사용하여, 상이한 재료의 표면들에 비해 우선적으로 금속성 표면들 상에 금속 막을 증착하는 방법들에 관한 것이다. 일부 실시예들의 PECVD 프로세스는 유기금속성 전구체 및 공반응물에 기판 표면을 노출시키는 것을 포함한다. 하나 이상의 실시예들에서, 공반응물은 하나 이상의 종의 혼합물을 포함할 수 있다. 하나 이상의 실시예들에서, 공반응물 가스는 아르곤(Ar), 산소(O2), 수소(H2), 질소(N2), 수소/질소(H2/N2) 및 암모니아(NH3) 중 하나 이상을 포함한다.
[0068] 플라즈마 가스는, 점화되어 플라즈마를 형성할 수 있고/있거나 유기금속성 전구체에 대한 캐리어 또는 희석제로서 작용할 수 있는 임의의 적절한 가스일 수 있다. 하나 이상의 실시예들에서, 플라즈마 가스는 암모니아(NH3)를 포함하며, 암모니아는 유기금속성 전구체들 중 하나 이상을 활성화시키기 위해 플라즈마 처리에 사용된다.
[0069] 하나 이상의 실시예들에서, 플라즈마는 질소(N2), 아르곤(Ar), 헬륨(He), 수소(H2), 일산화탄소(CO), 산소(O2), 암모니아(NH3), 또는 이산화탄소(CO2) 중 하나 이상을 포함한다. 일부 실시예들에서, 플라즈마는 원격 플라즈마이다.
[0070] 하나 이상의 실시예들에서, 플라즈마는 원격으로 또는 프로세싱 챔버 내에서 생성될 수 있다.
[0071] 하나 이상의 실시예들에서, 증착 프로세스는, 약 0.1 mTorr, 약 1 mTorr, 약 10 mTorr, 약 100 mTorr, 약 500 mTorr, 약 1 Torr, 약 2 Torr, 약 3 Torr, 약 4 Torr, 약 5 Torr, 약 6 Torr, 약 7 Torr, 약 8 Torr, 약 9 Torr, 및 약 10 Torr의 압력을 포함하여, 0.1 mTorr 내지 10 Torr 범위의 압력들로 프로세스 볼륨에서 수행된다.
[0072] 전구체-함유 가스 혼합물은 헬륨(He), 아르곤(Ar), 크세논(Xe), 질소(N2), 또는 수소(H2)로부터 선택되는 희석 가스 중 하나 이상을 추가로 포함할 수 있다. 일부 실시예들의 희석 가스는 반응물들 및 기판 재료들에 대해 불활성 가스인 화합물을 포함한다.
[0073] 플라즈마(예를 들어, 용량성-결합 플라즈마)는 최상부 및 최하부 전극들 또는 측면 전극들로부터 형성될 수 있다. 전극들은 단일 전력 전극, 이중 전력 전극들, 또는 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 및 100 MHz와 같은(그러나 이로 제한되지는 않음) 다수의 주파수들을 갖는 더 많은 전극들로부터 형성될 수 있으며, 이는 유전체 박막을 증착하기 위해 본원에 나열된 반응물 가스들 중 임의의 것 또는 전부를 사용하여 CVD 시스템에서 교호적으로 또는 동시에 사용된다. 일부 실시예들에서, 플라즈마는 CCP(capacitively coupled plasma)이다. 일부 실시예들에서, 플라즈마는 ICP(inductively coupled plasma)이다. 일부 실시예들에서, 플라즈마는 마이크로파 플라즈마이다.
[0074] 하나 이상의 실시예들에서, 플라즈마는 유도성 결합 플라즈마(ICP) 또는 전도성 결합 플라즈마(CCP)이다. 예를 들어, 반응물들 또는 다른 프로세스 조건들에 따라, 임의의 적합한 전력이 사용될 수 있다. 일부 실시예들에서, 플라즈마는 약 10 W 내지 약 10 kW 범위의 플라즈마 전력으로 발생된다.
[0075] 도 1a 내지 도 1d를 참조하면, 예시적인 방법(100)은 제1 표면(108)을 갖는 금속성 재료(104) 및 제2 표면(110)을 갖는 비-금속성 재료(106)를 포함하는 기판(102)으로 시작된다. 일부 실시예들에서, 기판은 제2 표면(110)에 비해 제1 표면(108) 상에 블로킹 층(113)을 선택적으로 형성하기 위해 블로킹 화합물(예시되지 않음)에 노출된다. 일부 실시예들에서, 블로킹 층(113)의 표면은 블로킹된 제1 표면으로서 기술된다.
[0076] 일부 실시예들에서, 제1 표면(108)은 블로킹 화합물에 대한 노출 전에 세정된다. 제1 표면은, 수소 열적 어닐링, 에탄올 세정, 또는 플라즈마 수소 세정을 포함하지만 이로 제한되지 않는 임의의 적합한 방법에 의해 세정될 수 있다.
[0077] 블로킹 화합물이 사용되는 경우, 블로킹 화합물은 당업자에게 공지된 임의의 적합한 블로킹 화합물일 수 있다.
[0078] 일부 실시예들에서, 방법(100)은, 블로킹된 제1 표면(113)에 비해 제2 표면(110) 상에 막(112)을 증착하는 것으로 계속된다(도 1b 참조). 막(112)은 임의의 공지된 방법에 의해 증착될 수 있다.
[0079] 일부 실시예들에서, 막(112)은 원자 층 증착에 의해 증착된다. 본원에서 사용되는 "원자 층 증착(atomic layer deposition)" 또는 "순환 증착(cyclical deposition)"은 기판 표면 상에 재료 층을 증착하기 위해 2개 이상의 반응성 화합물들의 순차적인 노출을 지칭한다. 본 명세서 및 첨부된 청구항들에서 사용되는 용어들 "반응성 화합물", "반응성 가스", "반응성 종", "전구체", "프로세스 가스" 등은 표면 반응(예를 들어, 화학흡착, 산화, 환원)에서 기판 표면 또는 기판 표면 상의 재료와 반응할 수 있는 종을 갖는 물질을 의미하도록 상호교환 가능하게 사용된다. 기판, 또는 기판의 일부는 프로세싱 챔버의 반응 구역 내로 도입되는 2개 이상의 반응성 화합물들에 별도로 노출된다. 시간-도메인 ALD 프로세스에서, 각각의 반응성 화합물에 대한 노출은 시간 지연에 의해 분리되어 각 화합물을 기판 표면 상에 접착 및/또는 반응시키고 이후에 프로세싱 챔버로부터 퍼징될 수 있게 한다. 이러한 반응성 화합물들은 기판에 순차적으로 노출된다고 한다. 공간적 ALD 프로세스에서, 기판 표면의 상이한 부분들, 또는 기판 표면 상의 재료는 2개 이상의 반응성 화합물들에 동시에 노출되며, 이에 따라, 기판 상의 임의의 제공된 지점이 실질적으로 1개 초과의 반응성 화합물에 동시에 노출되지 않는다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 용어 "실질적으로"는 당업자에 의해 이해되는 바와 같이, 기판의 작은 부분이 확산으로 인해 다수의 반응성 가스들에 동시에 노출될 수 있는 가능성이 있고 동시 노출이 의도되지 않음을 의미한다.
[0080] 시간-도메인 ALD 프로세스의 일 양태에서, 제1 반응성 가스(즉, 제1 전구체 또는 화합물 A)는 반응 구역으로 펄싱되고, 제1 시간 지연이 뒤따른다. 다음으로, 제2 전구체 또는 화합물 B는 반응 구역으로 펄싱되고, 제2 지연이 뒤따른다. 각각의 시간 지연 동안, 아르곤과 같은 퍼지 가스는 프로세싱 챔버 내로 도입되어 반응 구역을 퍼징하거나, 그렇지 않으면 반응 구역으로부터 임의의 잔류 반응성 화합물 또는 반응 부산물들을 제거한다. 대안적으로, 퍼지 가스는 반응성 화합물들의 펄스들 사이의 시간 지연 동안 퍼지 가스만이 유동하도록 증착 프로세스 전반에 걸쳐 연속적으로 유동할 수 있다. 반응성 화합물들은 요망되는 막 또는 막 두께가 기판 표면 상에 형성될 때까지 교대로 펄싱된다. 어느 한 시나리오에서, 화합물 A, 퍼지 가스, 화합물 B 및 퍼지 가스를 펄싱하는 ALD 프로세스가 1 사이클이다. 사이클은 화합물 A 또는 화합물 B로 시작하고, 사전결정된 두께를 갖는 막을 달성할 때까지 사이클의 각각의 순서를 계속할 수 있다.
[0081] 공간적 ALD 프로세스의 실시예에서, 제1 반응성 가스 및 제2 반응성 가스(예를 들어, 금속 전구체 가스)는 반응 구역에 동시에 전달되지만, 불활성 가스 커튼 및/또는 진공 커튼에 의해 분리된다. 기판은 기판 상의 임의의 제공된 지점이 제1 반응성 가스 및 제2 반응성 가스에 노출되도록 가스 전달 장치에 대해 이동된다.
[0082] 본원에서 사용되는 "화학 기상 증착"은 기판 표면이 전구체들 및/또는 공동-시약들에 동시에 또는 실질적으로 동시에 노출되는 프로세스를 지칭한다. 본원에서 사용되는 "실질적으로 동시에"는 공동-유동(co-flow) 또는 전구체들의 대부분의 노출들에 대해 중첩(overlap)이 존재하는 것을 지칭한다.
[0083] 본 명세서 및 첨부된 청구항들에서 사용되는 용어들 "반응성 화합물", "반응성 가스", "반응성 종", "전구체", "프로세스 가스" 등은 표면 반응(예를 들어, 화학흡착, 산화, 환원)에서 기판 표면 또는 기판 표면 상의 재료와 반응할 수 있는 종을 갖는 물질을 의미하도록 상호교환 가능하게 사용된다.
[0084] 일부 실시예들에서, 막(112)은, 기판(102)을 하나 이상의 실시예들의 유기금속성 전구체 및 반응물에 순차적으로 노출시킴으로써 증착된다. 일부 실시예들에서, 막(112)은 금속 질화물을 포함한다. 일부 실시예들에서, 막(112)은 금속 산화물을 포함한다. 일부 실시예들에서, 막(112)은, 실리콘(Si), 알루미늄(Al), 티탄(Ti), 탄탈(Ta), 바나듐(V), 하프늄(Hf), 및 지르코늄(Zr) 중 하나 이상을 포함한다.
[0085] 일부 실시예들에서, 막(112)은 배리어 막, 배리어 층, 또는 확산 층으로서 기능한다. 일부 실시예들에서, 막(112)은 티탄 질화물을 포함한다. 일부 실시예들에서, 막(112)은 바나듐 질화물을 포함한다. 일부 실시예들에서, 막(112)은 탄탈 질화물을 포함한다. 일부 실시예들에서, 막(112)은 알루미늄 산화물을 포함한다. 일부 실시예들에서, 막은 플라즈마를 사용하지 않고 형성된다.
[0086] 일부 실시예들에서, 막(112)은, 블로킹 층(113)의 안정성에 영향을 미치지 않는 온도에서 증착된다. 일부 실시예들에서, 막(112)은 약 100℃ 내지 약 380℃의 범위 또는 약 100℃ 내지 약 400℃의 범위의 온도에서 증착된다.
[0087] 일부 실시예들에서, 기판은 ALD 사이클들 사이에서 블로킹 화합물에 노출된다. 일부 실시예들에서, 기판은 각각의 증착 사이클 후에 블로킹 화합물에 재-노출될 수 있다. 일부 실시예들에서, 기판은 여러 증착 사이클들 후에 블로킹 화합물에 재-노출될 수 있다.
[0088] 일부 실시예들에서, 방법은, 제1 표면(108)으로부터 블로킹 층(113)을 제거함으로써 계속된다. 블로킹 층은, 플라즈마 세정 프로세스들 또는 열 분해를 포함(그러나, 이로 제한되지 않음)하는 임의의 적합한 수단에 의해 제거될 수 있다.
[0089] 일부 실시예들에서, 기판은 제1 표면(108)으로부터 블로킹 층(113)을 제거하기 위해 플라즈마에 노출된다. 일부 실시예들에서, 플라즈마는 아르곤(Ar), 질소(N2), 또는 수소(H2)를 포함한다. 일부 실시예들에서, 플라즈마는 아르곤을 필수적 요소로 하여 구성된다. 일부 실시예들에서, 플라즈마는 H2/Ar의 혼합물을 포함한다. 일부 실시예들에서, H2/Ar의 혼합물은 약 1:1이다.
[0090] 플라즈마의 전력은 블로킹 층 및 주변 재료들의 조성 및 두께에 따라 달라질 수 있다. 일부 실시예들에서, 플라즈마 전력은 약 50 W 내지 약 500 W의 범위, 약 100 W 내지 약 450 W의 범위, 또는 약 200 W 내지 약 400 W의 범위이다. 일부 실시예들에서, 플라즈마 전력은 약 50 W, 약 200 W, 또는 약 400 W이다.
[0091] 플라즈마 노출의 지속기간은 블로킹 층 및 주변 재료들의 조성 및 두께에 따라 달라질 수 있다. 일부 실시예들에서, 기판은, 약 2 s 내지 약 60 s의 범위, 약 3 s 내지 약 30 s의 범위, 또는 약 5 s 내지 약 10 s의 범위의 기간 동안 플라즈마에 노출된다. 일부 실시예들에서, 기판은 약 3 s, 약 5 s, 약 10 s, 또는 약 30 s의 기간 동안 플라즈마에 노출된다.
[0092] 일부 실시예들에서, 기판은 제1 표면(108)으로부터 블로킹 층(113)을 제거하기 위해 상승된 온도에 노출된다. 일부 실시예들에서, 상승된 온도는 약 300℃ 이상, 약 320℃ 이상, 약 325℃ 이상, 약 330℃ 이상, 약 350℃ 이상, 약 380℃ 이상, 또는 약 400℃ 이상이다.
[0093] 도 1a 내지 도 1d의 목적을 위해, 금속 막(112)은 티탄을 포함하며, 제1 표면을 갖는 금속성 재료(104)는 실리콘(Si)을 포함하며, 제2 표면(110)을 갖는 비-금속성 재료(106)는 SiOx 또는 SiN을 포함한다. 본 개시내용은 티탄, 바나듐, 지르코늄, 및/또는 하프늄을 포함(그러나 이로 제한되지 않음)할 수 있는 금속 막들에 관한 것이다. 특정 실시예들에서, 금속 막은 티탄을 포함한다. 이러한 금속 막들은 인(P), 비소(As), 및/또는 붕소(B)를 포함(그러나 이로 제한되지 않음)하는 도펀트에 의해 선택적으로 도핑될 수 있다. 금속성 표면들은 Si, Ge 및/또는 SiGe를 포함할 수 있지만, 이로 제한되지 않는다. 상이한 재료의 표면들은 실리콘 산화물(SiOx), 실리콘 질화물(SiN), 실리콘 산화물-질화물(SiON)을 포함할 수 있지만, 이로 제한되지 않으며, 이들 각각은 선택적으로 탄소-도핑된다.
[0094] 도 2a 내지 도 2c를 참조하면, 예시적인 방법(200)은 적어도 하나의 피처(208)가 내부에 형성된 기판 표면(210)을 갖는 기판(202)을 제공함으로써 시작된다. 적어도 하나의 피처(208)는 측벽들(212, 214) 및 최하부(206)를 갖는다. 측벽들(212, 214)은 비-금속성 재료(216) 표면을 포함한다. 최하부(206)는 금속성 재료(204) 표면을 포함한다.
[0095] 도 2b를 참조하면, 방법(200)은, 측벽들(212, 214) 상의 비-금속성 재료(216)에 비해 피처(208)의 최하부(206) 상의 금속성 재료(204) 표면 상에 블로킹 층(213)을 선택적으로 형성하기 위해 기판(202)을 블로킹 화합물(미도시됨)에 노출시킴으로써 계속된다. 하나 이상의 실시예들에서, 유기금속성 전구체의 탄화수소 사슬은 금속성 재료(204)에 비해 비-금속성 표면(216) 상의 금속 막의 역 선택적 증착을 위한 블로킹 화합물로서 역할을 한다.
[0096] 도 2c를 참조하면, 방법(200)은, 블로킹 층(213)에 비해 피처(208)의 측벽들(212, 214) 상의 비-금속성 재료(216) 표면 상에 금속 막(218)을 증착함으로써 계속된다. 일부 실시예들에서, 금속 막(218)은, 기판(202)을 하나 이상의 실시예들의 유기금속성 전구체 및 반응물에 순차적으로 노출시킴으로써 증착된다.
[0097] 도 2d를 참조하면, 방법(200)은 선택적으로, 피처(208)의 최하부(206) 상의 금속성 재료(204) 표면으로부터 블로킹 층(213)을 제거하는 단계를 포함한다. 도 2d는 일부 실시예들에 따라 블로킹 층(213)이 제거된 후의 기판(202)을 도시한다.
[0098] 이론에 의해 제한하지 않고, 블로킹 층은, 대부분의 배리어 층들(예를 들어, 막(218))을 갖는 경우 통상적으로 나타나는 저항의 증가와 비교할 때, 금속 비아의 저항을 단지 약간 증가시키는 것으로 여겨진다. 따라서, 블로킹 층의 제거는 금속 비아의 저항을 추가로 감소시킬 수 있는 선택적 프로세스이다.
[0099] 도 2e를 참조하면, 방법(200)은, 저-저항 금속 비아를 형성하기 위해, 적어도 하나의 피처(208) 내에 전도성 충전 재료(220)를 증착함으로써 계속된다. 일부 실시예들에서, 저-저항 금속 비아는 블로킹 층 없이 형성된 금속 비아의 약 80% 이하의 저항을 갖는다. 달리 말하면, 블로킹 층(213)을 포함하는 개시된 프로세스에 의해 형성된 저-저항 금속 비아들은 약 20% 이상의 비아 저항 감소를 제공한다.
[00100] 하나 이상의 실시예들에 따른 기판의 단면도들인 도 3a 내지 도 3c를 참조하면, 예시적인 방법(300)은, 비-금속성 재료(316)를 갖는 제1 금속(210) 및 그 위에 형성된 제2 금속(304)을 갖는 기판(302)으로 시작된다. 하나 이상의 실시예들에서, 적어도 하나의 피처(308)는 내부에 형성된다. 적어도 하나의 피처(308)는 측벽들(312, 314) 및 최하부(306)를 갖는다. 측벽들(312, 314)은 비-금속성 재료(316) 표면을 포함한다. 최하부(306)는 금속성 재료(304) 표면을 포함한다.
[00101] 도 3b를 참조하면, 방법(300)은, 제2 금속 층(304)에 비해 피처(308)의 측벽들(312, 314) 상의 비-금속성 재료(316) 표면 상에 금속 막(318)을 선택적으로 형성 또는 선택적으로 증착하기 위해, 기판(302)을 하나 이상의 실시예들의 유기금속성 전구체에 노출시킴으로써 계속된다. 일부 실시예들에서, 금속 막(318)은, 기판(302)을 하나 이상의 실시예들의 유기금속성 전구체 및 반응물에 순차적으로 노출시킴으로써 증착된다.
[00102] 도 3c를 참조하면, 방법(300)은 적어도 하나의 피처(308) 내에 갭 충전 재료(320)를 증착함으로써 계속된다.
[00103] 도 4는 본 개시내용의 하나 이상의 실시예들에 따른 프로세스 흐름도를 도시한다. 도 4를 참조하면, 기판 상에 금속 막을 형성하기 위한 일반화된 방법(400)은 일반적으로, 동작(402)에서 시작되며, 동작(402)에서, 금속 막이 위에 형성될 기판은 프로세싱 챔버 내에 제공되고 배치될 수 있다. 동작(404)에서, 기판은 선택적으로 사전-프로세싱될 수 있다. 동작(410)에서, 금속 막은 하나 이상의 실시예들에 따라, 기판을 유기금속성 전구체에 노출시킴으로써 형성된다. 일부 실시예들에서, 블로킹 화합물은, 금속 막이 금속성 표면들에 비해 유전체 표면 상에 선택적으로 역으로 증착되도록 사용된다. 일부 실시예들에서, 하나 이상의 실시예들의 유기금속성의 알킬 리간드들의 탄화수소는 역 선택적 금속 증착 동안의 금속성 표면 블로킹을 위해 설계된다. 동작(412)에서, 금속 막이 사전 결정된 두께를 달성하였는 지의 여부가 결정된다. 사전 결정된 두께에 도달하지 않았다면, 방법(400)은 동작(410)으로 되돌아가 사전 결정된 두께에 도달할 때까지 금속 막을 계속 형성한다. 일단 사전 결정된 두께에 도달하면, 방법(400)은 종료되거나, 선택적인 추가의 프로세싱(예를 들어, 다른 금속 막의 벌크 증착)을 위해 동작(414)으로 진행할 수 있다. 하나 이상의 실시예들에서, 금속 막은 약 10 Å 내지 약 10,000 Å, 또는 하나 이상의 실시예들에서, 약 10 Å 내지 약 1000 Å, 또는 하나 이상의 실시예들에서, 약 50 Å 내지 약 5,000 Å의 총 층 두께를 형성하도록 증착될 수 있다. 하나 이상의 실시예들에서, 금속 막은 티탄 질화물(TiN)을 포함한다.
[00104] 도 5는 본 개시내용의 하나 이상의 실시예들에 따른, 기판 상에 금속 막을 형성하기 위한 일반화된 방법을 도시하는 프로세스 흐름도를 예시한다. 방법(500)은 일반적으로, 동작(502)에서 시작되며, 동작(502)에서, 금속 막이 위에 형성될 기판은 프로세싱 챔버 내에 배치될 수 있다. 하나 이상의 실시예들에서, 동작(504)에서, 기판은 선택적으로, 블로킹 화합물에 노출된다. 블로킹 화합물은 금속 표면 및 유전체 표면 둘 모두를 포함하는 기판 상에서의 증착 프로세스의 선택성을 제어하는 데 유용할 수 있다.
[00105] 하나 이상의 실시예들에서, 동작(510)에서, 금속 막이 기판 상에 형성된다. 하나 이상의 실시예들에서, 금속 막은 원자 층 증착(ALD) 등과 같은 순환 증착 프로세스를 통해 형성될 수 있다. 하나 이상의 실시예들에서, 동작(510)에서 금속 막을 형성하는 프로세스는, 제1 시간 기간 동안 제1 프로세스 가스(또는 제1 반응성 가스)에 기판을 노출시킴으로써, 동작(504)에서 시작될 수 있다. 하나 이상의 실시예들에서, 제1 프로세스 가스는 상기에서 설명된 바와 같은 유기금속성 전구체를 포함한다.
[00106] 기판이 유기금속성 전구체 함유 가스에 노출되는 시간 기간은, 유기금속성 전구체가 기판 표면(들)의 맨 위에 적절한 흡착 층을 형성할 수 있게 하는 데 필요한 임의의 적합한 양의 시간일 수 있다. 예를 들어, 프로세스 가스는 약 0.1초 내지 약 90초의 기간 동안 프로세스 챔버 내로 유동될 수 있다. 일부 시간-도메인 ALD 프로세스들에서, 유기금속성 전구체 함유 가스는 약 0.1초 내지 약 90초의 범위, 또는 약 0.5 초 내지 약 60초의 범위, 또는 약 1초 내지 약 30초의 범위, 또는 약 2초 내지 약 25초의 범위, 또는 약 3초 내지 약 20초의 범위, 또는 약 4초 내지 약 15초의 범위, 또는 약 5초 내지 약 10초의 범위의 시간 동안 기판 표면에 노출된다.
[00107] 하나 이상의 실시예들에서, 불활성 가스는 추가적으로 유기금속성 전구체 함유 가스와 동시에 프로세스 챔버에 제공될 수 있다. 불활성 가스는 유기금속성 전구체 함유 가스(예를 들어, 희석제 가스로서)와 혼합될 수 있거나, 별도로 제공될 수 있고, 펄싱되거나 일정한 유동으로 제공될 수 있다. 하나 이상의 실시예들에서, 불활성 가스는 약 1 내지 약 10000 sccm 범위의 일정한 유량으로 프로세싱 챔버 내로 유동된다. 불활성 가스는, 예를 들어, 아르곤(Ar), 헬륨(He), 네온(Ne), 또는 이들의 조합들과 같은 임의의 불활성 가스일 수 있다.
[00108] 증착 동안 기판의 온도는, 예를 들어, 기판 지지체 또는 서셉터의 온도를 설정함으로써 제어될 수 있다. 하나 이상의 실시예들에서, 기판은 약 0℃ 내지 약 600℃ 범위, 또는 약 25℃ 내지 약 500℃ 범위, 또는 약 50℃ 내지 약 450℃ 범위, 또는 약 100℃ 내지 약 400℃의 범위, 또는 약 200℃ 내지 약 400℃의 범위, 또는 약 250℃ 내지 약 350℃의 범위의 온도에서 유지된다. 하나 이상의 실시예들에서, 기판은 금속 전구체의 분해 온도 미만의 온도에서 유지된다. 하나 이상의 실시예들에서, 기판은 유기금속성 전구체의 분해 온도 미만의 온도에서 유지된다. 하나 이상의 실시예들에서, 기판은 유기금속성 전구체의 분해 온도 사이의 온도에서 유지된다.
[00109] 전술한 것에 추가하여, 유기금속성 전구체 함유 가스에 기판을 노출시키는 동안, 추가적인 프로세스 파라미터들이 조절될 수 있다. 예를 들어, 하나 이상의 실시예들에서, 프로세스 챔버는 약 0.2 내지 약 100 Torr의 압력, 또는 약 0.3 내지 약 90 Torr의 범위, 또는 약 0.5 내지 약 80 Torr의 범위, 또는 약 1 내지 약 50 Torr의 범위의 압력에서 유지될 수 있다.
[00110] 다음으로, 동작(506)에서, (특히, 시간-도메인 ALD에서의) 프로세스 챔버는 불활성 가스를 사용하여 퍼징될 수 있다. (이는 공간적 ALD 프로세스들에서는 필요하지 않을 수 있는데, 그 이유는 반응성 가스들을 분리하는 가스 커튼들이 존재하기 때문이다.) 불활성 가스는 임의의 불활성 가스, 예를 들어, 예컨대, 아르곤, 헬륨, 네온 등일 수 있다. 하나 이상의 실시예들에서, 불활성 가스는 동작(510)에서 유기금속성 전구체 함유 가스에 대한 기판의 노출 동안 프로세스 챔버에 제공된 불활성 가스와 동일할 수 있거나, 대안적으로 상이할 수 있다. 불활성 가스가 동일한 실시예들에서, 퍼지는, 프로세스 챔버로부터 제1 프로세스 가스를 우회시키고, 불활성 가스가 프로세스 챔버를 통해 유동할 수 있게 하고, 프로세스 챔버에서 임의의 과량의 제1 프로세스 가스 성분들 또는 반응 부산물들을 퍼징함으로써 수행될 수 있다. 하나 이상의 실시예들에서, 불활성 가스는, 상기에서 기술된 제1 프로세스 가스와 함께 사용된 것과 동일한 유량으로 제공될 수 있거나, 하나 이상의 실시예들에서, 유량은 증가 또는 감소될 수 있다. 예를 들어, 하나 이상의 실시예들에서, 불활성 가스는 프로세스 챔버를 퍼징하기 위해 약 0 내지 약 10000 sccm의 유량으로 프로세스 챔버에 제공될 수 있다. 공간적 ALD에서, 반응성 가스들의 유동들 사이에 퍼지 가스 커튼들이 유지되며, 프로세스 챔버를 퍼징하는 것은 필요하지 않을 수 있다. 공간적 ALD 프로세스의 하나 이상의 실시예들에서, 프로세스 챔버 또는 프로세스 챔버의 영역은 불활성 가스로 퍼징될 수 있다.
[00111] 불활성 가스의 유동은, 제1 및 제2 프로세스 가스들의 원하지 않는 가스 상 반응들을 방지하기 위해, 프로세스 챔버로부터 임의의 과량의 제1 프로세스 가스 성분들 및/또는 과량의 반응 부산물들을 제거하는 것을 가능하게 할 수 있다.
[00112] 다음으로, 동작(508)에서, 기판은 제2 시간 기간 동안 제2 프로세스 가스에 노출된다. 제2 프로세스 가스는 금속 막을 증착하기 위해 기판 표면 상의 흡착된 유기금속성 전구체 층과 반응하는 전구체를 포함한다.
[00113] 하나 이상의 실시예들에서, 동작(512)에서, 프로세스 챔버는 불활성 가스를 사용하여 퍼징될 수 있다. 불활성 가스는, 예를 들어, 아르곤(Ar), 헬륨(He), 또는 네온(Ne), 등과 같은 임의의 불활성 가스일 수 있다. 하나 이상의 실시예들에서, 불활성 가스는 이전 프로세스 루틴들 동안 프로세스 챔버에 제공된 불활성 가스와 동일할 수 있거나, 대안적으로 상이할 수 있다. 불활성 가스가 동일한 실시예들에서, 퍼지는, 프로세스 챔버로부터 제2 프로세스 가스를 우회시키고, 불활성 가스가 프로세스 챔버를 통해 유동할 수 있게 하고, 프로세스 챔버에서 임의의 과량의 제2 프로세스 가스 성분들 또는 반응 부산물들을 퍼징함으로써 수행될 수 있다. 하나 이상의 실시예들에서, 불활성 가스는, 상기에서 기술된 제2 프로세스 가스와 함께 사용된 것과 동일한 유량으로 제공될 수 있거나, 하나 이상의 실시예들에서, 유량은 증가 또는 감소될 수 있다. 예를 들어, 하나 이상의 실시예들에서, 불활성 가스는 프로세스 챔버를 퍼징하기 위해 0 초과 내지 약 10,000 sccm의 유량으로 프로세스 챔버에 제공될 수 있다.
[00114] 도 5에 도시된 프로세싱 방법의 일반적인 실시예들이 단지 반응성 가스들의 2개의 펄스들을 포함하지만, 이는 단지 예시적인 것이며, 반응성 가스들의 추가적인 펄스들이 사용될 수 있음이 이해될 것이다. 하나 이상의 실시예들에서, 방법은 산소-함유 반응성 가스를 사용하지 않고 수행된다. 동작(510)의 서브 프로세스들은 한 사이클을 포함한다. 반응성 가스들이 프로세싱 챔버의 퍼지에 의해 분리되는 한, 사이클은 임의의 순서로 수행될 수 있다. 하나 이상의 실시예들에서, 금속 막은 약 0.2 Å/사이클 이상, 약 0.3 Å/사이클 이상, 약 0.4 Å/사이클 이상, 약 0.5 Å/사이클 이상, 약 0.6 Å/사이클 이상, 약 0.7 Å/사이클 이상, 약 0.8 Å/사이클 이상, 약 0.9 Å/사이클 이상, 약 1.0 Å/사이클 이상, 또는 약 1.2 Å/사이클 이상의 속도로 증착된다.
[00115] 하나 이상의 실시예들에서, 증착 프로세스는 플라즈마 반응물들을 사용하지 않고 열 프로세스로서 수행된다. 달리 기술하면, 하나 이상의 실시예들에서, 방법은 플라즈마 없이 수행된다.
[00116] 하나 이상의 실시예들에서, 결정 지점(514)에서, 금속 막이 사전 결정된 두께를 달성하였는 지의 여부가 결정된다. 사전 결정된 두께에 도달하지 않았다면, 방법(500)은 동작(510)으로 되돌아가 사전 결정된 두께를 달성할 때까지 금속 막을 계속 형성한다. 일단 사전 결정된 두께에 도달하면, 방법(500)은 종료되거나, 선택적인 추가의 프로세싱(예를 들어, 다른 금속 막의 벌크 증착)을 위해 동작(516)으로 진행할 수 있다. 하나 이상의 실시예들에서, 금속 막은 약 10 Å 내지 약 10,000 Å, 또는 하나 이상의 실시예들에서, 약 10 Å 내지 약 1000 Å, 또는 하나 이상의 실시예들에서, 약 50 Å 내지 약 5,000 Å의 총 층 두께를 형성하도록 증착될 수 있다.
[00117] 일부 실시예들에서, 기판은 제1 챔버로부터 추가의 프로세싱을 위한 별개의 다음 챔버로 이동된다. 기판은 제1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 기판은 제1 챔버로부터 하나 이상의 이송 챔버들로 이동된 다음, 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등으로 지칭될 수 있다.
[00118] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기, 어닐링, 증착 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 이상의 실시예들에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는 프로세싱 챔버들과 로드 록 챔버들 사이에서 그리고 이들 중에서 기판들을 셔틀링(shuttle)할 수 있는 로봇을 하우징할 수 있다. 이송 챔버는 통상적으로, 진공 조건에서 유지되고, 하나의 챔버로부터 다른 챔버로 및/또는 클러스터 툴의 전단부에 포지셔닝된 로드 록 챔버로 기판들을 셔틀링하기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 구성될 수 있는 2개의 널리 공지된 클러스터 툴들은 Centura® 및 Endura®이며, 이들 둘 모두는 Applied Materials, Inc.(Santa Clara, Calif)로부터 입수가능하다. 그러나, 챔버들의 정확한 배열 및 조합은 본원에서 기술되는 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, CLD(cyclical layer deposition), ALD(atomic layer deposition), CVD(chemical vapor deposition), PVD(physical vapor deposition), 에칭, 사전-세정, 화학적 세정, 열 처리, 예컨대, RTP, 플라즈마 질화, 탈기, 배향, 수산화 및 다른 기판 프로세스들을 포함하지만, 이로 제한되지 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 후속 막을 증착하기 전에 산화 없이, 대기 불순물들에 의한 기판의 표면 오염이 방지될 수 있다.
[00119] 하나 이상의 실시예들에 따르면, 기판은 연속적으로 진공 또는 "로드 록(load lock)" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동될 때 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pump down)"된다. 불활성 가스들은 프로세싱 챔버들 또는 이송 챔버들 내에 존재할 수 있다. 일부 실시예들에서, 불활성 가스는 반응물들(예를 들어, 반응물) 중 일부 또는 전부를 제거하기 위한 퍼지 가스로서 사용된다. 하나 이상의 실시예들에 따르면, 반응물들(예를 들어, 반응물)이 증착 챔버로부터 이송 챔버 및/또는 추가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 퍼지 가스가 증착 챔버의 배출구에서 주입된다. 따라서, 불활성 가스의 유동은 챔버의 배출구에 커튼을 형성한다.
[00120] 기판은, 다른 기판이 프로세싱되기 전에, 단일 기판이 로딩되고, 프로세싱되고, 언로딩되는 단일 기판 증착 챔버들에서 프로세싱될 수 있다. 기판은 또한, 다수의 기판들이 챔버의 제1 부분 내로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩되는, 컨베이어 시스템과 유사하게, 연속적인 방식으로 프로세싱될 수 있다. 챔버 및 관련된 컨베이어 시스템의 형상은 직선 경로 또는 곡선 경로를 형성할 수 있다. 추가적으로, 프로세싱 챔버는, 다수의 기판들이 중심 축을 중심으로 이동되고, 캐러셀 경로 전체에 걸쳐 증착, 에칭, 어닐링, 세정 등의 프로세스들에 노출되는 캐러셀일 수 있다.
[00121] 프로세싱 동안, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지체의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함(그러나 이로 제한되지 않음)하는 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지체는 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 실시예들에서, 사용되는 가스들(반응성 가스들 또는 불활성 가스들)은 기판 온도를 국부적으로 변화시키기 위해 가열 또는 냉각된다. 일부 실시예들에서, 기판 온도를 대류에 의해 변화시키기 위해, 챔버 내에 기판 표면에 인접하게 가열기/냉각기가 포지셔닝된다.
[00122] 기판은 또한, 프로세싱 동안 정지되어 있거나 회전될 수 있다. 회전하는 기판은 연속적으로 또는 불연속적인 스텝들로 (기판 축을 중심으로) 회전될 수 있다. 예를 들어, 기판은 전체 프로세스에 걸쳐 회전될 수 있거나, 기판은 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 소량만큼 회전될 수 있다. 프로세싱 동안 (연속적으로 또는 단계적으로) 기판을 회전시키는 것은, 예를 들어, 가스 유동 기하학적 구조들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[00123] 본 개시내용의 추가적인 실시예들은, 도 5에 도시된 바와 같이, 디바이스들의 형성 및 기술된 방법들의 실시를 위한 프로세싱 툴들(900)에 관한 것이다. 클러스터 툴(900)은 복수의 측면들을 갖는 적어도 하나의 중앙 이송 스테이션(921, 931)을 포함한다. 로봇(925, 935)이 중앙 이송 스테이션(921, 931) 내에 포지셔닝되고, 로봇 블레이드 및 웨이퍼를 복수의 측면들 각각으로 이동시키도록 구성된다.
[00124] 클러스터 툴(900)은 중앙 이송 스테이션에 연결된, 복수의 프로세싱 챔버들(902, 904, 906, 908, 910, 912, 914, 916, 및 918)(프로세스 스테이션들로도 지칭됨)을 포함한다. 다양한 프로세싱 챔버들은 인접한 프로세스 스테이션들로부터 격리된 별개의 프로세싱 영역들을 제공한다. 프로세싱 챔버는, 물리 기상 증착 챔버, UV 경화 챔버, ICP 챔버, 에칭 챔버 등을 포함(그러나 이로 제한되지 않음)하는 임의의 적합한 챔버일 수 있다. 프로세스 챔버들 및 컴포넌트들의 특정 배열은 클러스터 툴에 따라 달라질 수 있고, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다.
[00125] 도 5에 도시된 실시예에서, 팩토리 인터페이스(factory interface)(950)는 클러스터 툴(900)의 전방에 연결된다. 팩토리 인터페이스(950)는 팩토리 인터페이스(950)의 전방(951) 상에 로딩 챔버(954) 및 언로딩 챔버(956)를 포함한다. 로딩 챔버(954)가 좌측에 도시되고 언로딩 챔버(956)가 우측에 도시되지만, 당업자들은 이러한 것이 단지 하나의 가능한 구성을 나타내내는 것을 이해할 것이다.
[00126] 로딩 챔버(954) 및 언로딩 챔버(956)의 크기 및 형상은, 예를 들어, 클러스터 툴(900)에서 프로세싱되는 기판들에 따라 달라질 수 있다. 도시된 실시예에서, 로딩 챔버(954) 및 언로딩 챔버(956)는 복수의 웨이퍼들이 카세트 내에 포지셔닝된 웨이퍼 카세트를 유지하도록 사이징된다.
[00127] 로봇(952)은 팩토리 인터페이스(950) 내에 있고, 로딩 챔버(954)와 언로딩 챔버(956) 사이에서 이동할 수 있다. 로봇(952)은 웨이퍼를 로딩 챔버(954) 내의 카세트로부터 팩토리 인터페이스(950)를 통해 로드 락 챔버(960)로 이송할 수 있다. 로봇(952)은 또한, 웨이퍼를 로드 락 챔버(962)로부터 팩토리 인터페이스(950)를 통해 언로딩 챔버(956) 내의 카세트로 이송할 수 있다. 당업자들에 의해 이해되는 바와 같이, 팩토리 인터페이스(950)는 하나 초과의 로봇(952)을 가질 수 있다. 예를 들어, 팩토리 인터페이스(950)는, 로딩 챔버(954)와 로드 록 챔버(960) 사이에서 웨이퍼들을 이송하는 제1 로봇, 및 로드 록(962)과 언로딩 챔버(956) 사이에서 웨이퍼들을 이송하는 제2 로봇을 가질 수 있다.
[00128] 도시된 클러스터 툴(900)은 제1 섹션(920) 및 제2 섹션(930)을 갖는다. 제1 섹션(920)은 로드 록 챔버들(960, 962)을 통해 팩토리 인터페이스(950)에 연결된다. 제1 섹션(920)은 적어도 하나의 로봇(925)이 내부에 포지셔닝된 제1 이송 챔버(921)를 포함한다. 로봇(925)은 또한, 로봇 웨이퍼 운송 메커니즘으로 지칭된다. 제1 이송 챔버(921)는 로드 록 챔버들(960, 962), 프로세스 챔버들(902, 904, 916, 918), 및 버퍼 챔버들(922, 924)에 대해 중앙에 위치된다. 일부 실시예들의 로봇(925)은 한 번에 하나 초과의 웨이퍼를 독립적으로 이동시킬 수 있는 다중-아암 로봇이다. 일부 실시예들에서, 제1 이송 챔버(921)는 하나 초과의 로봇 웨이퍼 이송 메커니즘을 포함한다. 제1 이송 챔버(921) 내의 로봇(925)은 제1 이송 챔버(921) 주위의 챔버들 사이에서 웨이퍼들을 이동시키도록 구성된다. 개별적인 웨이퍼들은 제1 로봇 메커니즘의 원위 단부에 위치된 웨이퍼 운송 블레이드 상에서 운반된다.
[00129] 제1 섹션(920)에서 웨이퍼를 프로세싱한 후에, 웨이퍼는 패스-스루(pass-through) 챔버를 통해 제2 섹션(930)으로 진행될 수 있다. 예를 들어, 챔버들(922, 924)은 단방향 또는 양방향 패스-스루 챔버들일 수 있다. 패스-스루 챔버들(922, 924)은, 예를 들어, 제2 섹션(930)에서의 프로세싱 전에 웨이퍼를 극저온 냉각시키거나, 제1 섹션(920)으로 다시 이동하기 전에 웨이퍼 냉각 또는 후-프로세싱을 가능하게 하기 위해 사용될 수 있다.
[00130] 시스템 제어기(990)는 제1 로봇(925), 제2 로봇(935), 제1 복수의 프로세싱 챔버들(902, 904, 916, 918) 및 제2 복수의 프로세싱 챔버들(906, 908, 910, 912, 914)과 통신한다. 시스템 제어기(990)는 프로세싱 챔버들 및 로봇들을 제어할 수 있는 임의의 적합한 컴포넌트일 수 있다. 예를 들어, 시스템 제어기(990)는 CPU(central processing unit)(992), 메모리(994), 입력/출력(I/O)(996) 및 지원 회로들(998)을 포함하는 컴퓨터일 수 있다. 제어기(990)는 직접적으로, 또는 특정 프로세스 챔버 및/또는 지원 시스템 컴포넌트들과 연관된 컴퓨터들(또는 제어기들)을 통해, 프로세싱 툴(900)을 제어할 수 있다.
[00131] 하나 이상의 실시예들에서, 제어기(990)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 제어기(990)의 메모리(994) 또는 컴퓨터 판독가능 매체는, 비-일시적 메모리(예를 들어, RAM(random access memory)), ROM(read only memory), 플로피 디스크, 하드 디스크, 광학 저장 매체(예를 들어, 콤팩트 디스크 또는 디지털 비디오 디스크), 플래시 드라이브, 또는 로컬 또는 원격의 임의의 다른 형태의 디지털 저장소)와 같은 용이하게 입수 가능한 메모리 중 하나 이상일 수 있다. 메모리(994)는 프로세싱 툴(900)의 파라미터들 및 컴포넌트들을 제어하도록 프로세서(CPU(992))에 의해 동작 가능한 명령 세트를 보유할 수 있다.
[00132] 지원 회로들(998)은 기존 방식으로 프로세서를 지원하기 위해 CPU(992)에 커플링된다. 이러한 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로 및 서브시스템들 등을 포함한다. 하나 이상의 프로세스들은, 프로세서에 의해 실행되거나 호출될 때, 프로세서가 본원에서 기술된 방식으로 프로세싱 툴(900) 또는 개별적인 프로세싱 유닛들의 동작을 제어하게 하는 소프트웨어 루틴으로서 메모리(994)에 저장될 수 있다. 소프트웨어 루틴은 또한, CPU(992)에 의해 제어되는 하드웨어로부터 원격으로 위치된 제2 CPU(미도시됨)에 의해 저장 및/또는 실행될 수 있다.
[00133] 본 개시내용의 프로세스들 및 방법들 중 일부 또는 전부는 또한 하드웨어에서 수행될 수 있다. 이와 같이, 프로세스는 소프트웨어로 구현될 수 있고, 컴퓨터 시스템을 이용하여 하드웨어로, 예를 들어 주문형 집적 회로 또는 다른 타입의 하드웨어 구현으로서, 또는 소프트웨어와 하드웨어의 조합으로서 실행될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 프로세스들이 수행되도록 챔버 동작을 제어하는 특수 목적 컴퓨터(제어기)로 범용 컴퓨터를 변환시킨다.
[00134] 일부 실시예들에서, 제어기(990)는 방법을 수행하기 위해 개별 프로세스들 또는 서브-프로세스들을 실행하기 위한 하나 이상의 구성들을 갖는다. 제어기(990)는 방법들의 기능들을 수행하기 위해 중간 컴포넌트들에 연결되고 중간 컴포넌트들을 동작시키도록 구성될 수 있다. 예를 들어, 제어기(990)는 물리 기상 증착 챔버에 연결되고, 물리 기상 증착 챔버를 제어하도록 구성될 수 있다.
[00135] 프로세스들은 일반적으로, 프로세서에 의해 실행될 때, 프로세스 챔버가 본 개시내용의 프로세스들을 수행하게 하는 소프트웨어 루틴으로서 시스템 제어기(990)의 메모리(994)에 저장될 수 있다. 소프트웨어 루틴은 또한, 프로세서에 의해 제어되는 하드웨어로부터 원격으로 위치된 제2 CPU(미도시됨)에 의해 저장 및/또는 실행될 수 있다. 본 개시내용의 방법 중 일부 또는 전부는 또한 하드웨어에서 수행될 수 있다. 이와 같이, 프로세스는 소프트웨어로 구현될 수 있고, 컴퓨터 시스템을 이용하여 하드웨어로, 예를 들어 주문형 집적 회로 또는 다른 타입의 하드웨어 구현으로서, 또는 소프트웨어와 하드웨어의 조합으로서 실행될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 프로세스들이 수행되도록 챔버 동작을 제어하는 특수 목적 컴퓨터(제어기)로 범용 컴퓨터를 변환시킨다.
[00136] 일부 실시예들에서, 시스템 제어기(990)는, 약 20℃ 내지 약 400℃의 범위의 온도에서 기판 상에 막을 증착하도록 화학 기상 증착 챔버를 제어하고 기판 상에 금속 막을 형성하도록 원격 플라즈마 소스를 제어하기 위한 구성을 갖는다.
[00137] 하나 이상의 실시예들에서, 프로세싱 툴은 웨이퍼를 이동시키도록 구성된 로봇을 포함하는 중앙 이송 스테이션; 복수의 프로세스 스테이션들 ― 각각의 프로세스 스테이션은 중앙 이송 스테이션에 연결되고, 인접한 프로세스 스테이션들의 프로세싱 영역들로부터 분리된 프로세싱 영역을 제공하며, 복수의 프로세스 스테이션들은 물리 기상 증착 챔버 및 원격 플라즈마 소스를 포함함 ―; UV 경화 챔버; ICP 챔버; 및 중앙 이송 스테이션 및 복수의 프로세스 스테이션들에 연결된 제어기를 포함하며, 제어기는 프로세스 스테이션들 사이에서 웨이퍼를 이동시키고 프로세스 스테이션들 각각에서 발생하는 프로세스를 제어하도록 로봇을 활성화시키도록 구성된다.
[00138] 본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예들" 또는 "실시예"에 대한 언급은 실시예와 관련하여 기술된 특정 특징, 구조, 재료 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서의 "하나 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 특성들, 구조들, 재료들, 또는 특징들은 하나 이상의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[00139] 본원의 개시내용이 특정 실시예들을 참조하여 기술되었지만, 이러한 실시예들은 단지 본 개시내용의 원리들 및 적용들을 예시할 뿐이라는 것으로 이해되어야 한다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있다는 것이 당업자에게 명백할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (20)

  1. 프로세싱 방법으로서,
    증착 프로세스 동안 기판의 제1 표면과 상이한 재료인 제2 표면에 비해 선택적으로 기판의 제1 표면 상에 금속 막을 증착하는 단계를 포함하며, 상기 증착 프로세스는 상기 기판 위로 유기금속성 전구체 및 공반응물을 공동-유동시키는 것을 포함하며, 상기 유기금속성 전구체에는 할로겐이 실질적으로 존재하지 않고 산소가 실질적으로 존재하지 않는, 프로세싱 방법.
  2. 제1항에 있어서, 상기 제1 표면이 비-금속성 유전체 재료를 포함하며, 상기 제2 표면이 금속성 재료를 포함하는, 프로세싱 방법.
  3. 제2항에 있어서, 상기 제2 표면이 금속성 실리콘(Si), 금속성 게르마늄(Ge), 또는 SiGe 합금을 포함하며, 이들 각각은 인(P), 비소(As), 및/또는 붕소(B)로 선택적으로 도핑되며, 상기 제1 표면은 실리콘 산화물(SiOx), 실리콘 질화물(SiN), 실리콘 산화물-질화물(SiON)을 포함하며, 이들 각각은 선택적으로 탄소-도핑되는, 프로세싱 방법.
  4. 제1항에 있어서, 상기 금속 막이 상기 제2 표면에 대해 상기 제1 표면 상에 적어도 약 1.3:1의 선택도로 선택적으로 증착되는, 프로세싱 방법.
  5. 제1항에 있어서, 상기 금속 막이 티탄(Ti)을 포함하는, 프로세싱 방법.
  6. 제1항에 있어서, 상기 유기금속성 전구체가 하기 화학식 (I)의 구조를 포함하는, 프로세싱 방법:
    Figure pct00007

    상기 식에서, R1, R2, R3, 및 R4는 독립적으로 수소(H) 및 알킬로부터 선택되며, R5, R6, 및 R7은 독립적으로 알킬아민을 포함하며, n은 0 내지 8의 범위의 수이다.
  7. 제1항에 있어서, 상기 유기금속성 전구체가 하기 화학식 (a), 화학식 (b), 및 화학식 (c)로부터 선택되는 구조를 포함하는, 프로세싱 방법:
    Figure pct00008

    상기 식에서, R1, R2, R3, 및 R4는 독립적으로 수소(H) 및 알킬로부터 선택되며; n은 0 내지 8의 범위의 수이다.
  8. 제1항에 있어서, 상기 유기금속성 전구체가, 하기 화학식 (d), 화학식 (e), 및 화학식 (f)로부터 선택되는 구조를 포함하는, 프로세싱 방법:
    Figure pct00009

    상기 식에서, R은 수소(H) 또는 -(CH2)n이며, n은 0 내지 8의 수이며, R1 및 R3은 수소 또는 알킬이며, X는 탄소(C) 또는 질소(N)이다.
  9. 제1항에 있어서, 상기 유기금속성 전구체가 하기 화학식들 중 하나 이상으로부터 선택되는, 프로세싱 방법:
    Figure pct00010

    상기 식에서, R1, R2, R3, 및 R4는 독립적으로 수소(H) 및 알킬로부터 선택되며, X 및 Y는 독립적으로 탄소(C) 또는 질소(N)로부터 선택되며, n은 0 내지 8의 범위의 수이다.
  10. 제1항에 있어서, 상기 증착 프로세스가 약 1 내지 약 700 mWatts/㎠ 미만의 범위의 플라즈마 전력에서의 직접 플라즈마 및 ≤ 500℃의 기판 온도를 포함하는 PECVD 프로세스인, 프로세싱 방법.
  11. 제10항에 있어서, 약 0.0000001초 내지 약 90초의 지속기간 동안 약 0.00001초 내지 약 100초마다 플라즈마 전력이 제공되는, 프로세싱 방법.
  12. 제10항에 있어서, 상기 PECVD 프로세스가 약 10 kHz 내지 약 50 MHz 범위의 주파수로 직접 플라즈마를 포함하는, 프로세싱 방법.
  13. 선택적 증착 방법으로서,
    제1 표면을 갖는 금속성 재료 및 제2 표면을 갖는 비-금속성 재료를 포함하는 기판을 유기금속성 전구체 및 반응물에 노출시켜 상기 제1 표면에 비해 상기 제2 표면 상에 막을 형성하는 단계를 포함하며,
    상기 유기금속성 전구체에는 할로겐이 실질적으로 존재하지 않고, 산소가 실질적으로 존재하지 않는, 선택적 증착 방법.
  14. 제13항에 있어서, 상기 유기금속성 전구체가 하기 화학식 (I)의 구조를 포함하는 방법:
    Figure pct00011

    상기 식에서, R1, R2, R3, 및 R4는 독립적으로 수소(H) 및 알킬로부터 선택되며, R5, R6, 및 R7은 독립적으로 알킬아민을 포함하며, n은 0 내지 8의 범위의 수이다.
  15. 제13항에 있어서, 상기 유기금속성 전구체가 하기 화학식 (a), 화학식 (b), 및 화학식 (c)로부터 선택되는 구조를 포함하는 방법:
    Figure pct00012

    상기 식에서, R1, R2, R3, 및 R4는 독립적으로 수소(H) 및 알킬로부터 선택되며; n은 0 내지 8의 범위의 수이다.
  16. 제13항에 있어서, 상기 유기금속성 전구체가, 하기 화학식 (d), 화학식 (e), 및 화학식 (f)로부터 선택되는 구조를 포함하는 방법:
    Figure pct00013

    상기 식에서, R은 수소(H) 또는 -(CH2)n이며, n은 0 내지 8의 수이며, R1 및 R3은 수소 또는 알킬이며, X는 탄소(C) 또는 질소(N)이다.
  17. 제13항에 있어서, 상기 유기금속성 전구체가 하기 화학식들 중 하나 이상으로부터 선택되는 방법:
    Figure pct00014

    상기 식에서, R1, R2, R3, 및 R4는 독립적으로 수소(H) 및 알킬로부터 선택되며, X 및 Y는 독립적으로 탄소(C) 또는 질소(N)로부터 선택되며, n은 0 내지 8의 범위의 수이다.
  18. 금속 비아들을 형성하는 방법으로서, 상기 방법은:
    적어도 하나의 피처(feature)가 내부에 형성된 기판 표면을 갖는 기판을 제공하는 단계 ― 적어도 하나의 상기 피처는 측벽 및 최하부를 가지며, 상기 측벽은 비-금속성 재료 표면을 포함하며, 상기 최하부는 금속성 재료 표면을 포함함 ―;
    상기 비-금속성 재료 표면에 비해 상기 금속성 재료 표면 상에 블로킹 층을 선택적으로 형성하기 위해 상기 기판을 블로킹 화합물에 노출시키는 단계;
    상기 금속성 재료 표면 상의 상기 블로킹 층에 비해 상기 비-금속성 재료 표면 상에 막을 형성하기 위해 상기 기판을 유기금속성 전구체 및 반응물에 순차적으로 노출시키는 단계 ― 상기 유기금속성 전구체에는 할로겐이 실질적으로 존재하지 않고, 산소가 실질적으로 존재하지 않음 ―;
    선택적으로, 상기 금속성 재료 표면으로부터 상기 블로킹 층을 제거하는 단계; 및
    저-저항 금속 비아를 형성하기 위해 적어도 하나의 상기 피처 내에 전도성 충전 재료를 증착하는 단계를 포함하는 방법.
  19. 제18항에 있어서, 상기 유기금속성 전구체가, 하기 화학식 (I), 화학식 (a), 화학식 (b), 화학식 (c), 화학식 (d), 화학식 (e), 화학식 (f) 중 하나 이상의 구조로부터 선택되는 방법:
    Figure pct00015

    Figure pct00016

    상기 식에서, R은 수소(H) 또는 -(CH2)n이며, R1, R2, R3, 및 R4는 독립적으로 수소(H) 및 알킬로부터 선택되며, R5, R6, 및 R7은 독립적으로 알킬아민을 포함하며, X 및 Y는 독립적으로 탄소(C) 또는 질소(N)로부터 선택되며, n은 0 내지 8의 범위의 수이다.
  20. 제18항에 있어서, 상기 막이 티탄 질화물을 포함하는 방법.
KR1020227024201A 2020-04-14 2021-04-13 금속 막들의 증착 KR20220114060A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/848,113 US11286556B2 (en) 2020-04-14 2020-04-14 Selective deposition of titanium films
US16/848,113 2020-04-14
PCT/US2021/026948 WO2021211485A1 (en) 2020-04-14 2021-04-13 Deposition of metal films

Publications (1)

Publication Number Publication Date
KR20220114060A true KR20220114060A (ko) 2022-08-17

Family

ID=78006104

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227024201A KR20220114060A (ko) 2020-04-14 2021-04-13 금속 막들의 증착

Country Status (6)

Country Link
US (1) US11286556B2 (ko)
JP (1) JP2023516858A (ko)
KR (1) KR20220114060A (ko)
CN (1) CN115003854A (ko)
TW (1) TW202204660A (ko)
WO (1) WO2021211485A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230274932A1 (en) * 2022-02-28 2023-08-31 Tokyo Electron Limited Selective inhibition for selective metal deposition
US20240052480A1 (en) * 2022-08-15 2024-02-15 Applied Materials, Inc. Methods for Selective Molybdenum Deposition
CN117510097A (zh) * 2023-12-29 2024-02-06 核工业西南物理研究院 一种硅基陶瓷表面金属化方法及应用

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5278100A (en) * 1991-11-08 1994-01-11 Micron Technology, Inc. Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US20030017268A1 (en) 2001-07-18 2003-01-23 Applied Materials, Inc. .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
EP2644741B1 (en) * 2007-09-14 2015-03-04 Sigma-Aldrich Co. LLC Methods of preparing titanium containing thin films by atomic layer deposition using monocyclopentadienyl titanium-based precursors
US8946096B2 (en) * 2011-03-15 2015-02-03 Mecharonics Co. Ltd. Group IV-B organometallic compound, and method for preparing same
US9269615B2 (en) 2012-07-20 2016-02-23 Globalfoundries Inc. Multi-layer barrier layer for interconnect structure
US10043709B2 (en) 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US9716065B2 (en) 2015-09-14 2017-07-25 International Business Machines Corporation Via bottom structure and methods of forming
US20180158686A1 (en) * 2016-11-23 2018-06-07 Applied Materials, Inc. Deposition Of Metal Films
US10403504B2 (en) * 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US20200071825A1 (en) * 2018-08-28 2020-03-05 Applied Materials, Inc. Methods Of Depositing Metal Carbide Films
JP2020056104A (ja) * 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Also Published As

Publication number Publication date
US11286556B2 (en) 2022-03-29
WO2021211485A1 (en) 2021-10-21
US20210317570A1 (en) 2021-10-14
CN115003854A (zh) 2022-09-02
TW202204660A (zh) 2022-02-01
JP2023516858A (ja) 2023-04-21

Similar Documents

Publication Publication Date Title
US9716012B2 (en) Methods of selective layer deposition
KR20220114060A (ko) 금속 막들의 증착
US8586479B2 (en) Methods for forming a contact metal layer in semiconductor devices
JP2023507326A (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
WO2022155092A1 (en) Cycloheptatriene molybdenum (0) precursors for deposition of molybdenum films
US20200090991A1 (en) Method Of Forming Via With Embedded Barrier
JP2024511271A (ja) 原子層堆積のための還元剤
TWI821661B (zh) 金屬阻障層之摻雜
US11859278B2 (en) Molecular layer deposition of amorphous carbon films
US20240006235A1 (en) Composite barrier layers
US20230253248A1 (en) Methods of forming metal liner for interconnect structures
US20230070489A1 (en) Doped tantalum-containing barrier films
US20220380897A1 (en) Methods of Lowering Deposition Rate
US20230072614A1 (en) Method Of Forming A Metal Liner For Interconnect Structures
EP4277910A1 (en) Molybdenum(iv) and molybdenum(iii) precursors for deposition of molybdenum films
JP2023537931A (ja) 不純物を含まない金属合金膜を形成するための方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal