TW202204660A - 金屬薄膜之沉積 - Google Patents

金屬薄膜之沉積 Download PDF

Info

Publication number
TW202204660A
TW202204660A TW110113410A TW110113410A TW202204660A TW 202204660 A TW202204660 A TW 202204660A TW 110113410 A TW110113410 A TW 110113410A TW 110113410 A TW110113410 A TW 110113410A TW 202204660 A TW202204660 A TW 202204660A
Authority
TW
Taiwan
Prior art keywords
substrate
formula
organometallic precursor
metal
chamber
Prior art date
Application number
TW110113410A
Other languages
English (en)
Inventor
尹炳勳
蔚 雷
尚澔 柳
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202204660A publication Critical patent/TW202204660A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

揭示一種用於在表面上選擇性地沉積之方法。本揭示案之一些實施例利用大體上不含鹵素且大體上不含氧之有機金屬前驅物。執行沉積以相對金屬表面在非金屬表面上選擇性地沉積金屬薄膜。本揭示案之一些實施例係關於一種縫隙填充之方法。

Description

金屬薄膜之沉積
本揭示案之實施例大體係關於沉積金屬薄膜之方法。更特定而言,本揭示案之實施例係針對使用無氧及無鹵化物之前驅物來改良沉積金屬薄膜的方法。
藉由在基板表面上產生復雜圖案化之材料層的製程,使得積體電路成為可能。在基板上產生圖案化的材料需要用於沉積期望材料之受控方法。在相對於不同表面之一個表面上選擇性地沉積薄膜對於圖案化及其他應用而言係有用的。
在積體電路之製造中,時常使用接觸級金屬化方案為下伏半導體材料提供低電阻接觸。通常,接觸級金屬化方案組合了阻障層與接觸級金屬層。
隨著製造商努力提高電路密度及品質,高深寬比之孔隙(包括例如使用鈷、鎢或銅之觸點、通孔、接線及用以形成多層級互連之其他特徵)的大小不斷減小。當製造金屬接觸結構時,在下伏半導體材料(例如,多晶矽)與接觸級金屬層(例如,鎢(W)、鋁(Al)或銅(Cu))之間形成阻障層(例如,氮化鈦(TiN)或氮化釩(VN))。阻障層抑制鎢、鋁或銅擴散至下伏半導體材料中。此種鎢、鋁或銅擴散為非所期望的,因為其有可能改變接觸之特性。
隨著積體電路之電路密度增大,通孔、接線及觸點之寬度可減小至亞微米尺寸(例如,小於約0.2微米),而此些結構之間的介電材料層之厚度通常保持相對恆定。此增大了此些特徵之深寬比。許多傳統沉積製程(例如,化學氣相沉積(chemical vapor deposition; CVD)及物理氣相沉積(physical vapor deposition; PVD))不適用於填充其中深寬比超過6:1且尤其係其中深寬比超過10:1之亞微米結構。
因此,需要在高深寬比之開口中沉積金屬薄膜(例如,氮化鈦(TiN)薄膜)的方法。
本揭示案之一或更多個實施例係針對處理方法。該處理方法包括在沉積製程期間在處理腔室內相對基板之第二表面選擇性地在該基板之第一表面上沉積金屬薄膜,該第二表面係與該第一表面不同的的材料,該沉積製程包括使有機金屬前驅物及還原共反應物前驅物共流至處理腔室中,該有機金屬前驅物大體上不含鹵素且大體上不含氧。
本揭示案之額外實施例係針對選擇性沉積之方法。在一或更多個實施例中,一種選擇性沉積之方法,該方法包括:將包括具有第一表面之金屬材料及具有第二表面之非金屬材料的基板暴露於阻擋化合物,以相對該第二表面在該第一表面上形成阻擋層;及按順序將基板暴露於有機金屬前驅物及反應物以相對第一表面上之阻擋層在第二表面上形成薄膜,該有機金屬前驅物大體上不含鹵素且大體上不含氧;及自第一表面移除阻擋層。
本揭示案之另外實施例係針對形成金屬通孔之方法。在一或更多個實施例中,一種形成金屬通孔之方法包括:提供具有基板表面之基板,該基板表面具有形成於其中之至少一個特徵,該至少一個特徵具有側壁及底部,該側壁包括非金屬材料表面,該底部包括金屬材料表面;將基板暴露於阻擋化合物,以相對非金屬材料表面在金屬材料表面上選擇性地形成阻擋層;按順序將基板暴露於有機金屬前驅物及反應物以相對金屬材料表面上之阻擋層在非金屬材料表面上形成薄膜,該有機金屬前驅物大體上不含鹵素且大體上不含氧;視情況自金屬材料表面移除阻擋層;及在該至少一個特徵內沉積導電填充材料以形成低電阻金屬通孔。
在描述本揭示案之若干例示性實施例之前,應理解,本揭示案並不限於以下描述中所述之構造或製程步驟的細節。本揭示案能夠有其他實施例並能夠以各種方式來實踐或執行。
如在本說明書及附加申請專利範圍中所使用,術語「基板」代表製程在其上起作用之表面,或表面的一部分。熟習此項技術者亦應理解,對基板之引用亦可僅代表基板的一部分,除非上下文中另外明確指出。另外,對在基板上沉積之引用可意謂裸基板以及具有沉積或形成於其上之一或更多個薄膜或特徵的基板。
如在本說明書及附加申請專利範圍中所使用,可互換地使用術語「基板」及「晶圓」,均代表製程在其上起作用之表面,或表面的一部分。熟習此項技術者亦應理解,對基板之引用亦可僅代表基板的一部分,除非上下文中另外明確指出。另外,對在基板上沉積之引用可意謂裸基板以及具有沉積或形成於其上之一或更多個薄膜或特徵的基板。
如本文中所使用,「基板」代表在製造製程期間在其上執行薄膜處理之任何基板或形成在基板上之材料表面。舉例而言,取決於應用,可在其上執行處理之基板表面包括諸如以下各者之材料:矽、氧化矽、應變矽、絕緣層上矽晶(silicon on insulator; SOI)、碳摻雜之氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,以及任何其他材料,諸如金屬、金屬氮化物、金屬合金及其他導電材料。基板包括但不限於半導體晶圓。可將基板暴露於預處理製程,以研磨、蝕刻、還原、氧化、羥基化、退火、UV固化、電子束固化及/或烘烤基板表面。除了直接在基板表面自身上進行薄膜處理以外,在本揭示案中,亦可在形成於基板上的底層(如以下更詳細地揭示)上執行所揭示之薄膜處理步驟中之任一者,且術語「基板表面」意欲包括此些底層,如上下文中所指示。因此,例如,在薄膜/層或部分薄膜/表面已沉積至基板表面上的情況下,最新沉積之薄膜/層的已暴露表面變成基板表面。
如在本說明書及附加申請專利範圍中所使用,可互換地使用術語「反應氣體」、「前驅物」、「反應物」及其類似者,以意謂包括與基板表面反應之物質的氣體。
化學氣相沉積(CVD)製程(包括電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition; PECVD))與原子層沉積(Atomic Layer Deposition; ALD)不同。ALD製程為自限制製程,其中使用二元(或更高階)反應來沉積單個材料層。製程繼續進行直至基板上之全部可用的活性位點皆已反應為止。CVD製程並非自限制的,且薄膜可生長至任何預定厚度。PECVD依賴於使用電漿狀態下之能量來產生更多反應自由基。
一或更多個實施例提供用於反向選擇性沉積製程之不具有鹵素配位子及氧部分的有機金屬前驅物。更具體而言,一或更多個實施例提供用於氮化鈦(TiN)薄膜的反向沉積之不具有鹵素及氧部分之有機金屬前驅物。在一或更多個實施例中,鈦物質(Ti4+ )的強路易斯(Lewis)酸與具有η3、η5或η6配位子之烷基胺的弱路易斯鹼配對。本揭示案之實施例提供用於在金屬材料表面上選擇性地形成阻障層之方法。本揭示案之一些實施例提供用於相對金屬材料表面在非金屬材料表面上選擇性地沉積薄膜之方法。
如本文中所使用,術語「鹵素」代表二元相,其中一部分為鹵素原子,且另一部分為比鹵素具有更少負電性之元素或自由基,用以形成氟化物、氯化物、溴化物、碘化物或砈化物(astatide)化合物。鹵化物離子為帶有負電荷之鹵素原子。如熟習此項技術者所已知,鹵化物陰離子包括氟離子(F-)、氯離子(Cl-)、溴離子(Br-)、碘離子(I-)及砈離子(At-)。在一或更多個實施例中,有機金屬前驅物大體上不含鹵素及/或鹵化物。如本文中所使用,術語「大體上不含」意謂在有機金屬前驅物中存在小於5%(包括小於4%、小於3%、小於2%、小於1%及小於0.5%)之鹵素或鹵化物。
在一或更多個實施例中,有機金屬前驅物大體上不含氧。如本文中所使用,術語「大體上不含」意謂在有機金屬前驅物中存在小於5%(包括小於4%、小於3%、小於2%、小於1%及小於0.5%)之氧。
本揭示案之一些實施例有利地提供相對介電質表面在金屬表面上選擇性地沉積具有高純度之金屬薄膜。舉例而言,相對介電質在銅上選擇性地沉積金屬(例如,鈦)有利地提供了銅封蓋層,而無需額外的蝕刻或微影步驟。另外,選擇性蝕刻亦可在底部及介電質側壁處實現對具有金屬觸點之特徵(例如,溝槽、通孔)自底向上之縫隙填充。
本揭示案之一些實施例有利地提供相對金屬表面在介電質表面上選擇性地沉積具有高純度之金屬薄膜。舉例而言,在介電質之上選擇性地沉積金屬有利地在後端應用中在阻障層或其他介電質上提供金屬層。
如本文中所使用,用語「金屬材料表面」或「非金屬材料表面」分別代表金屬或非金屬材料之表面。在一些實施例中,非金屬材料為介電材料。
如本文中所使用,術語「相對第二表面在第一表面上選擇性地沉積」及其類似者意謂在第一表面上沉積第一量或厚度且在第二表面上沉積第二量或厚度,其中第二量或厚度小於第一量或厚度,或在一些實施例中,在第二表面上無沉積量。
如本文中所使用,術語「相對(over)」並不暗指一個表面在另一表面之頂部上的物理定向,而係暗指與一個表面相對於另一表面之化學反應的熱力學或運動學性質之間的關係。舉例而言,相對介電質表面選擇性地將鈷薄膜沉積至銅表面上意謂鈷薄膜沉積在銅表面上且較少或無鈷薄膜沉積在介電質表面上;或意謂相對於在介電質表面上形成鈷薄膜,在銅表面上形成鈷薄膜在熱力學或運動學上係有利的。
在一些實施例中,術語「選擇性地」意謂標的材料在所選表面上以大於或等於在非所選表面上之形成速率的約2倍、3倍、4倍、5倍、7倍、10倍、15倍、20倍、25倍、30倍、35倍、40倍、45倍或50倍之速率形成。換言之,所述製程相對於非所選表面而言對所選表面之選擇性大於或等於約2:1、3:1、4:1、5:1、7:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1或50:1。
一或更多個實施例之有機金屬前驅物係由烷基胺配位子與烷烴合成的,諸如但不限於,取代的環戊二烯衍生物。舉例而言,在一或更多個實施例中,有機金屬前驅物具有式(I)之結構:
Figure 02_image001
,其中R1 、R2 、R3 及R4 獨立地選自氫(H)及烷基;R5 、R6 及R7 獨立地包括烷基胺;且n為自0至8之範圍中的數字。
除非另外指示,否則本文中單獨使用或作為另一基團的一部分使用之術語「低級烷基」、「烷基」或「烷」包括在正鏈中含有1至20個碳之直鏈及支鏈烴,諸如,甲基、乙基、丙基、異丙基、丁基、第三丁基、異丁基、戊基、己基、異己基、庚基、4,4-二甲基戊基、辛基、2,2,4-三甲基戊基、壬基、癸基、十一烷基、十二烷基、其各種支鏈的異構體,及其類似者。此些基團可視情況包括高達1至4個取代基。
在一或更多個實施例中,R1 、R2 、R3 、R4 包括但不限於氫、甲基、乙基、丙基、異丙基、丁基、異丁基及第三丁基。
除非另外指定,否則如本文中單獨地或作為另一基團的一部分所使用,術語「烷基胺」代表含有一或更多個烷基之胺。
在一或更多個實施例中,烷基胺配位子可選自二甲胺、二乙胺、二丙胺及二異丙胺。在一或更多個實施例中,R5 、R6 及R7 獨立地包括選自-N(Me)2 、-N(Et)3 、-N(丙基)2 及N-(異丙基)2 的烷基胺。
在一或更多個實施例中,作為L2 X型配位子,飽和直鏈烴(諸如但不限於取代的環戊二烯基配位子)被用於設計無氧及非鹵化鈦分子錯合物。
在其他實施例中,有機金屬前驅物具有選自式(a)、式(b)及式(c)之胍基錯合物結構:
Figure 02_image003
其中R1 、R2 、R3 及R4 獨立地選自氫(H)及烷基;且n為自0至8之範圍中的數字。
在一或更多個實施例中,R1 、R2 、R3 、R4 包括但不限於氫、甲基、乙基、丙基、異丙基、丁基、異丁基及第三丁基。
雖然在以上式(a)、(b)及(c)中,描繪了二甲胺,但熟習此項技術者將認識到,可使用任何二烷基胺來代替二甲胺。在一或更多個實施例中,烷基胺配位子可選自二甲胺、二乙胺、二丙胺及二異丙胺。在一或更多個實施例中,R5 、R6 及R7 獨立地包括選自-N(Me)2 、-N(Et)3 、-N(丙基)2 及N-(異丙基)2 的烷基胺。
在另外實施例中,有機金屬前驅物可選自式(d)、式(e)及式(f):
Figure 02_image005
Figure 02_image007
Figure 02_image009
在式(d)、式(e)及式(f)中,R為氫(H)或-(CH2 )n ,其中n為0至8,R1 及R3 為氫或烷基,且X為碳(C)或氮(N)。在一或更多個實施例中,R1 及R3 包括但不限於氫、甲基、乙基、丙基、異丙基、丁基、異丁基及第三丁基。
在另外實施例中,有機金屬前驅物選自如下各者中之一或更多者:
Figure 02_image011
其中R1 、R2 、R3 及R4 獨立地選自氫(H)及烷基。在一或更多個實施例中,R1 、R2 、R3 、R4 包括但不限於氫、甲基、乙基、丙基、異丙基、丁基、異丁基及第三丁基。
在一或更多個實施例中,X及Y獨立地選自碳(C)或氮(N),且n為自0至8之範圍中的數字。
一或更多個實施例提供相對第二表面在基板之第一表面上選擇性地形成阻障層的方法。基板包括具有第一表面之金屬材料,及具有第二表面之非金屬材料。在一些實施例中,可將第一表面描述為金屬材料表面,且可將第二表面描述為非金屬材料表面。在一些實施例中,阻障層包括金屬薄膜。在一或更多個實施例中,阻障層包括氮化鈦(TiN)。
基板之金屬材料可為任何適當的金屬材料。在一些實施例中,本揭示案之金屬材料為導電材料。適當的金屬材料包括但不限於金屬、金屬氮化物、一些金屬氧化物、金屬合金、矽、其組合,及其他導電材料。
在一些實施例中,金屬材料包括鉻(Cr)、錳(Mn)、鐵(Fe)、銅(Cu)、鎳(Ni)、鈷(Co)、鎢(W)、釕(Ru)、鉬(Mo)、鉭(Ta)、鈦(Ti)、釩(V)或其組合。在一些實施例中,金屬材料基本上由鉻(Cr)、錳(Mn)、鐵(Fe)、銅(Cu)、鎳(Ni)、鈷(Co)、鎢(W)、釕(Ru)、鉬(Mo)、氮化鉭(TaN)、氮化鈦(TiN)或氮化釩(VN)所組成。如在本說明書及附加申請專利範圍中所使用,術語「基本上由……組成」意謂該材料按原子計大於或等於所述材料的約95%、98%或99%。
基板之非金屬材料可為任何適當材料。在一些實施例中,本揭示案之非金屬材料為介電材料。適當的非金屬材材料包括但不限於氧化矽(例如,SiO2 )、氮化矽、碳化矽及其組合(例如,SiCON)。在一些實施例中,非金屬材料基本上由二氧化矽(SiO2 )組成。在一些實施例中,非金屬材料包括氮化矽。在一些實施例中,非金屬材料基本上由氮化矽組成。
本揭示案之實施例提供處理方法以將鈦層提供在期望位置處,包括改良底部覆蓋率及鈦薄膜在高深寬比特徵中之選擇性沉積。如在本說明書及附加申請專利範圍中所使用,術語相對另一表面在一個表面上「選擇性沉積」及「選擇性形成」薄膜意謂在第一表面上沉積薄膜的第一量且在第二表面上沉積薄膜的第二量,其中薄膜的第二量小於薄膜的第一量或不存在。在此方面所使用之術語「相對」並不暗指一個表面在另一表面之頂部上的物理定向,而係暗指與一個表面相對於另一表面之化學反應的熱力學或運動學性質之間的關係。舉例而言,相對二氧化矽(SiO2 )表面選擇性地將鈦薄膜沉積至矽(Si)表面上意謂鈦薄膜沉積在Si表面上且較少鈦薄膜沉積在SiO2 表面上;或意謂相對於在SiO2 表面上形成鈦薄膜,在Si表面上形成鈦薄膜在熱力學或運動學上係有利的。換言之,可相對於第二表面選擇性地將薄膜沉積至第一表面上意謂相對於沉積在第二表面上而言,沉積在第一表面上更有利。
本揭示案之實施例係針對使用電漿增強化學氣相沉積(PECVD)相對於不同材料之表面上而優先在金屬表面上沉積金屬薄膜的方法。一些實施例之PECVD製程包括將基板表面暴露於有機金屬前驅物及共反應物。在一或更多個實施例中,共反應物可包括一或更多種物質之混合物。在一或更多個實施例中,共反應物氣體包括氬氣(Ar)、氧氣(O2 )、氫氣(H2 )、氮氣(N2 )、氫氣/氮氣(H2 /N2 )及氨氣(NH3 )中之一或更多者。
電漿氣體可為任何適當氣體,其可被點燃以形成電漿及/或可充當有機金屬前驅物之載氣或稀釋劑。在一或更多個實施例中,電漿氣體包括氨氣(NH3 ),且將氨氣用於電漿處理以活化有機金屬前驅物中之一或更多者。
在一或更多個實施例中,電漿包括氮氣(N2 )、氬氣(Ar)、氦氣(He)、氫氣(H2 )、一氧化碳(CO)、氧氣(O2 )、氨氣(NH3 )或二氧化碳(CO2 )中之一或更多者。在一些實施例中,電漿為遠端電漿。
在一或更多個實施例中,可遠端地或在處理腔室內產生電漿。
在一或更多個實施例中,沉積製程係在製程空間中在範圍自0.1毫托至10托之壓力下進行的,包括約0.1毫托、約1毫托、約10毫托、約100毫托、約500毫托、約1托、約2托、約3托、約4托、約5托、約6托、約7托、約8托、約9托及約10托之壓力。
含有前驅物之氣體混合物可進一步包括選自氦氣(He)、氬氣(Ar)、氙氣(Xe)、氮氣(N2 )或氫氣(H2 )中之稀釋氣體中的一或更多者。一些實施例之稀釋氣體包括相對於反應物及基板材料而言為惰性氣體之化合物。
可自頂電極及底電極或側電極形成電漿(例如,電容耦合電漿)。該等電極可由單供電電極、雙供電電極或具有多個頻率(諸如但不限於350 KHz、2MHz、13.56MHz、27 MHz、40 MHz、60 MHz及100 MHz)之更多電極形成,在CVD系統中交替地或同時地使用該多個頻率連同本文所列出之反應氣體中之任何者或全部,以沉積介電質之薄膜。在一些實施例中,電漿為電容耦合電漿(capacitively coupled plasma; CCP)。在一些實施例中,電漿為電感耦合電漿(inductively coupled plasma; ICP)。在一些實施例中,電漿為微波電漿。
在一或更多個實施例中,電漿為電感耦合電漿(ICP)或導電耦合電漿(conductively coupled plasma; CCP)。取決於(例如)反應物或其他製程條件,可使用任何適當功率。在一些實施例中,以在約10 W至約10 kW之範圍中的電漿功率產生電漿。
參考第1A圖至第1D圖,例示性方法100以包括具有第一表面108之金屬材料104及具有第二表面110之非金屬材料106的基板102開始。在一些實施例中,將基板暴露於阻擋化合物(未繪示),以相對第二表面110在第一表面108上選擇性地形成阻擋層113。在一些實施例中,將阻擋層113之表面描述為被阻擋的第一表面。
在一些實施例中,在暴露於阻擋化合物之前,清潔第一表面108。可藉由任何適當方法來清潔第一表面,包括但不限於氫熱退火、乙醇清潔或電漿氫清潔。
若使用阻擋化合物,則該阻擋化合物可為熟習此項技術者所已知之任何適當阻擋化合物。
在一些實施例中,方法100繼續相對被阻擋的第一表面113(參見第1B圖)在第二表面110上沉積薄膜112。可藉由任何已知方法來沉積薄膜112。
在一些實施例中,藉由原子層沉積來沉積薄膜112。如本文中所使用,「原子層沉積」或「循環沉積」代表按順序暴露兩種或更多種反應化合物以在基板表面上沉積材料層。如在本說明書及附加申請專利範圍中所使用,可互換地使用術語「反應化合物」、「反應氣體」、「反應物質」、「前驅物」、「製程氣體」及其類似者,以意謂具有能夠在表面反應(例如,化學吸附、氧化、還原)中與基板表面或基板表面上的材料反應之物質的物質。將基板或基板的部分單獨地暴露於被引入處理腔室之反應區域中的兩種或更多種反應化合物。在時域ALD製程中,藉由時間延遲來分離開暴露於每種反應化合物,以允許每種化合物在基板表面上黏附及/或反應並接著自處理腔室加以淨化。此些反應化合物被視為按順序地暴露於基板。在空間ALD製程期間,基板表面之不同部分或基板表面上之材料同時地暴露於兩種或更多種反應化合物,使得基板上之任何給定點大體上不會同時暴露於一種以上的反應化合物。如在本說明書及附加申請專利範圍中所使用,熟習此項技術者將理解,在此方面所使用之術語「大體上」意謂有可能基板的小部分可同時暴露於多種反應氣體(由於擴散),且該同時暴露係非預期的。
在時域ALD製程之一個態樣中,第一反應氣體(亦即,第一前驅物或化合物A)以脈衝進入反應區域中,之後為第一時間延遲。接下來,第二前驅物或化合物B以脈衝進入反應區域中,之後為第二延遲。在每一時間延遲期間,將淨化氣體(諸如,氬氣)引入處理腔室中,以淨化反應區域或以其他方式自反應區域移除任何殘留的反應化合物或反應副產物。或者,淨化氣體可貫穿沉積製程連續地流動,以使得在反應化合物之脈衝之間的時間延遲期間僅淨化氣體流動。反應化合物交替地發脈衝,直至在基板表面上形成了期望之薄膜或薄膜厚度為止。在任一情境下,將化合物A、淨化氣體、化合物B及淨化氣體脈衝化之ALD製程係一循環。循環可以化合物A或化合物B開始,並繼續循環之相應次序,直至實現了具有預定厚度之薄膜為止。
在空間ALD製程之實施例中,第一反應氣體及第二反應氣體(例如,金屬前驅物氣體)被同時輸送至反應區域,但藉由惰性氣體幕及/或真空幕分離開。基板相對於氣體輸送裝置移動,以使得基板上之任何給定點皆暴露於第一反應氣體及第二反應氣體。
如本文中所使用,「化學氣相沉積」代表其中基板表面同時地或大體上同時地暴露於前驅物及/或輔試劑之製程。如本文中所使用,「大體上同時」代表共流或前驅物之大部分暴露重疊之處。
如在本說明書及附加申請專利範圍中所使用,可互換地使用術語「反應化合物」、「反應氣體」、「反應物質」、「前驅物」、「製程氣體」及其類似者,以意謂具有能夠在表面反應(例如,化學吸附、氧化、還原)中與基板表面或基板表面上的材料反應之物質的物質。
在一些實施例中,藉由按順序將基板102暴露於一或更多個實施例之有機金屬前驅物及反應物來沉積薄膜112。在一些實施例中,薄膜112包括金屬氮化物。在一些實施例中,薄膜112包括金屬氧化物。在一些實施例中,薄膜112包括矽(Si)、鋁(Al)、鈦(Ti)、鉭(Ta)、釩(V)、鉿(Hf)及鋯(Zr)中之一或更多者。
在一些實施例中,薄膜112充當阻障薄膜、阻障層或擴散層。在一些實施例中,薄膜112包括氮化鈦。在一些實施例中,薄膜112包括氮化釩。在一些實施例中,薄膜包括氮化鉭。在一些實施例中,薄膜112包括氧化鋁。在一些實施例中,在不使用電漿的情況下形成薄膜。
在一些實施例中,在不會影響阻擋層113之穩定性的溫度下沉積薄膜112。在一些實施例中,薄膜112在約100℃至約380℃之範圍中或在約100℃至約400℃之範圍中的溫度下沉積。
在一些實施例中,在ALD循環之間,將基板暴露於阻擋化合物。在一些實施例中,在每一沉積循環之後,可使基板重新暴露於阻擋化合物。在一些實施例中,在若干沉積循環之後,可使基板重新暴露於阻擋化合物。
在一些實施例中,該方法繼續自第一表面108移除阻擋層。可藉由任何適當手段來移除阻擋層,包括但不限於電漿清潔製程或熱分解。
在一些實施例中,將基板暴露於電漿以自第一表面108移除阻擋層113。在一些實施例中,電漿包括氬氣(Ar)、氮氣(N2 )或氫氣(H2 )。在一些實施例中,電漿基本上由氬氣組成。在一些實施例中,電漿包括H2 /Ar之混合物。在一些實施例中,H2 /Ar之混合物為約1:1。
可取決於阻擋層及周圍材料之組分及厚度而改變電漿之功率。在一些實施例中,電漿功率在約50 W至約500 W之範圍中,在約100 W至約450 W之範圍中,或在約200 W至約400 W之範圍中。在一些實施例中,電漿功率為約50 W、約200 W或約400 W。
可取決於阻擋層及周圍材料之組分及厚度而改變電漿暴露之持續時間。在一些實施例中,基板暴露於電漿歷時在約2 s至約6 s之範圍中、在約3 s至約30 s之範圍中或在約5 s至約10 s之範圍中的週期。在一些實施例中,基板暴露於電漿歷時約3 s、約5 s、約10 s或約30 s之週期。
在一些實施例中,將基板暴露於高溫下以自第一表面108移除阻擋層113。在一些實施例中,該高溫大於或等於約300℃、大於或等於約320℃、大於或等於約325℃、大於或等於約330℃、大於或等於約350℃、大於或等於約380℃,或大於或等於約400℃。
出於第1A圖至第1D圖之目的,金屬薄膜112包括鈦,具有第一表面之金屬材料104包括矽(Si),且具有第二表面110之非金屬材料106包括SiOx或SiN。本揭示案係針對可包括但不限於鈦、釩、鋯及/或鉿之金屬薄膜。在特定實施例中,金屬薄膜包括鈦。可視情況藉由摻雜劑來摻雜此些金屬薄膜,該摻雜劑包括但不限於磷(P)、砷(As)及/或硼(B)。金屬表面可包括但不限於Si、Ge及/或SiGe。不同材料之表面可包括但不限於氧化矽(SiOx )、氮化矽(SiN)、氧氮化矽(SiON),其中每一者視情況經碳摻雜。
參考第2A圖至第2C圖,例示性方法200以提供基板202開始,該基板202具有其中形成有至少一個特徵208之基板表面210。該至少一個特徵208具有側壁212、214及底部206。側壁212、214包括非金屬材料216表面。底部206包括金屬材料204表面。
參考第2B圖,方法200繼續將基板202暴露於阻擋化合物(未示出),以相對側壁212、214上的非金屬材料216表面在特徵208的底部206上之金屬材料204表面上選擇性地形成阻擋層213。在一或更多個實施例中,有機金屬前驅物之烴鏈充當用於相對金屬材料204在非金屬表面216上反向選擇性沉積金屬薄膜之阻擋化合物。
參考第2C圖,方法200繼續相對阻擋層213在特徵208的側壁212、214上之非金屬材料216表面上沉積金屬薄膜218。在一些實施例中,藉由按順序將基板202暴露於一或更多個實施例之有機金屬前驅物及反應物來沉積金屬薄膜218。
參考第2D圖,方法200視情況包括自特徵208之底部206上的金屬材料204表面移除阻擋層213。第2D圖根據一些實施例示出在移除了阻擋層213之後的基板202。
在不受理論束縛的情況下,咸信與大多數阻障層(例如,薄膜218)通常所見之電阻增加相比較而言,阻擋層僅略微增加了金屬通孔之電阻。因此,移除阻擋層為可選製程,其可進一步減小金屬通孔之電阻。
參考第2E圖,方法200繼續在至少一個特徵208內沉積導電填充材料220以形成低電阻金屬通孔。在一些實施例中,該低電阻金屬通孔具有小於或等於在無阻擋層的情況下所形成之金屬通孔的約80%之電阻。換言之,藉由包括阻擋層213之所揭示製程形成的低電阻金屬通孔提供了大於或等於約20%之通孔電阻降低。
參考第3A圖至第3C圖(其為根據一或更多個實施例之基板的橫截面圖),例示性方法300以基板302開始,該基板302具有帶有非金屬材料316之第一金屬210,及形成於其上之第二金屬304。在一或更多個實施例中,至少一個特徵308形成於其中。該至少一個特徵308具有側壁312、314及底部306。側壁312、314包括非金屬材料316表面。底部306包括金屬材料304表面。
參考第3B圖,方法300繼續將基板302暴露於一或更多個實施例之有機金屬前驅物,以相對第二金屬層304在特徵308的側壁312、314上之非金屬材料316表面上選擇性地形成或選擇性地沉積金屬薄膜318。在一些實施例中,藉由按順序將基板302暴露於一或更多個實施例之有機金屬前驅物及反應物來沉積金屬薄膜318。
參考第3C圖,方法300繼續在至少一個特徵308內沉積縫隙填充材料320。
第4圖根據本揭示案之一或更多個實施例描繪製程流程圖。參考第4圖,用於在基板上形成金屬薄膜之通用方法400一般以操作402開始,此處可提供將在其上形成金屬薄膜之基板並將基板放置至處理腔室中。在操作404處,可視情況預處理基板。在操作410處,藉由將基板暴露於根據一或更多個實施例之有機金屬前驅物而形成金屬薄膜。在一些實施例中,使用阻擋化合物,以使得相對介電表面金屬薄膜反向選擇性地沉積在金屬表面之上。在一些實施例中,一或更多個實施例之有機金屬之烷基配位子的烴經設計用於反向選擇性金屬沉積期間之金屬表面阻擋。在操作412處,確定金屬薄膜是否已實現預定厚度。若尚未實現預定厚度,則方法400返回至操作410,以繼續形成金屬薄膜直至達到預定厚度為止。一旦已達到預定厚度,則方法400可結束或進行至操作414,以用於可選的進一步處理(例如,另一金屬薄膜之塊體沉積)。在一或更多個實施例中,金屬薄膜可經沉積以形成約10 Å至約10,000 Å之總的層厚度,或在一或更多個實施例中,為約10 Å至約1000 Å,或在一或更多個實施例中,為約50 Å至約5,000 Å。在一或更多個實施例中,金屬薄膜包括氮化鈦(TiN)。
第5圖根據本揭示案之一或更多個實施例繪示描繪用於在基板上形成金屬薄膜之通用方法的製程流程圖。方法500大體以操作502開始,此處可將待在其上形成金屬薄膜之基板放置至處理腔室中。在一或更多個實施例中,在操作504處,視情況將基板暴露於阻擋化合物。阻擋化合物可有用於控制沉積製程在包括金屬表面及介電表面之基板上的選擇性。
在一或更多個實施例中,在操作510處,在基板上形成金屬薄膜。在一或更多個實施例中,可經由循環沉積製程(諸如,原子層沉積(ALD)或其類似者)形成金屬薄膜。在一或更多個實施例中,在操作510處形成金屬薄膜之製程可在操作504處藉由將基板暴露於第一製程氣體(或第一反應氣體)歷時第一時間週期來開始。在一或更多個實施例中,第一製程氣體包括如上所述之有機金屬前驅物。
基板暴露於含有機金屬前驅物之氣體的時間週期可為允許有機金屬前驅物在(若干)基板表面上形成足夠的吸收層所需之任何適當的時間量。舉例而言,製程氣體可流至製程腔室中歷時約0.1秒至約90秒之週期。在一些時域ALD製程中,基板表面暴露於含有有機金屬前驅物之氣體歷時在約0.1秒至約90秒之範圍中、或在約0.5秒至約60秒之範圍中、或在約1秒至約30秒之範圍中、或在約2秒至約25秒之範圍中、或在約3秒至約20秒之範圍中、或在約4秒至約15秒之範圍中或在約5秒至約10秒之範圍中的時間。
在一或更多個實施例中,可另外將惰性氣體與含有有機金屬前驅物之氣體同時提供至製程腔室。惰性氣體可與含有有機金屬前驅物之氣體(例如,作為稀釋氣體)混合或單獨地提供,且可呈脈衝或為恆定流量。在一或更多個實施例中,惰性氣體以約1 sccm至約10000 sccm之範圍中的恆定流量流至處理腔室中。惰性氣體可為任何惰性氣體,例如,氬氣(Ar)、氦氣(He)、氖氣(Ne)或其組合。
可(例如)藉由設定基板支撐件或基座之溫度來控制沉積期間基板之溫度。在一或更多個實施例中,將基板保持在約0℃至約600℃之範圍中、或約25℃至約500℃之範圍中、或約50℃至約450℃之範圍中、或約100℃至約400℃之範圍中、或約200℃至約400℃之範圍中或約250℃至約350℃之範圍中的溫度下。在一或更多個實施例中,將基板維持在低於金屬前驅物之分解溫度的溫度下。在一或更多個實施例中,將基板維持在低於有機金屬前驅物之分解溫度的溫度下。在一或更多個實施例中,將基板維持在介於有機金屬前驅物之分解溫度之間的溫度下。
除了前述各者以外,可在將基板暴露於含有有機金屬前驅物之氣體的同時調節額外的製程參數。舉例而言,在一或更多個實施例中,可將製程腔室維持在約0.2托至約100托、或在約0.3托至約90托之範圍中、或在約0.5托至約80托之範圍中或在約1托至約50托之範圍中的壓力下。
接下來,在操作506處,可使用惰性氣體來淨化製程腔室(尤其係在時域ALD中)。(此在空間ALD製程中可能並不需要,因為存在將反應氣體分離開之氣幕。)惰性氣體可為任何惰性氣體,例如,氬氣、氦氣、氖氣或其類似者。在一或更多個實施例中,該惰性氣體可與在操作510處將基板暴露於含有有機金屬前驅物之氣體期間所提供至製程腔室之惰性氣體相同,或者可與之不同。在其中惰性氣體相同之實施例中,可藉由如下各者來執行淨化:自製程腔室分流第一製程氣體;允許惰性氣體流經製程腔室;淨化掉製程腔室中任何過量的第一製程氣體成分或反應副產物。在一或更多個實施例中,可以與結合上述第一製程氣體所使用之相同流動速率來提供惰性氣體,或在一或更多個實施例中,可增大或減小該流動速率。舉例而言,在一或更多個實施例中,可以約0 sccm至約10000 sccm之流動速率向製程腔室提供惰性氣體,以淨化製程腔室。在空間ALD中,在反應氣體流之間維持淨化氣體幕,且淨化製程腔室可能並非必要的。在空間ALD製程之一或更多個實施例中,可藉由惰性氣體來淨化製程腔室或製程腔室之區域。
惰性氣體的流動可促成自製程腔室移除任何過量的第一製程氣體成分及/或過量的反應副產物,以防止第一及第二製程氣體之非所想要的氣相反應。
接下來,在操作508處,將基板暴露於第二製程氣體歷時第二時間週期。第二製程氣體包括前驅物,該前驅物與基板表面上之有機金屬前驅物的吸收層反應以沉積金屬薄膜。
在一或更多個實施例中,在操作512處,可使用惰性氣體來淨化製程腔室。惰性氣體可為任何惰性氣體,例如,氬氣(Ar)、氦氣(He)、氖氣(Ne)或其類似者。在一或更多個實施例中,該惰性氣體可與在先前製程例程期間提供至製程腔室之惰性氣體相同,或者可與之不同。在其中惰性氣體相同之實施例中,可藉由如下各者來執行淨化:自製程腔室分流第二製程氣體;允許惰性氣體流經製程腔室;淨化掉製程腔室中任何過量的第二製程氣體成分或反應副產物。在一或更多個實施例中,可以與結合上述第二製程氣體所使用之相同流動速率來提供惰性氣體,或在一或更多個實施例中,可增大或減小該流動速率。舉例而言,在一或更多個實施例中,可以大於0 sccm至約10,000 sccm之流動速率向製程腔室提供惰性氣體,以淨化製程腔室。
雖然第5圖中所示之處理方法的一般實施例僅包括反應氣體之兩個脈衝,但應理解,此僅為例示性的,且可使用反應氣體之額外脈衝。在一或更多個實施例中,在不使用含氧反應氣體的情況下執行該方法。操作510之子製程包括一循環。可以任何次序來執行循環,只要藉由處理腔室之淨化將反應氣體分離開即可。在一或更多個實施例中,以大於或等於約0.2 Å/循環、大於或等於約0.3 Å/循環、大於或等於約0.4 Å/循環、大於或等於約0.5 Å/循環、大於或等於約0.6 Å/循環、大於或等於約0.7 Å/循環、大於或等於約0.8 Å/循環、大於或等於約0.9 Å/循環、大於或等於約1.0 Å/循環或大於或等於約1.2 Å/循環之速率沉積金屬薄膜。
在一或更多個實施例中,沉積製程係在不使用電漿反應物的情況下作為熱製程執行的。換言之,在一或更多個實施例中,在無電漿的情況下執行該方法。
在一或更多個實施例中,在決策點514處,確定金屬薄膜是否已實現了預定厚度。若尚未實現預定厚度,則方法500返回至操作510,以繼續形成金屬薄膜直至達到預定厚度為止。一旦已達到預定厚度,則方法500可結束或進行至操作516,以用於可選的進一步處理(例如,另一金屬薄膜之塊體沉積)。在一或更多個實施例中,金屬薄膜可經沉積以形成約10 Å至約10,000 Å之總的層厚度,或在一或更多個實施例中,為約10 Å至約1000 Å,或在一或更多個實施例中,為約50 Å至約5,000 Å。
在一些實施例中,將基板自第一腔室移動至單獨的、下一腔室用於進一步處理。可直接將基板自第一腔室移動至單獨處理腔室,或可將基板自第一腔室移動至一或更多個移送腔室,並接著移動至單獨處理腔室。因此,處理裝置可包括與移送站連通之多個腔室。此種裝置可稱作「群集工具」或「群集系統」,及其類似者。
大體上,群集工具為包括多個腔室之模組化系統,該多個腔室執行各種功能,包括基板定心及定向、除氣、退火、沉積及/或蝕刻。根據一或更多個實施例,群集工具包括至少第一腔室及中央移送腔室。中央移送腔室可容納機器人,該機器人可使基板在處理腔室與裝載閘腔室之間穿梭。移送腔室通常維持在真空條件下,且提供用於將基板自一個腔室穿梭至另一者及/或穿梭至定位在群集工具之前端的裝載閘腔室之中間階段。可適用於本揭示案之兩種熟知群集工具為Centura® 及Endura® ,其均可購自加利福尼亞州聖克拉拉市的應用材料有限公司。然而,為了執行如本文所述之製程的特定步驟,可更改腔室之確切佈置及組合。可使用之其他處理腔室包括但不限於循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、熱處理(諸如,RTP)、電漿氮化、除氣、定向、羥基化及其他基板製程。藉由在群集工具上之腔室中執行製程,可在沉積後續薄膜之前不進行氧化的情況下避免由大氣雜質引起之基板的表面污染。
根據一或更多個實施例,基板連續處在真空或「裝載閘」條件下,且在自一個腔室移動至下一個時不會暴露於環境空氣中。移送腔室因此處在真空下,且在真空壓力下被「抽空」。處理腔室或移送腔室中可能存在惰性氣體。在一些實施例中,將惰性氣體用作淨化氣體以移除一些或全部反應物(例如,反應物)。根據一或更多個實施例,在沉積腔室之出口處注入淨化氣體以防止反應物(例如,反應物)自沉積腔室移動至移送腔室及/或額外處理腔室。因此,惰性氣體之流動在腔室之出口處形成了幕。
可在單個基板沉積腔室中處理基板,其中在處理另一基板之前裝載、處理及卸載單個基板。亦可以連續方式(類似於傳送帶系統)處理基板,其中多個基板被個別地裝載至腔室之第一部分中,移動經過該腔室並自該腔室之第二部分卸載。該腔室及相關聯傳送帶系統之形狀可形成筆直路徑或彎曲路徑。另外,處理腔室可為轉盤,其中多個基板圍繞中心軸線移動,並在整個轉盤路徑上暴露於沉積、蝕刻、退火、清潔等製程。
在處理期間,可加熱或冷卻基板。此加熱或冷卻可藉由任何適當手段實現,包括但不限於改變基板支撐件之溫度及使加熱或冷卻的氣體流至基板表面。在一些實施例中,基板支撐件包括加熱器/冷卻器,其可受控制以便以導電方式改變基板溫度。在一或更多個實施例中,加熱或冷卻所採用之氣體(反應氣體或惰性氣體)以局部地改變基板溫度。在一些實施例中,加熱器/冷卻器定位在腔室內與基板表面相鄰,以用對流方式改變基板溫度。
在處理期間,基板亦可為固定的或旋轉的。旋轉基板可連續地或以離散步驟(圍繞基板軸線)旋轉。舉例而言,基板可貫穿整個製程旋轉,或基板可在暴露於不同的反應氣體或淨化氣體之間少量地旋轉。使基板在處理期間(連續地或按步驟)旋轉可藉由最小化(例如)氣流幾何形狀之局部可變性的影響而有助於產生更均勻之沉積或蝕刻。
本揭示案之額外實施例係針對用於元件的形成及所述方法(如第5圖中所示)的實踐之處理工具900。群集工具900包括具有複數個側之至少一個中央移送站921、931。機器人925、935定位在中央移送站921、931內,且經配置以使機器人葉片及晶圓移動至複數個側中之每一者。
群集工具900包括連接至中央移送站之複數個處理腔室902、904、906、908、910、912、914、916及918(亦稱作製程站)。各個處理腔室提供與相鄰製程站相隔離之單獨處理區域。處理腔室可為任何適當腔室,包括但不限於物理氣相沉積腔室、UV固化腔室、ICP腔室、蝕刻腔室,及其類似者。製程腔室及部件之特定佈置可取決於群集工具而變化,且不應視為對本揭示案之範疇的限制。
在第5圖中所示之實施例中,工廠介面950連接至群集工具900之前部。工廠介面950包括在工廠介面950之前部951上的裝載腔室954及卸載腔室956。雖然將裝載腔室954示為在左邊且將卸載腔室956示為在右邊,但熟習此項技術者將理解,此僅代表一種可能配置。
裝載腔室954及卸載腔室956之大小及形狀可取決於(例如)正在群集工具900中處理之基板而變化。在所示實施例中,確定裝載腔室954及卸載腔室956之大小以保持晶圓盒,該晶圓盒具有定位在該盒內之複數個晶圓。
機器人952在工廠介面950內且可在裝載腔室954與卸載腔室956之間移動。機器人952能夠將晶圓自裝載腔室954中之盒經由工廠介面950移送至裝載閘腔室960。機器人952亦能夠將晶圓自裝載閘腔室962經由工廠介面950移送至卸載腔室956中之盒。如熟習此項技術者將理解,工廠介面950可具有一個以上機器人952。舉例而言,工廠介面950可具有在裝載腔室954與裝載閘腔室960之間移送晶圓的第一機器人,及在裝載閘962與卸載腔室956之間移送晶圓的第二機器人。
所示群集工具900具有第一部分920及第二部分930。第一部分920經由裝載閘腔室960、962連接至工廠介面950。第一部分920包括第一移送腔室921,該第一移送腔室921具有定位於其中之至少一個機器人925。機器人925亦稱作機器人式晶圓運輸機構。第一移送腔室921關於裝載閘腔室960、962、製程腔室902、904、916、918及緩衝腔室922、924居中地定位。一些實施例之機器人925為多臂機器人,其能夠獨立地一次移動一個以上晶圓。在一些實施例中,第一移送腔室921包括一個以上機器人式晶圓移送機構。第一移送腔室921中之機器人925經配置以在第一移送腔室921周圍的腔室之間移動晶圓。個別晶圓被承載在位於第一機器人式機構之遠端處的晶圓運輸葉片上。
在第一部分920中處理了晶圓之後,可經由直通腔室將該晶圓傳遞至第二部分930。舉例而言,腔室922、924可為單向或雙向的直通腔室。直通腔室922、924可用以(例如)在第二部分930中之處理之前低溫冷卻晶圓,或允許在移回至第一部分920之前進行晶圓冷卻或後期處理。
系統控制器990與第一機器人925、第二機器人935、第一複數個處理腔室902、904、916、918及第二複數個處理腔室906、908、910、912、914通訊。系統控制器990可為可控制處理腔室及機器人之任何適當部件。舉例而言,系統控制器960可為電腦,其包括中央處理單元(central processing unit; CPU)992、記憶體994、輸入/輸出(I/O) 996及支持電路998。控制器990可直接地或經由與特定製程腔室及/或支撐系統部件相關聯之電腦(或控制器)來控制處理工具900。
在一或更多個實施例中,控制器990可為可用在工業環境中用於控制各種腔室及子處理器的任何形式之通用電腦處理器中的一者。控制器990之記憶體994或電腦可讀媒體可為易購記憶體中之一或更多者,諸如,非暫時性記憶體(例如,隨機存取記憶體(central processing unit; RAM))、唯讀記憶體(read  only memory; ROM)、軟碟、硬碟、光學儲存媒體(例如,緊密光碟或數位視訊光碟)、快閃碟,或任何其他形式之數位儲存器,為本端的或遠端的。記憶體994可保留指令集合,該指令集合可由處理器(CPU 922)操作以控制處理工具900之參數及部件。
支持電路998耦接至CPU 992,用於以習知方式支持處理器。此些電路包括快取記憶體、電源供應器、時鐘電路、輸入/輸出電路系統及子系統,及其類似者。一或更多個製程可作為軟體常用程式儲存在記憶體994中,當由處理器執行或調用時,該軟體常用程式使處理器以本文所述之方式控制處理工具900或個別處理單元之操作。亦可藉由第二CPU(未示出)來儲存及/或執行軟體常用程式,該第二CPU位於遠離CPU 992所控制的硬體之處。
亦可以硬體執行本揭示案之製程及方法中的一些或全部。如此,製程可以軟體實施並使用電腦系統執行,以硬體實施為(例如)專用積體電路或其他類型之硬體實施,或實施為軟體與硬體之組合。當由處理器執行時,軟體常用程式將通用電腦轉型為專用電腦(控制器),其控制腔室操作以使得製程得以執行。
在一些實施例中,控制器990具有一或更多種配置來執行個別製程或子製程,以執行該方法。控制器990可連接至中間部件且經配置以操作中間部件,以執行該等方法之功能。舉例而言,控制器990可連接至物理氣相沉積腔室並經配置以控制該物理氣相沉積腔室。
製程可大體作為軟體常式儲存在系統控制器990之記憶體994中,當由處理器執行時,該軟體常式使製程腔室執行本揭示案之製程。亦可藉由第二處理器(未示出)來儲存及/或執行軟體常式,該第二處理器位於遠離處理器所控制的硬體之處。亦可以硬體執行本揭示案之方法的部分或全部。如此,製程可以軟體實施並使用電腦系統執行,以硬體實施為(例如)特殊應用積體電路或其他類型之硬體實施,或實施為軟體與硬體之組合。當由處理器執行時,軟體常式將通用電腦轉型為專用電腦(控制器),其控制腔室操作以使得製程得以執行。
在一些實施例中,系統控制器990具有如下配置:控制化學氣相沉積腔室以在約20℃至約400℃之範圍中的溫度下在基板上沉積薄膜並控制遠端電漿源以在基板上形成金屬薄膜。
在一或更多個實施例中,一種處理工具包括:中央移送站,該中央移送站包括經配置以移動晶圓之機器人;複數個製程站,每一製程站連接至中央移送站並提供與相鄰製程站之處理區域分離開的處理區域,該複數個製程站包括物理氣相沉積腔室及遠端電漿源、UV固化腔室、ICP腔室;及控制器,該控制器連接至中央移送站及該複數個製程站,控制器經配置以啟動機器人以便使晶圓在製程站之間移動,並控制發生在該等製程站中之每一者中的製程。
貫穿本說明書對「一個實施例」、「某些實施例」、「一或更多個實施例」或「實施例」之引用意謂結合實施例所描述之特定特徵、結構、材料或特性包括在本揭示案之至少一個實施例中。因此,貫穿本說明書各處出現的諸如「在一或更多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在實施例中」之用語未必代表本揭示案之同一實施例。另外,可在一或更多個實施例中以任何適當方式組合特定特徵、結構、材料或特性。
儘管已參考特定實施例描述了本文中之揭示內容,但應理解,此些實施例僅說明本揭示案之原理及應用。熟習此項技術者將顯而易見,在不脫離本揭示案之精神及範疇的情況下,可對本揭示案之方法及裝置作出各種修改及變化。因此,預期本揭示案包括在附加申請專利範圍及其等效物之範疇內的修改及變化。
100:方法 102:基板 104:金屬材料 106:非金屬材料 108:第一表面 110:第二表面 112:薄膜 113:阻擋層 200:方法 202:基板 204:金屬材料 206:底部 208:特徵 210:基板表面 212:側壁 213:阻擋層 214:側壁 216:非金屬材料 218:金屬薄膜 220:導電填充材料 300:方法 302:基板 304:第二金屬 306:底部 308:特徵 310:第一金屬 312:側壁 314:側壁 316:非金屬材料 318:金屬薄膜 320:縫隙填充材料 400:通用方法 402:操作 404:操作 408:操作 410:操作 412:操作 414:操作 500:通用方法 502:操作 504:操作 506:操作 508:操作 510:操作 512:操作 514:決策點 516:操作 900:群集工具 902:處理腔室 904:處理腔室 906:處理腔室 908:處理腔室 910:處理腔室 912:處理腔室 914:處理腔室 916:處理腔室 918:處理腔室 920:第一部分 921:中央移送站 922:緩衝腔室 924:緩衝腔室 925:機器人 930:第二部分 931:中央移送站 935:機器人 950:工廠介面 952:機器人 954:裝載腔室 956:卸載腔室 960:裝載閘腔室 962:裝載閘腔室 990:系統控制器 992:中央處理單元(CPU) 994:記憶體 996:輸入/輸出(I/O) 998:支持電路
因此,可詳細地理解本揭示案之上述特徵的方式,可藉由參考實施例來獲得以上簡要概述的本揭示案之更特定描述,一些實施例在附加圖式中繪示。然而,應注意,附加圖式僅繪示本揭示案之典型實施例,且因此不應將其視為對本揭示案之範疇的限制,因為本揭示案可允許其他同等有效的實施例。
第1A圖根據本揭示案之一或更多個實施例繪示基板之部分橫截面圖。
第1B圖根據本揭示案之一或更多個實施例繪示基板之部分橫截面圖。
第1C圖根據本揭示案之一或更多個實施例繪示基板之部分橫截面圖。
第1D圖根據本揭示案之一或更多個實施例繪示基板之部分橫截面圖。
第2A圖根據本揭示案之一或更多個實施例繪示基板之部分橫截面圖。
第2B圖根據本揭示案之一或更多個實施例繪示基板之部分橫截面圖。
第2C圖根據本揭示案之一或更多個實施例繪示基板之部分橫截面圖。
第2D圖根據本揭示案之一或更多個實施例繪示基板之部分橫截面圖。
第2E圖根據本揭示案之一或更多個實施例繪示基板之部分橫截面圖。
第3A圖根據本揭示案之一或更多個實施例繪示基板之部分橫截面圖。
第3B圖根據本揭示案之一或更多個實施例繪示基板之部分橫截面圖。
第3C圖根據本揭示案之一或更多個實施例繪示基板之部分橫截面圖。
第4圖根據本揭示案之一或更多個實施例描繪製程流程圖。
第5圖根據本揭示案之一或更多個實施例描繪製程流程圖。
第6圖根據本揭示案之一或更多個實施例繪示處理平臺之示意圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:方法
302:基板
304:第二金屬
310:第一金屬
312:側壁
314:側壁
316:非金屬材料
318:金屬薄膜
320:縫隙填充材料

Claims (20)

  1. 一種處理方法,包括以下步驟: 在一沉積製程期間相對一基板之一第二表面選擇性地在該基板之一第一表面上沉積一金屬薄膜,該第二表面係與該第一表面不同的一材料,該沉積製程包括使一有機金屬前驅物及一共反應物在該基板之上共流,該有機金屬前驅物大體上不含鹵素且大體上不含氧。
  2. 如請求項1所述之處理方法,其中該第一表面包括一非金屬介電材料,且該第二表面包括一金屬材料。
  3. 如請求項2所述之處理方法,其中該第二表面包括金屬矽(Si)、金屬鍺(Ge)或SiGe合金,其中每一者視情況摻雜有磷(P)、砷(As)及/或硼(B),且該第一表面包括氧化矽(SiOx )、氮化矽(SiN)、氧氮化矽(SiON),其中每一者視情況為碳摻雜的。
  4. 如請求項1所述之處理方法,其中藉由在該第一表面上相對於該第二表面之至少約1.3:1的一選擇性來選擇性地沉積該金屬薄膜。
  5. 如請求項1所述之處理方法,其中該金屬薄膜包括鈦(Ti)。
  6. 如請求項1所述之處理方法,其中該有機金屬前驅物包括為式(I)之一結構:
    Figure 03_image013
    ,其中R1 、R2 、R3 及R4 獨立地選自氫(H)及烷基;R5 、R6 及R7 獨立地包括一烷基胺;且n為自0至8之一範圍中的一數字。
  7. 如請求項1所述之處理方法,其中該有機金屬前驅物包括選自式(a)、式(b)及式(c)之一結構:
    Figure 03_image015
    ,其中R1 、R2 、R3 及R4 獨立地選自氫(H)及烷基;且n為自0至8之一範圍中的一數字。
  8. 如請求項1所述之處理方法,其中該有機金屬前驅物包括選自式(d)、式(e)及式(f)之一結構:
    Figure 03_image017
    (d),
    Figure 03_image019
    (e),及
    Figure 03_image021
    (f),其中R為(H)或-(CH2 )n ,n為自0至8之一數字,R1 及R3 為氫或烷基,且X為碳(C)或氮(N)。
  9. 如請求項1所述之處理方法,其中該有機金屬前驅物選自如下各者中之一或更多者:
    Figure 03_image023
    ,其中R1 、R2 、R3 及R4 獨立地選自氫(H)及烷基,X及Y獨立地選自碳(C)或氮(N),且n為自0至8之一範圍中的一數字。
  10. 如請求項1所述之處理方法,其中該沉積製程為一PECVD製程,其包括在約1至小於約700 毫瓦/cm2 之範圍中的一電漿功率下之一直流電漿及≦500℃之一基板溫度。
  11. 如請求項10所述之處理方法,其中每約0.00001至約100秒提供一電漿功率,歷時約0.0000001至約90秒之一持續時間。
  12. 如請求項10所述之處理方法,其中該PECVD製程包括在約10 kHz至約50 MHz之範圍中的一頻率下之一直流電漿。
  13. 一種選擇性沉積之方法,該方法包括以下步驟: 將包括具有一第一表面之一金屬材料及具有一第二表面之一非金屬材料的一基板暴露於一有機金屬前驅物及一反應物,以相對該第一表面在該第二表面上形成一薄膜,該有機金屬前驅物大體上不含鹵素且大體上不含氧。
  14. 如請求項13所述之方法,其中該有機金屬前驅物包括為式(I)之一結構:
    Figure 03_image025
    ,其中R1 、R2 、R3 及R4 獨立地選自氫(H)及烷基,R5 、R6 及R7 獨立地包括一烷基胺,且n為自0至8之一範圍中的一數字。
  15. 如請求項13所述之方法,其中該有機金屬前驅物包括選自式(a)、式(b)及式(c)之一結構:
    Figure 03_image027
    ,其中R1 、R2 、R3 及R4 獨立地選自氫(H)及烷基;且n為自0至8之一範圍中的一數字。
  16. 如請求項13所述之方法,其中該有機金屬前驅物包括選自式(d)、式(e)及式(f)之一結構:
    Figure 03_image029
    (d),
    Figure 03_image031
    (e),及
    Figure 03_image033
    (f),其中R為(H)或-(CH2 )n ,n為自0至8之一數字,R1 及R3 為氫或烷基,且X為碳(C)或氮(N)。
  17. 如請求項13所述之方法,其中該有機金屬前驅物選自如下各者中之一或更多者:
    Figure 03_image035
    ,其中R1 、R2 、R3 及R4 獨立地選自氫(H)及烷基,X及Y獨立地選自碳(C)或氮(N),且n為自0至8之一範圍中的一數字。
  18. 一種形成金屬通孔之方法,該方法包括以下步驟: 提供具有一基板表面之一基板,該基板表面具有形成於其中之至少一個特徵,該至少一個特徵具有一側壁及一底部,該側壁包括一非金屬材料表面,該底部包括一金屬材料表面; 將該基板暴露於一阻擋化合物,以相對該非金屬材料表面在該金屬材料表面上選擇性地形成一阻擋層; 按順序將該基板暴露於一有機金屬前驅物及一反應物以相對該金屬材料表面上之該阻擋層在該非金屬材料表面上形成一薄膜,該有機金屬前驅物大體上不含鹵素且大體上不含氧; 視情況自該金屬材料表面移除該阻擋層;以及 在該至少一個特徵內沉積一導電填充材料以形成一低電阻金屬通孔。
  19. 如請求項18所述之方法,其中該有機金屬前驅物選自為式(I)、式(a)、式(b)、式(c)、式(d)、式(e)、式(f)之一或更多個結構:
    Figure 03_image037
    Figure 03_image039
    Figure 03_image041
    ,其中R為(H)或-(CH2 )n ,R1 、R2 、R3 及R4 獨立地選自氫(H)及烷基,R5 、R6 及R7 獨立地包括一烷基胺,X及Y獨立地選自碳(C)或氮(N),且n為自0至8之一範圍中的一數字。
  20. 如請求項18所述之方法,其中該薄膜包括氮化鈦。
TW110113410A 2020-04-14 2021-04-14 金屬薄膜之沉積 TW202204660A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/848,113 2020-04-14
US16/848,113 US11286556B2 (en) 2020-04-14 2020-04-14 Selective deposition of titanium films

Publications (1)

Publication Number Publication Date
TW202204660A true TW202204660A (zh) 2022-02-01

Family

ID=78006104

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110113410A TW202204660A (zh) 2020-04-14 2021-04-14 金屬薄膜之沉積

Country Status (6)

Country Link
US (1) US11286556B2 (zh)
JP (1) JP2023516858A (zh)
KR (1) KR20220114060A (zh)
CN (1) CN115003854A (zh)
TW (1) TW202204660A (zh)
WO (1) WO2021211485A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230274932A1 (en) * 2022-02-28 2023-08-31 Tokyo Electron Limited Selective inhibition for selective metal deposition
US20240052480A1 (en) * 2022-08-15 2024-02-15 Applied Materials, Inc. Methods for Selective Molybdenum Deposition
CN117510097A (zh) * 2023-12-29 2024-02-06 核工业西南物理研究院 一种硅基陶瓷表面金属化方法及应用

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5278100A (en) * 1991-11-08 1994-01-11 Micron Technology, Inc. Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US20030017268A1 (en) 2001-07-18 2003-01-23 Applied Materials, Inc. .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
CN101827956A (zh) * 2007-09-14 2010-09-08 西格玛-奥吉奇公司 采用基于单环戊二烯基钛的前体通过原子层沉积制备含钛薄膜的方法
JP5957017B2 (ja) * 2011-03-15 2016-07-27 メカロニックス シーオー. エルティディ.Mecharonics Co. Ltd. 新規な4b族有機金属化合物及びその製造方法
US9269615B2 (en) 2012-07-20 2016-02-23 Globalfoundries Inc. Multi-layer barrier layer for interconnect structure
US10043709B2 (en) 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US9716065B2 (en) 2015-09-14 2017-07-25 International Business Machines Corporation Via bottom structure and methods of forming
US20180158686A1 (en) * 2016-11-23 2018-06-07 Applied Materials, Inc. Deposition Of Metal Films
US10403504B2 (en) * 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US20200071825A1 (en) * 2018-08-28 2020-03-05 Applied Materials, Inc. Methods Of Depositing Metal Carbide Films
JP2020056104A (ja) * 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Also Published As

Publication number Publication date
CN115003854A (zh) 2022-09-02
US11286556B2 (en) 2022-03-29
WO2021211485A1 (en) 2021-10-21
JP2023516858A (ja) 2023-04-21
KR20220114060A (ko) 2022-08-17
US20210317570A1 (en) 2021-10-14

Similar Documents

Publication Publication Date Title
US9716012B2 (en) Methods of selective layer deposition
TW202204660A (zh) 金屬薄膜之沉積
JP2023507326A (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
EP4277913A1 (en) Cycloheptatriene molybdenum (0) precursors for deposition of molybdenum films
US20200090991A1 (en) Method Of Forming Via With Embedded Barrier
TWI821661B (zh) 金屬阻障層之摻雜
KR101393898B1 (ko) 니켈막의 성막 방법
JP2024511271A (ja) 原子層堆積のための還元剤
US11859278B2 (en) Molecular layer deposition of amorphous carbon films
TW202140834A (zh) 碳基膜的氣相沉積
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
US20240006235A1 (en) Composite barrier layers
US20230070489A1 (en) Doped tantalum-containing barrier films
TW202209565A (zh) 二元金屬襯墊層
TW202142722A (zh) 非晶碳膜的分子層沉積
TW202312300A (zh) 形成用於互連結構的金屬襯墊之方法
TW202219309A (zh) 形成無雜質金屬合金膜的方法
EP4277910A1 (en) Molybdenum(iv) and molybdenum(iii) precursors for deposition of molybdenum films