TW202312300A - 形成用於互連結構的金屬襯墊之方法 - Google Patents

形成用於互連結構的金屬襯墊之方法 Download PDF

Info

Publication number
TW202312300A
TW202312300A TW111124276A TW111124276A TW202312300A TW 202312300 A TW202312300 A TW 202312300A TW 111124276 A TW111124276 A TW 111124276A TW 111124276 A TW111124276 A TW 111124276A TW 202312300 A TW202312300 A TW 202312300A
Authority
TW
Taiwan
Prior art keywords
metal
sam
layer
metal liner
liner
Prior art date
Application number
TW111124276A
Other languages
English (en)
Inventor
瞿舸
吳智遠
陳楓
卡曼 李爾塞芬提斯
金龍珍
凱文 卡雪菲
先敏 唐
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202312300A publication Critical patent/TW202312300A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

形成元件的方法包括在基板上形成介電層,該介電層包含至少一個特徵,該至少一個特徵界定包含側壁及底部的間隙。在間隙的底部上形成自組裝單層(SAM),及在該阻障層上選擇性沉積金屬襯墊之前在SAM上形成阻障層。在阻障層上選擇性沉積金屬襯墊之後移除SAM。

Description

形成用於互連結構的金屬襯墊之方法
本揭示案的實施例大體係關於形成用於互連結構的金屬襯墊的方法。更特定而言,本揭示案的實施例係關於選擇性沉積金屬襯墊層的方法。
在將電晶體及互連擴展至3 nm節點及以上時,多個難題阻礙功率及效能的提高。互連包括在同一元件層中傳輸電流的金屬線路及在層之間傳輸電流的金屬介層窗。間距減小使兩者的寬度變窄並提高電阻,且亦提高電路兩端的電壓降,從而調節電路速度並增加功率消耗。
雖然電晶體效能隨著擴展而提高,但互連金屬情況不同。由於尺寸縮小,互連介層窗電阻可增大10倍。互連介層窗電阻的增大可導致電阻電容(resistive-capacitive; RC)延遲,進而降低效能並增加功率消耗。習知的銅互連結構包括沉積於間隙側壁上的阻障層,該阻障層為介層窗提供由介電材料製成的側壁,提供良好的黏著性並防止銅擴散至介電層中,且防止介電層與沉積於阻障層上的金屬襯墊之間的其他不利相互作用。沉積於阻障層上的金屬襯墊黏著至阻障層,促進後續在側壁之間的間隙中填充銅(Cu)。在間隙的剩餘容積中沉積銅。由於電阻率高,阻障層可通常對介層窗電阻的貢獻最大。先前的方法主要是減小阻障層的厚度或尋找電阻率較低的阻障層以減小介層窗電阻。介層窗電阻增大仍是問題,特別是在較小的特徵中,側壁上的阻障層在介層窗容積中的百分比增加。因此,需要一種沉積金屬層的方法,其提高互連的效能,例如減小介層窗電阻。
本揭示案的實施例係關於微電子元件的形成方法。在一或多個實施例中,方法包括:在基板上形成介電層,該介電層包括至少一個特徵,該至少一個特徵界定包含側壁及底部的間隙;在間隙的底部上選擇性沉積自組裝單層(SAM);在SAM上形成阻障層;在側壁上的阻障層上選擇性沉積金屬襯墊,在側壁上沉積的金屬襯墊的厚度大於在底部上沉積的金屬襯墊的厚度;在阻障層上選擇性沉積金屬襯墊之後移除SAM;及對金屬襯墊執行間隙填充製程。
在描述本揭示案的若干例示性實施例之前,應理解本揭示案不限於以下描述中闡述的構造或製程步驟的細節。本揭示案可包括其他實施例,並且可按各種方式實踐或執行。
本說明書及所附請求項中使用的術語「基板」及「晶圓」可互換地使用,兩者係指可對其執行製程的表面或表面的一部分。熟習此項技術者亦將理解除非上下文另外清晰地指出,否則提及基板亦可僅指基板的一部分。另外,提及在基板上沉積可意謂裸基板及其上沉積或形成有一或多個膜或特徵的基板。
本文中使用的「基板」指製造製程期間在其上執行膜處理的任何基板或形成於基板上的材料表面。舉例而言,在其上執行處理的基板表面視應用而包括諸如矽、氧化矽、應變矽、絕緣體上矽(silicon on insulator; SOI)、摻碳氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料,及諸如金屬、金屬氮化物、金屬合金的其他金屬材料,及其他導電材料。基板包括而不限於半導體晶圓。可將基板曝露於預處理製程,以拋光、蝕刻、還原、氧化、羥化(或以其他方式產生或接枝目標化學部分以賦予化學功能性)、退火及/或烘烤基板表面。除直接在基板自身表面上直接處理膜以外,在本揭示案中,所揭示的膜處理步驟中的任一者亦可如下文更詳細揭示在形成於基板上的底層上執行,並且術語「基板表面」意欲包括如上下文所指明的此底層。由此,舉例而言,在膜/層或部分膜/層已沉積於基板表面上的情況下,新沉積膜/層的曝露表面變為基板表面。給定基板表面所包含的將取決於待沉積的膜以及使用的特定化學物質。
本說明書及所附請求項中使用的術語「反應氣體」、「前驅物」、「反應物」及類似者可互換使用而意謂包含能夠與基板表面反應的物種的氣體。舉例而言,第一「反應氣體」可簡單地吸附於基板的表面上,並且可與第二反應氣體發生進一步的化學反應。
本揭示案的一些實施例提供提高互連的效能的方法。互連包括在同一元件層中傳輸電流的金屬線路及在層之間傳輸電流的金屬介層窗。在元件中形成的間隙中用諸如銅或鈷的導電金屬形成此等線路及介層窗。在一或多個實施例中,介電層包含至少一個特徵,該至少一個特徵界定包含側壁及底部的間隙。在一或多個實施例中,間隙包含金屬線路及金屬介層窗。在一或多個實施例中,金屬線路具有側壁及底部。在一或多個實施例中,金屬介層窗具有側壁及底部。除非另外說明,本說明書及所附請求項中提及的「間隙底部」意謂金屬介層窗的底部,其最靠近基板。
本揭示案的實施例提供在微電子元件製造中形成互連結構的方法。在一或多個實施例中,本文描述的微電子元件包含至少一個頂部互連結構,其與至少一個底部互連結構互連。本揭示案的實施例提供提高互連效能(例如降低介層窗電阻)的微電子元件及微電子元件的製造方法。
本文參考第1A圖至第1E圖及第2A圖至第2G圖描述微電子元件的形成方法。第3圖是關於第1A圖至第1E圖的微電子元件的示例形成方法的流程圖。第4圖是關於第2A圖至第2G圖的微電子元件的示例形成方法的流程圖。
參考第1A圖至第1D圖,展示製造階段期間的微電子元件100的一部分。在第1A圖中,微電子元件100包括基板110、基板110上的阻障層120、阻障層120上的金屬襯墊130、導電填充間隙140、氧化鋁蝕刻停止層142、氧化鋁蝕刻停止層142上的介電層145,該介電層145包含至少一個特徵,該至少一個特徵界定包含側壁148及底部149的間隙146。根據一或多個實施例,在間隙的底部149上形成鈍化層(例如自組裝單層(SAM))150。吾人將瞭解在一或多個實施例中,導電填充間隙140形成在同一元件層中傳輸電流的金屬線路。
在一或多個實施例中,基板110是晶圓,例如半導體基板。在一或多個實施例中,基板110是晶圓上的蝕刻停止層。在一或多個實施例中,基板110是晶圓上的氧化鋁蝕刻停止層。在一或多個實施例中,阻障層120包含氮化鉭(TaN)。在一或多個實施例中,阻障層120包含藉由ALD形成的氮化鉭(TaN)。在一或多個實施例中,金屬襯墊130包含釕(Ru)、鈷(鈷)、鉬(Mo)或鉭(Ta)中之一或多者。在一或多個實施例中,金屬襯墊130包含單層釕(Ru)或單層鈷(Co)中之一或多者。在一或多個實施例中,蝕刻金屬襯墊130的一部分。在一或多個實施例中,在受蝕刻的金屬襯墊130的該部分上沉積SAM 150。在一或多個實施例中,導電填充間隙140包含銅(Cu)或鈷(Co)中之一或多者。在一或多個實施例中,蝕刻停止層142包含氧化鋁、氮化矽及氮化鋁中之一或多者。
在一或多個實施例中,介電層145是低k介電層。在某些實施例中,介電層145包含氮氧化矽(SiO x)。在一或多個實施例中,介電層145包含SiO xH y(CH z)。其他實施例中,介電層145包含多孔或摻碳SiO x。在一些實施例中,介電層是k值小於約5的多孔或摻碳SiO x。在其他實施例中,介電層145是多層結構。舉例而言,在一或多個實施例中,介電層145包含多層結構,該多層結構具有介電層、蝕刻停止層及硬遮罩層中之一或多者。
在一或多個實施例中,介電層145包含至少一個特徵,該至少一個特徵界定包含側壁148及底部149的間隙146。為了示意性目的,諸圖展示具有單一特徵的基板;然而熟習此項技術者將理解特徵可多於一個。特徵145的形狀可為任何合適的形狀,包括但不限於溝槽、在填充有金屬時在層之間傳輸電流的圓柱形介層窗以及在同一元件層中傳輸電流的線路。在一些實施例中,特徵界定介電層145中的間隙146。一些實施例中的間隙146界定介層窗部分146V及線路部分146L,但所示的實施例無意為限制性的。本文使用的術語「特徵」意謂任何有意的表面不規則性。合適的特徵實例包括但不限於具有頂部、兩個側壁及底部的溝槽,具有頂部及兩個側壁的尖端。特徵可具有任何合適的深寬比(特徵之深度與特徵之寬度的比)。在一些實施例中,深寬比大於或等於約5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1。
在一或多個實施例中,在金屬襯墊130上形成SAM 150。在一或多個實施例中,藉由將間隙的底部149曝露於氬(Ar)氣中攜帶的烴而沉積SAM 150。在一或多個實施例中,SAM 150包含不飽和烴。
不受理論限制,吾人相信金屬材料的d軌域開始與不飽和烴的sp 2軌域共用電子。因此,在一些實施例中,不飽和烴包含至少一種化合物,其中兩個碳原子之間有至少一個雙鍵。在一些實施例中,不飽和烴包含至少一種化合物,其中兩個碳原子之間有至少一個參鍵。換言之,在一些實施例中,不飽和烴包含具有R’=R”或R’≡R”的通式的至少一種化合物。在一些實施例中,不飽和烴的化合物僅包含一個不飽和鍵。不受理論限制,吾人相信多個不飽和鍵提高發生聚合作用的可能性,並且增加在不損壞周圍基板材料的情況下移除阻擋層的難度。
在一些實施例中,R’及R”是相同的。在一些實施例中,R’及R”是獨立的C2-C6基團。以此方式使用的「C2-C6基團」包含2至6個碳原子。在一些實施例中,R’及R”僅包含碳原子及氫原子。在一些實施例中,R’及R”不包含任何表面反應性部分。在一些實施例中,不飽和烴的化合物不包含具有末端碳的不飽和鍵。在一些實施例中,不飽和烴的化合物包含4至12個碳原子。在一些實施例中,R’及/或R”是線性分子(例如直鏈不飽和烴)。在一些實施例中,R’及/或R”是支鏈的。在一些實施例中,不飽和烴的化合物包含或基本上由3-己炔組成。以此方式使用的術語「基本上由……組成」意謂按莫耳計算大於或等於約95%、98%、99%或99.5%的不飽和烴是所述的化合物。在一些實施例中,不飽和烴的化合物包含5-癸炔或基本上由5-癸炔組成。
在一些實施例中,將基板浸泡於不飽和烴的蒸氣中。在一些實施例中,可控制用於將基板曝露於不飽和烴的處理條件。
在一些實施例中,控制處理腔室的壓力。處理腔室的壓力可為用於形成阻擋層的任何合適的壓力。在一些實施例中,將處理腔室的壓力維持於小於或等於約80托、小於或等於約70托、小於或等於約60托、小於或等於約50托、小於或等於約40托、小於或等於約30托、小於或等於約20托、小於或等於約15托、小於或等於約10托或小於或等於約5托。在一些實施例中,將處理腔室的壓力維持於約10托、約20托、約30托、約40托或約50托。
在一或多個實施例中,氬(Ar)氣流經配置以將不飽和烴自容器攜帶至處理腔室。在一些實施例中,控制經配置以將不飽和烴帶入處理腔室的氬(Ar)氣的流速。氬(Ar)氣的流速可為用於形成鈍化層的任何合適的流速。在一些實施例中,氬(Ar)氣的流速在約50 sccm至約100 sccm的範圍中,或在約75 sccm至約100 sccm的範圍中。在一或多個實施例中,氬(Ar)氣的流速為約600 sccm。在一些實施例中,氬(Ar)氣的流速為小於或等於約600 sccm、小於或等於約500 sccm、小於或等於約400 sccm、小於或等於約300 sccm、小於或等於約250 sccm、小於或等於約200 sccm、小於或等於約150 sccm、小於或等於約100 sccm、小於或等於約75 sccm或小於或等於約50 sccm。
在一些實施例中,控制將不飽和烴曝露於基板的浸泡期。浸泡期可為用於形成阻擋層的任何合適的週期。在一些實施例中,浸泡期為大於或等於約10 s、大於或等於約20 s、大於或等於約30 s、大於或等於約45 s、大於或等於約60 s、大於或等於約80 s、大於或等於約120 s、大於或等於約150 s或大於或等於約200 s。在一些實施例中,浸泡期為約60 s。在一些實施例中,浸泡期為約200 s。
在一或多個實施例中,當不飽和烴在諸如安瓿或圓柱體的容器中時,不飽和烴為液相,在載體氣體中將不飽和烴自該容器遞送至腔室。在一些實施例中,當容器具有約0.1托的壓力時,不飽和烴在容器中為飽和氣相。在一或多個實施例中,容器的溫度低於處理腔室中的溫度。在一或多個實施例中,諸如氬(Ar)氣的載體氣體將飽和的氣相不飽和烴自容器攜帶至處理腔室。在一些實施例中,在曝露於不飽和烴時控制處理腔室的溫度。處理腔室的溫度亦可稱為操作溫度。在一些實施例中,處理腔室的溫度在約200℃至約300℃的範圍中。在一些實施例中,處理腔室的溫度為低於或等於300℃、低於或等於275℃、低於或等於250℃、低於或等於225℃或低於或等於200℃。
參考第1B圖,所示的阻障層160在SAM 150上,在間隙146的側壁148及底部149上方。在一或多個實施例中,阻障層160與阻障層120具有相同的性質。在一或多個實施例中,當不存在SAM 150時,阻障層160的沉積是基本上正形的。在一或多個實施例中,阻障層160形成於側壁148及間隙146的底部149上。本文使用的「基本上正形」的層係指各處(例如側壁148的頂部、中部及底部上及間隙146的底部149上)厚度大約相同的層。基本上正形的層的厚度變化小於或等於約5%、2%、1%或0.5%。在一或多個實施例中,在側壁148的至少一部分上選擇性沉積阻障層160。在一或多個實施例中,在底部149的至少一部分上選擇性沉積阻障層160。在一或多個實施例中,阻障層160可覆蓋側壁148的整體。
在一或多個實施例中,藉由原子層沉積(atomic layer deposition; ALD)選擇性沉積阻障層160,阻障層160的厚度在約2Å至約10Å的範圍中。在一些實施例中,在單一ALD循環中沉積阻障層160。在其他實施例中,在1至20個ALD循環中沉積阻障層160。在一或多個實施例中,1至20個ALD循環中之每一循環經配置以沉積約0.5Å的厚度的阻障層160。
在一或多個實施例中,當在底部149及側壁148上形成阻障層160時,側壁148上的阻障層160厚度的厚度與底部149上的阻障層160厚度的厚度存在一比率,該比率大於6。在一或多者中,該比率為大於5、大於4、大於3、大於2或大於1。在一或多個實施例中,當存在SAM 150時,阻障層160在側壁148上的厚度在5Å至20Å的範圍中。在一或多個實施例中,阻障層160在底部149上的厚度小於或等於5Å。在一或多個實施例中,阻障層160在底部149上的厚度小於或等於4埃、小於或等於3埃、小於或等於2埃或小於或等於1埃。
參考第1C圖,所示的第一金屬襯墊170在第1B圖所示的阻障層160上。在一或多個實施例中,第一金屬襯墊170與金屬襯墊130具有相同的性質。在一或多個實施例中,在微電子元件的側壁148上選擇性沉積金屬襯墊170。在一或多個實施例中,第一金屬襯墊170包含釕(Ru)、鈷(鈷)、鉬(Mo)或鉭(Ta)中之一或多者。在一或多個實施例中,第一金屬襯墊170包含單層釕(Ru)或單層鈷(Co)中之一或多者。在一或多個實施例中,第一金屬襯墊170包含單層釕(Ru)。在一或多個實施例中,第一金屬襯墊170包含在側壁上選擇性沉積的單層釕(Ru)。在一或多個實施例中,第一金屬襯墊170包含多層膜,該多層膜具有由第一金屬M1構成的第一襯墊膜及由第二金屬M2構成的第二襯墊膜。在一或多個實施例中,第一金屬襯墊170包含第一金屬M1(包括釕(Ru))及第二金屬M2(包括鈷(Co))。
本揭示案的實施例有利地提供微電子元件的形成方法,相比於金屬襯墊未選擇性沉積的微電子元件中的介層窗的電阻,該等形成方法使介層窗的電阻降低至少20%。在一或多個實施例中,相比於未選擇性沉積金屬襯墊的微電子元件中的介層窗的電阻,本文描述的微電子元件的介層窗的電阻降低至少15%、至少10%或至少5%。
使用典型的沉積製程,在側壁及底部上沉積釕(Ru)。吾人發現當使用已知的沉積製程40秒的時段時,側壁上沉積的釕(Ru)層具有10埃的厚度,且底部上沉積的釕(Ru)層具有約3.87埃的厚度。在一或多個實施例中,側壁上的釕(Ru)層厚度的厚度與底部上的釕(Ru)層厚度的厚度的比率約為2.6。
吾人發現根據本文描述之方法之實施例的選擇性沉積單層釕(Ru)使側壁上的金屬襯墊厚度的厚度與底部上的金屬襯墊厚度的厚度的比率增大。在一或多個實施例中,側壁上的金屬襯墊厚度的厚度大於底部上的金屬襯墊的厚度。
在一或多個實施例中,當金屬襯墊170包含在側壁148上選擇性沉積的單層釕(Ru),側壁148上的金屬襯墊厚度的厚度與底部149上的金屬襯墊厚度的厚度存在一比率,該比率大於3。在一或多個實施例中,側壁148上的金屬襯墊厚度的厚度與底部149上的金屬襯墊厚度的厚度的比率大於4,大於5,大於6或大於7。
在一或多個實施例中,當金屬襯墊170包含單層選擇性沉積的釕(Ru)時,金屬襯墊170在側壁148上的厚度在5埃至20埃的範圍中。在一或多個實施例中,當金屬襯墊170包含單層選擇性沉積的釕(Ru)時,金屬襯墊170在底部149上的厚度小於或等於5埃。在一或多個實施例中,當金屬襯墊170包含單層選擇性沉積的釕(Ru)時,金屬襯墊170在底部149上的厚度小於或等於4埃、小於或等於3埃、小於或等於2埃或小於或等於1埃。
在一或多個實施例中,藉由選擇性釕(Ru)沉積製程選擇性沉積包含釕(Ru)的金屬襯墊170。在側壁上的選擇性釕(Ru)沉積包含循環沉積製程,其中包括使用由諸如氬(Ar)氣的載體氣體攜帶的釕(Ru)前驅物進行的釕沉積步驟。在一或多個實施例中,選擇性釕(Ru)沉積進一步包括在使氫(H 2)流動及視情況使第二氣體(例如氬(Ar))流動的同時進行的退火或處理步驟。在一或多個實施例中,在基板處理腔室中執行選擇性釕(Ru)沉積,其中在腔室處於第一壓力時進行沉積步驟,在基板處理腔室處於大於第一壓力的第二壓力時進行退火步驟。在一或多個實施例中,第一壓力在1托至5托的範圍中。在一些實施例中,第一壓力在1托至4托的範圍中或1托至3托的範圍中。在一或多個實施例中,第二壓力在10托至150托的範圍中。在一些實施例中,第二壓力在10托至40托的範圍中或10托至30托的範圍中。因此,根據一或多個實施例,循環沉積製程包括沉積步驟及退火/處理步驟。在沉積步驟中,使釕前驅物(例如任何合適的金屬有機前驅物,例如三碳環己二烯釕,Ru 3(CO) 9)在載體氣體及反應氣體(例如Ar及/或H 2)中流動2至10秒(例如3至6秒),以形成沉積後釕層。在退火或處理步驟中,在存在流動氣體(例如> 90% H 2及諸如Ar的第二氣體)的情況下退火或處理沉積後釕層30至90秒(例如40至70秒)。包含沉積步驟及退火或處理步驟的循環的此循環沉積製程重複數次,以獲得所要的膜厚度。
參考第1D圖,在一或多個實施例中,所示的第二金屬襯墊180在第一金屬襯墊170上。在一或多個實施例中,第二金屬襯墊180包含釕(Ru)、鈷(鈷)、鉬(Mo)或鉭(Ta)中之一或多者。在一或多個實施例中,第二金屬襯墊180包含單層釕(Ru)或單層鈷(Co)中之一或多者。在一或多個實施例中,金屬襯墊180包含在側壁上選擇性沉積的單層鈷(Co)。在一或多個實施例中,當金屬襯墊180包含單層沉積的鈷(Co)時,金屬襯墊180在側壁148上的厚度在5埃至20埃的範圍中。在一或多個實施例中,當金屬襯墊180包含單層沉積的鈷(Co)時,金屬襯墊180在底部149上的厚度小於或等於5埃。在一或多個實施例中,當金屬襯墊180包含單層沉積的鈷(Co)時,金屬襯墊180在底部148上的厚度在5埃至20埃的範圍中。
在一或多個實施例中,第二金屬襯墊180包含多層膜,該多層膜具有由第一金屬M1構成的第一襯墊膜及由第二金屬M2構成的第二襯墊膜。在一或多個實施例中,第二金屬襯墊180包含第一金屬M1(包括釕(Ru))及第二金屬M2(包括鈷(Co))。在一或多個實施例中,當第二金屬襯墊180包含含有釕(Ru)的第一金屬M1及含有鈷(Co)的第二金屬M2時,多層膜在側壁148上的組合厚度在10至20埃的範圍中。在一或多個實施例中,當第二金屬襯墊180包含含有釕(Ru)的第一金屬M1及含有鈷(Co)的第二金屬M2時,多層膜在底部149上的組合厚度在5至20埃的範圍中。
在一或多個實施例中,微電子元件100包含第一金屬襯墊170及第二金屬襯墊180中之一或多者。在一或多個實施例中,第一金屬襯墊170與第二金屬襯墊180相同。在一或多個實施例中,第一金屬襯墊170與第二金屬襯墊180不同。
在一些實施例中,雙金屬襯墊膜在單層中包含兩種金屬的合金。在一些實施例中,雙金屬襯墊膜包含兩種金屬M1及M2的交替層或第一金屬襯墊膜及第二金屬襯墊膜。在一或多個實施例中,兩種金屬包含選自由(M1) Co及(M2)鉭(Ta)、(M1) Co及(M2)鉬(Mo)、(M1) Ru及(M2) Ta、(M1) Ru及(M2) W、(M1) Ru及(M2) Mo、(M1) Co及(M2) Ru以及(M1) Ru及(M2) Co的群組的兩種金屬。在一或多個實施例中,兩金屬膜的厚度小於20埃。
根據一或多個實施例,可藉由各種沉積方法形成雙金屬襯墊膜,其中包括藉由ALD/CVD/PE-ALD的交替及/或共流前驅物、具有多金屬配位基的前驅物、摻雜劑植入及/或熱擴散。可在單一處理腔室或多個處理腔室中形成雙金屬襯墊膜。在一或多個實施例中,可藉由包括熱處理、電漿處理及/或化學處理的多種方法處理雙金屬襯墊膜。
有利而言,根據一或多個實施例的雙金屬襯墊膜超薄(例如厚度為20埃或更小),在諸如阻障層及間隙填充金屬的兩種金屬之間提供較佳的介面黏著性及遷移率。根據一或多個實施例描述的雙金屬襯墊膜及方法可在金屬觸點、互連及覆蓋應用中使用。根據一或多個實施例的雙金屬襯墊膜比目前的襯墊薄,目前的襯墊的厚度通常大於20埃且至多30埃。在一些實施例中,由兩種金屬構成的襯墊膜的厚度在10埃至20埃、10埃至19埃、10埃至18埃、10埃至17埃、10埃至16埃、10埃至15埃、10埃至14埃、10埃至13埃或10埃至12埃的範圍中。本文描述的雙金屬襯墊膜可將金屬填充及覆蓋延伸至進階節點,例如在3nm/2nm節點實現Cu回流、在中段製程(middle of the line; MOL)及後段製程(back end of line; BEOL)實現低電阻率以及記憶體。本文描述的方法亦可將目前的複雜整合系統簡化為使用CVD/ALD/PVD/PEALD/離子植入的一腔室或多腔室製程。
在一或多個實施例中,可藉由ALD沉積阻障層及/或金屬膜。在典型的ALD製程中,可使用「A」前驅物及「B」前驅物的交替脈衝或流動來沉積膜。將表面繼續交替曝露於反應物「A」及「B」,直至達到所要厚度的膜。然而,不是脈衝輸送反應物,而是氣體可同時自一或多個氣體輸送頭或噴嘴流動及/或可移動氣體輸送頭,使得將基板順序地曝露於反應氣體中之每一者。當然,上述的ALD循環僅為多種ALD製程循環的實例,在該循環中藉由前驅物及共反應物的交替層形成沉積層。
在一或多個實施例中,共反應物為蒸氣或氣體形式。可用載體氣體遞送反應物。載體氣體、沖洗氣體、沉積氣體或其他製程氣體可含有氮、氫、氬、氖、氦或其組合。可自電漿共反應氣體點燃本文描述的各個電漿,例如氮氣電漿或惰性氣體電漿,及/或該等電漿含有電漿共反應氣體。
在一或多個實施例中,可經由氣體通道使製程的各種氣體脈衝式進入入口,自各個孔或出口進入中央通道。在一或多個實施例中,可將沉積氣體順序地脈衝式到達噴頭及穿過噴頭。或者,如上文所述,氣體可同時流動穿過氣體供應噴嘴或頭,且可移動基板及/或氣體供應頭,使將基板順序地曝露於氣體。
在一或多個實施例中,使用多腔室製程沉積阻障層材料及襯墊膜,其中阻障層材料(例如氮化鉭(TaN))與雙金屬襯墊膜分離。在其他實施例中,使用單一腔室方法,其中所有製程在一個腔室中發生,且在處理中藉由氣體沖洗分隔不同的層/膜。
本發明的一些實施例係關於阻障應用,例如銅阻障應用。可將藉由一或多個實施例形成的阻障層用作銅阻障。用於銅阻障應用的合適的阻障層包括但不限於TaN及MnN。對於銅阻障應用,合適的摻雜劑包括但不限於Ru、Cu、Co、Mn、Al、Ta、Mo、Nb、V或其組合。可在摻雜之後使用電漿處理,以促進基材與摻雜劑之間的金屬間化合物形成,移除膜雜質,並提高阻障層的密度。在其他實施例中,後處理可包括但不限於物理氣相沉積(physical vapor deposition; PVD)處理、熱退火、化學增強或類似者。在一些銅阻障應用中,高頻電漿(定義為大於約14 MHz或約40 MHz或更大)可與任何惰性氣體一起使用,該任何惰性氣體包括但不限於氖(Ne)、氫(H 2)及氬(Ar)氣中之一或多者。在一或多個實施例中,為防止低k損壞,可使用更高的電漿頻率(高於13.56 MHz)。在一些實施例中,阻障層是銅阻障且包含摻雜Ru的TaN。
用於沉積襯墊層的合適的前驅物包括含金屬的前驅物,例如含羰基及含環戊二烯的前驅物。在非限制性實例中,如果襯墊層為RuCo,則含Ru的前驅物可為十二羰基三釕Ru 3(CO) 12,且含Co的前驅物可為六羰第三丁基乙炔二鈷(CCTBA)。如果襯墊層為TaRu,則含Ta的前驅物可為五(二甲胺基)鉭(PDMAT)。熟習此項技術者已知其他合適的前驅物。下置層(例如阻障或介電層)中可部分地併入用於襯墊層的含有機物前驅物中的有機物種,這可提高襯墊層與下置層的介面處的黏著性。
本文使用的「化學氣相沉積」係指將基板表面同時或基本上同時曝露於前驅物及/或共試劑的製程。本文使用的「基本上同時」係指共流或前驅物的大部分曝露有重疊。
可藉由用CVD、PVD或ALD沉積交替層兩種金屬或共同反應的兩種金屬前驅物來形成雙金屬襯墊膜。根據所使用的襯墊金屬,可使用共反應物或共前驅物沉積雙金屬襯墊膜。在一或多個實施例中,可使用離子植入使第二金屬融合至由第一金屬組成的襯墊膜中。在其他實施例中,可使用物理氣相沉積(PVD)共處理將第二金屬添加至在阻障層上方形成的摻雜襯墊膜中。在其他實施例中,可在包含第二金屬的氣氛中使雙金屬襯墊膜退火,以使第二金屬熱擴散至第一金屬的雙金屬襯墊膜中,從而在阻障層上方形成雙金屬襯墊膜。
在一或多個實施例中,可使用包含濺射的PVD處理使第二金屬併入包含第一金屬的襯墊膜中。舉例而言,具有鈷(Co)的PVD處理可將Co注入至釕膜中,以形成包含釕及鈷的襯墊膜。
在一些實施例中,不使用共反應物或一起使用共反應物,可在將包含第一金屬的雙金屬襯墊膜曝露於第二金屬前驅物之後使用電漿後處理步驟。根據一或多個實施例,電漿包含熟習此項技術者已知的任何合適的惰性氣體。在一或多個實施例中,電漿包含氦(He)、氬(Ar)、氨(NH 3)、氫(H 2)及氮(N 2)中之一或多者。在一些實施例中,電漿可包含Ar及H 2的混合物,例如Ar:H 2莫耳比在1:1至1:5的範圍中的混合物。電漿功率可在約200至約1000瓦特的範圍中。電漿功率可在350 kHz至40 MHz的範圍中。電漿處理時間可為5秒至60秒,例如在10秒至30秒的範圍中。在一些實施例中,電漿處理期間的壓力可在0.5至50托的範圍中,例如1至10托。在一些實施例中,晶圓間距可在100密耳至600密耳的範圍中。
在一或多個實施例中,可在沉積期間將包含第一金屬的雙金屬襯墊膜曝露於第二金屬前驅物,亦即可在ALD循環中順序地使用第二金屬前驅物,以在阻障層上提供由兩種金屬構成的襯墊膜。在各個實施例中,曝露於第二含金屬前驅物的持續時間可在1至60秒的範圍中,例如在3至30秒或5至10秒的範圍中。曝露於第二金屬前驅物更長時間將使雙金屬襯墊膜中第二金屬的量增加。在一或多個實施例中,藉由循環沉積製程形成雙金屬襯墊膜。
參考第1E圖,在一或多個實施例中,已使用本文描述的方法自第1D圖所示的結構移除SAM 150。在一或多個實施例中,移除SAM 150包含電漿處理製程,其中包括使氫(H 2)或氬(Ar)中之一或多者流動。在一或多個實施例中,電漿處理製程包括提高阻障層160的密度。在一或多個實施例中,間隙填充製程包括用銅(Cu)或鈷(Co)中之一或多者填充第1E圖所示的間隙146。
參考第2A圖及第2B圖,展示根據本揭示案的一替代實施例的各個製造階段的微電子元件200的一部分。在一或多個實施例中,微電子元件200包括基板210、基板210上的阻障層220、襯墊層220上的金屬襯墊230、導電填充間隙240、氧化鋁蝕刻停止層242、氧化鋁蝕刻停止層242上的介電層245,該介電層245包含至少一個特徵,該至少一個特徵界定包含側壁248及底部249的間隙246。在一或多個實施例中,在間隙的底部249上形成第一鈍化層(例如第一自組裝單層(SAM))250。
在一或多個實施例中,第1A圖至第1E圖及第2A圖至第2G圖所示的微電子元件的特徵有相同的性質,包括材料、製造方法、尺寸等。在一或多個實施例中,基板210、基板上的阻障層220、襯墊層220上的金屬襯墊230、導電填充間隙240、氧化鋁蝕刻停止層242以及氧化鋁蝕刻停止層242上的介電層245包含至少一個特徵,該至少一個特徵界定包含側壁248及底部249的間隙246。藉由使氬(Ar)氣中攜帶的烴流動而形成第一SAM 250。在一或多個實施例中,第一SAM 250包含不飽和烴。在一或多個實施例中,在介電層245上沉積第一SAM 250。
參考第2B圖,所示的阻障層260在第一SAM 250上,在側壁248上,及在間隙246的底部249上。在第2C圖中,已移除第一SAM 250。在一或多個實施例中,移除第一SAM 250包含電漿處理製程,其包括使氫(H 2)或氬(Ar)中之一或多者流動。在一或多個實施例中,電漿處理製程包括提高阻障層260的密度。
現參考第2D圖,在移除第一SAM 250之後,所示的第二鈍化層(例如第二自組裝單層(SAM))255形成於間隙的底部249上,在阻障層260上,及間隙的底部249上。在一或多個實施例中,第一SAM 250與第二SAM 255相同。在一或多個實施例中,第一SAM 250與第二SAM 255不同。參考第2E圖,所示的第一金屬襯墊270沉積在阻障層260上。現參考第2F圖,在一或多個實施例中,所示的第二金屬襯墊280形成於第一金屬襯墊270上。
參考第2G圖,已移除第二SAM 255。在一或多個實施例中,可藉由同一製程移除第一SAM 250及第二SAM 255。在一或多個實施例中,移除第一SAM及第二SAM中之一或多者包含電漿處理製程,其中包括使氫(H 2)或氬(Ar)中之一或多者流動。在一或多個實施例中,電漿處理製程包括提高阻障層260的密度。
第3圖圖示形成微電子元件的方法300的製程流程圖。第3圖圖示第1A圖至第1E圖所示的一或多個實施例的微電子元件中之任一者的形成方法。參考第3圖,方法300包括在操作310中在基板上形成介電層。介電層包含至少一個特徵,該至少一個特徵界定包含側壁及底部的間隙。在操作320中,方法300包括在間隙的底部上選擇性沉積自組裝單層(SAM)。在操作330中,方法300包括在SAM上形成阻障層。在操作340中,方法300包括在阻障層上選擇性沉積金屬襯墊。在操作340中,在一些實施例中,側壁上沉積的金屬襯墊的厚度大於底部上沉積的金屬襯墊的厚度。在操作350中,方法300包括在阻障層上選擇性沉積金屬襯墊之後移除SAM。在操作360中,方法300包括對金屬襯墊執行間隙填充製程。間隙填充製程可包括形成介層窗及線路中之一或多者以在元件中形成互連。
第4圖圖示形成微電子元件的方法400的製程流程圖。第4圖圖示第2A圖至第2G圖所示的一或多個實施例的微電子元件中之任一者的形成方法。參考第4圖,方法400包括在操作410中在基板上形成介電層。介電層包含至少一個特徵,該至少一個特徵界定包含側壁及底部的間隙。在操作420中,方法400包括在間隙的底部上選擇性沉積第一自組裝單層(SAM)。在操作430中,方法400包括在第一SAM上形成阻障層。在操作440中,方法400包括在形成阻障層之後移除第一SAM。在操作450中,方法400包括在移除第一SAM之後在阻障層上選擇性沉積第二自組裝單層(SAM)。在操作460中,方法400包括在阻障層上選擇性沉積金屬襯墊。在操作460中,在一些實施例中,側壁上沉積的金屬襯墊的厚度大於底部上沉積的金屬襯墊的厚度。在操作470中,方法400包括在阻障層上選擇性沉積金屬襯墊之後移除第二SAM。在操作480中,方法400包括對金屬襯墊執行間隙填充製程。間隙填充製程可包括形成介層窗及線路中之一或多者以在元件中形成互連。
在一或多個實施例中,本文描述的方法包括可選的後處理操作。可選的後處理操作可為(例如)改質膜特性的製程(例如退火)或進一步的膜沉積製程(例如額外的ALD或CVD製程)以生長額外的膜。在一些實施例中,可選的後處理操作可為改質所沉積膜特性的製程。在一些實施例中,可選的後處理操作包含退火剛沉積的膜。在一些實施例中,在約300℃、400℃、500℃、600℃、700℃、800℃、900℃或1000℃範圍中的溫度下進行退火。一些實施例的退火環境包含惰性氣體(例如氮分子(N 2)、氬氣(Ar))或還原氣體(例如氫分子(H 2)或氨(NH 3))或諸如但不限於氧氣(O 2)、臭氧(O 3)的氧化劑或過氧化物中的一或多者。退火可進行任何合適的時間長度。在一些實施例中,以約15秒至約90分鐘範圍中或約1分鐘至約60分鐘範圍中的預定時間退火膜。在一些實施例中,退火剛沉積的膜提高密度,降低電阻率,及/或提高金屬襯墊層的純度。
在一些實施例中,使基板自第一腔室移動至單獨的下一腔室以進行進一步處理。基板可自第一腔室直接移動至獨立的處理腔室,或基板可自第一腔室移動至一或多個轉移腔室,並然後移動至單獨的處理腔室。在一些實施例中,阻障層及摻雜劑膜的沉積可在單一腔室中進行,並隨後在單獨的腔室中進行後處理。因此,處理裝置可包含與轉移站相通的多個腔室。此種類別的裝置可稱為「叢集工具」或「叢集系統」及類似者。
大體而言,叢集工具為包含多個腔室的模組化系統,此等腔室執行包括基板定心及定向、除氣、退火、沉積及/或蝕刻。根據一或多個實施例,叢集工具包括至少第一腔室及中心轉移腔室。中心轉移腔室可容納機器人,其可在處理腔室及裝載閘室之間及之中運送基板。轉移腔室通常維持於真空條件下,提供將基板自一腔室運送至另一腔室及/或安置於叢集工具之前端之裝載閘室的中間階段。可應用於本揭示案的兩個熟知的叢集工具為Centura®及Endura®,此等工具可從加州聖克拉拉的應用材料公司獲得。然而,可出於執行本文中所描述之製程的特定步驟的目的而更改腔室的精確佈置及組合。可使用的其他處理腔室包括但不限於包括沉積步驟、退火或處理步驟的循環沉積,原子層沉積(ALD),化學氣相沉積(CVD),物理氣相沉積(PVD),蝕刻,預清洗,化學清洗,電漿氮化,除氣,定向,羥化及其他基板製程。藉由在叢集工具上的腔室中進行製程,可避免基板表面受到大氣雜質的污染,在沉積後續膜之前不發生氧化。
根據一或多個實施例,基板連續處於真空或「裝載閘」條件下,且在自一個基板移動至下一基板時不曝露於環境空氣。轉移腔室由此處於真空中,並且在真空壓力下「抽空」。惰性氣體可存在於處理腔室或轉移腔室中。在一些實施例中,惰性氣體用作移除反應物(例如反應物)中之一些者或全部的沖洗氣體。根據一或多個實施例,在沉積腔室的出口處注入沖洗氣體,以防止反應物(例如反應物)自沉積腔室移動至轉移腔室及/或其他處理腔室。由此,惰性氣體流動在腔室的出口處形成簾。
可在單個基板沉積腔室中處理基板,其中在處理另一基板之前裝載、處理及卸載單個基板。類似於輸送系統,亦可按連續的方式處理基板,其中將多個基板單獨裝載於腔室的第一部分中,移動穿過腔室,並且自腔室的第二部分加以卸載。腔室及相聯的輸送系統的形狀可形成直路徑或彎曲路徑。另外,處理腔室可為旋轉料架,其中多個基板圍繞中心軸移動,並且在整個旋轉料架路徑上曝露於沉積、蝕刻、退火、清洗等製程。
在處理期間,可加熱或冷卻基板。可藉由任何合適的手段完成此加熱或冷卻,此任何合適的手段包括但不限於改變基板支撐物及流向基板表面之流動加熱或冷卻氣體的溫度。在一些實施例中,基板支撐物包括可經控制以傳導方式改變基板溫度的加熱器/冷卻器。在一或多個實施例中,加熱或冷卻所使用的氣體(反應氣體或惰性氣體)以局部改變基板溫度。在一些實施例中,加熱器/冷卻器安置於鄰接基板表面的腔室內,以用對流方式改變基板溫度。
基板在處理期間亦可為固定的或旋轉的。旋轉基板可連續地或以離散的步驟旋轉(圍繞基板軸)。舉例而言,基板可在整個製程中旋轉,或基板可在曝露於不同反應或沖洗氣體之間進行少量旋轉。在處理期間旋轉基板(連續地或以離散的步驟)可有助於藉由最小化(例如)氣體流動幾何形狀中的局部變化的影響來產生更均勻的沉積或蝕刻。
本揭示案的另一態樣係關於包含指令的非暫態電腦可讀媒體,當由處理系統的控制器執行時,該等指令使處理系統執行本文描述的方法的操作。在一個實施例中,非暫態電腦可讀媒體包含指令,當由處理系統的控制器執行時,該等指令使處理系統執行本文關於第1A圖至第1E圖、第2A圖至第2G圖、第3圖及第4圖描述的方法的操作。
在整個本說明書中指「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」意謂本揭示案的至少一實施例中包括結合實施例描述的特定特徵、結構、材料或特性。由此,諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」的片語在整個本說明書中多個位置出現不一定指本揭示案的同一實施例。另外,一或多個實施例中可按任何合適的方式組合特定的特徵、結構、材料或特性。
雖然已參考特定實施例描述本文中的揭示案,應理解此等實施例僅說明本揭示案的原理及應用。對熟習此項技術者顯而易見的是,可在不脫離本揭示案的精神及範疇的情況下對本揭示案的方法及裝置作出修改和變化。由此,本揭示案意欲包括在所附請求項及其等效項之範疇內的修改和變化。
100:微電子元件 110:基板 120:阻障層 130:金屬襯墊 140:導電填充間隙 142:氧化鋁蝕刻停止層 145:介電層 146:間隙 146L:線路部分 146V:介層窗部分 148:側壁 149:底部 150:自組裝單層 160:阻障層 170:第一金屬襯墊 180:第二金屬襯墊 200:微電子元件 210:基板 220:襯墊層 230:金屬襯墊 240:導電填充間隙 242:氧化鋁蝕刻停止層 245:介電層 246:間隙 248:側壁 249:底部 250:第一自組裝單層 255:第二自組裝單層 260:阻障層 270:第一金屬襯墊 280:第二金屬襯墊 300:方法 310:操作 320:操作 330:操作 340:操作 350:操作 360:操作 400:方法 410:操作 420:操作 430:操作 440:操作 450:操作 460:操作 470:操作 480:操作
為了詳細地理解本發明的上述特徵的方式,可參考實施例更特定地描述上文簡要概述的本發明,其中一些實施例在附圖中圖示。然而,應注意,附圖僅圖示本發明的典型實施例,並且因此不應認為其限制本發明的範疇,因為本揭示案可承認其他等效的實施例。
第1A圖圖示本揭示案之一或多個實施例之一製造階段的微電子元件的一部分,其中在間隙的底部上形成鈍化層;
第1B圖圖示在形成第1A圖所示的鈍化層之後形成的阻障層;
第1C圖圖示第1B圖中形成的阻障層上形成的襯墊層;
第1D圖圖示第1C圖中形成的襯墊層上形成的第二襯墊層;
第1E圖圖示在形成第1D圖中的第二襯墊層之後移除第1A圖中形成的鈍化層;
第2A圖圖示本揭示案之一或多個實施例之一製造階段的微電子元件的一部分,其具有根據本揭示案之一或多個實施例之形成於間隙底部上的第一鈍化層;
第2B圖圖示第2A圖中的間隙上形成的阻障層;
第2C圖圖示移除第2A圖中形成的鈍化層;
第2D圖圖示第2B圖中形成的阻障層上形成的第二鈍化層;
第2E圖圖示第2B圖中形成的阻障層上的襯墊層;
第2F圖圖示第2E圖中形成的襯墊層上形成的第二襯墊層;
第2G圖圖示移除第二鈍化層之後的第2F圖的結構;
第3圖圖示根據本揭示案之一或多個實施例之微電子元件的製造方法的製程流程圖;及
第4圖圖示根據本揭示案之一或多個實施例之微電子元件的製造方法的製程流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:方法
310:操作
320:操作
330:操作
340:操作
350:操作
360:操作

Claims (20)

  1. 一種形成一微電子元件的方法,該方法包含以下步驟: 在一基板上形成一介電層,該介電層包含界定具有側壁及一底部的一間隙的至少一個特徵; 在該間隙的該底部上選擇性沉積一自組裝單層(SAM); 在該SAM上形成一阻障層; 在該側壁上的該阻障層上選擇性沉積一金屬襯墊,該等側壁上沉積的該金屬襯墊的一厚度大於該底部上沉積的該金屬襯墊的一厚度; 在該襯墊層上選擇性沉積該金屬襯墊之後移除該SAM;及 對該金屬襯墊執行一間隙填充製程。
  2. 如請求項1所述之方法,其中選擇性沉積該SAM之步驟包括以下步驟:將該間隙的該底部曝露於氬(Ar)氣中攜帶的一烴。
  3. 如請求項1所述之方法,其中該SAM包含在該介電層上沉積的一第一SAM。
  4. 如請求項3所述之方法,其進一步包含以下步驟:在該SAM上形成該阻障層之後移除該第一SAM。
  5. 如請求項4所述之方法,其進一步包含以下步驟:在移除該第一SAM之後在該阻障層上選擇性沉積一第二SAM。
  6. 如請求項5所述之方法,其中該第一SAM與該第二SAM不同。
  7. 如請求項5所述之方法,其中該第一SAM與該第二SAM相同。
  8. 如請求項1所述之方法,其中在該微電子元件的一側壁上選擇性沉積該金屬襯墊。
  9. 如請求項8所述之方法,其中該金屬襯墊包含釕(Ru)、鈷(鈷)、鉬(Mo)及鉭(Ta)中之一或多者。
  10. 如請求項9所述之方法,其中當該金屬襯墊包含在該側壁上選擇性沉積的一單層釕(Ru),其中該等側壁上的該金屬襯墊的該厚度與該底部上的該金屬襯墊厚度的該厚度有一比率,該比率大於3。
  11. 如請求項10所述之方法,其中該側壁上的該選擇性釕(Ru)沉積之步驟包含以下步驟:使用一氬(Ar)氣攜帶的一釕(Ru)前驅物進行一循環沉積製程以形成一沉積釕層。
  12. 如請求項11所述之方法,其中該循環沉積製程之步驟進一步包括以下步驟:使該沉積釕層退火,同時使氫氣(H 2)流動,並且使該沉積釕層退火。
  13. 如請求項12所述之方法,其中在一第一溫度下在一基板處理製程中進行該循環沉積製程以形成該沉積釕層,並且當該基板處理製程處於高於該第一壓力的一第二壓力下執行該沉積釕層的退火。
  14. 如請求項9所述之方法,其中該金屬襯墊包含一多層膜,其具有由一第一金屬M1構成的一第一襯墊膜及由一第二金屬M2構成的一第二襯墊膜。
  15. 如請求項14所述之方法,其中該第一金屬M1包含釕(Ru),該第二金屬M2包含鈷(Co)。
  16. 如請求項15所述之方法,其中當該第一金屬M1包含在該側壁上選擇性沉積的釕(Ru),其中該等側壁上的該金屬襯墊厚度的該厚度與該底部上的該金屬襯墊厚度的該厚度有一比率,該比率大於3。
  17. 如請求項1所述之方法,其中移除該SAM之步驟包含以下步驟:一電漿處理製程,其包括使氫(H 2)或氬(Ar)中之一或多者流動。
  18. 如請求項17所述之方法,其中該電漿處理製程之步驟包括以下步驟:提高該阻障層的一密度。
  19. 如請求項1所述之方法,其中該間隙填充製程之步驟包括以下步驟:用銅(Cu)或鈷(Co)中之一或多者填充該間隙。
  20. 如請求項1所述之方法,其中相比於未選擇性沉積一金屬襯墊的一微電子元件中的一介層窗的一電阻,形成該微電子元件使一介層窗的一電阻降低至少20%。
TW111124276A 2021-09-03 2022-06-29 形成用於互連結構的金屬襯墊之方法 TW202312300A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/466,732 US20230072614A1 (en) 2021-09-03 2021-09-03 Method Of Forming A Metal Liner For Interconnect Structures
US17/466,732 2021-09-03

Publications (1)

Publication Number Publication Date
TW202312300A true TW202312300A (zh) 2023-03-16

Family

ID=85385617

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111124276A TW202312300A (zh) 2021-09-03 2022-06-29 形成用於互連結構的金屬襯墊之方法

Country Status (5)

Country Link
US (1) US20230072614A1 (zh)
KR (1) KR20240049631A (zh)
CN (1) CN117882184A (zh)
TW (1) TW202312300A (zh)
WO (1) WO2023033901A1 (zh)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100365643B1 (ko) * 2000-10-09 2002-12-26 삼성전자 주식회사 반도체 장치의 다마신 배선 형성 방법 및 그에 의해형성된 다마신 배선 구조체
US6905958B2 (en) * 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
US7368377B2 (en) * 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
KR100640662B1 (ko) * 2005-08-06 2006-11-01 삼성전자주식회사 장벽금속 스페이서를 구비하는 반도체 소자 및 그 제조방법
US10157784B2 (en) * 2016-02-12 2018-12-18 Tokyo Electron Limited Integration of a self-forming barrier layer and a ruthenium metal liner in copper metallization
US10879107B2 (en) * 2018-11-05 2020-12-29 International Business Machines Corporation Method of forming barrier free contact for metal interconnects
US20200347493A1 (en) * 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition
US11676898B2 (en) * 2020-06-11 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion barrier for semiconductor device and method
US20220246534A1 (en) * 2021-01-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance copper interconnects

Also Published As

Publication number Publication date
WO2023033901A1 (en) 2023-03-09
CN117882184A (zh) 2024-04-12
US20230072614A1 (en) 2023-03-09
KR20240049631A (ko) 2024-04-16

Similar Documents

Publication Publication Date Title
US11587829B2 (en) Doping control of metal nitride films
KR102189781B1 (ko) 망간 및 망간 니트라이드들의 증착 방법들
US20110306203A1 (en) Interconnect structure and method of manufacturing a damascene structure
US20130292806A1 (en) Methods For Manganese Nitride Integration
KR20140099311A (ko) 구리 배리어 용도들을 위한 도핑된 탄탈룸 질화물
TWI821661B (zh) 金屬阻障層之摻雜
CN115190917A (zh) 具有可调整碳含量的碳氮化硅间隙填充
JP2023516858A (ja) 金属膜の堆積
TW202021046A (zh) 形成具有嵌入式阻障層的穿孔之方法
TWI609095B (zh) 用於氮化錳整合之方法
TW202312300A (zh) 形成用於互連結構的金屬襯墊之方法
US20230253248A1 (en) Methods of forming metal liner for interconnect structures
US11713507B2 (en) Low-k films
US11587873B2 (en) Binary metal liner layers
US20240006235A1 (en) Composite barrier layers
US20230070489A1 (en) Doped tantalum-containing barrier films
TW202248443A (zh) 選擇性沉積的表面處理