JP2017503079A - 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積 - Google Patents

空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積 Download PDF

Info

Publication number
JP2017503079A
JP2017503079A JP2016544533A JP2016544533A JP2017503079A JP 2017503079 A JP2017503079 A JP 2017503079A JP 2016544533 A JP2016544533 A JP 2016544533A JP 2016544533 A JP2016544533 A JP 2016544533A JP 2017503079 A JP2017503079 A JP 2017503079A
Authority
JP
Japan
Prior art keywords
processing
substrate
gas
section
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016544533A
Other languages
English (en)
Inventor
ユイ レイ,
ユイ レイ,
シュリーニヴァース ガンディコッタ,
シュリーニヴァース ガンディコッタ,
セシャドリ ギャングリ,
セシャドリ ギャングリ,
ポー チョン,
ポー チョン,
ラージクマール ジャッカラジュ,
ラージクマール ジャッカラジュ,
マーティン ジェフ サリナス,
マーティン ジェフ サリナス,
ベンジャミン シュミーゲ,
ベンジャミン シュミーゲ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017503079A publication Critical patent/JP2017503079A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

各セクションが独立して処理条件を有するように、ガスカーテンによって分けられた複数のセクションを有する円形バッチ処理チャンバを使用して、膜を堆積する原子層堆積方法が提供される。【選択図】図4

Description

[0001]本開示の実施形態は、概して、基板を処理する装置に関する。より具体的には、本開示の実施形態は、原子層堆積(ALD)及び化学気相堆積(CVD)を基板上で実行するバッチ処理プラットフォームに関する。
[0002]一般に、半導体デバイスを形成するプロセスは、複数のチャンバを含む基板処理プラットフォーム内で行われる。幾つかの場合、マルチチャンバ処理プラットフォーム又はクラスタツールの目的は、制御された環境内で2つ以上のプロセスを1枚の基板に対して順次実行することである。しかし、その他の場合には、マルチチャンバ処理プラットフォームは基板に対して単一の処理ステップだけを実行する場合があり、追加のチャンバは、このプラットフォームによって基板が処理される速度を最大化することを目的としている。後者の場合、基板に対して実施されるプロセスは、通常はバッチ式処理であり、比較的多数(例えば25枚又は50枚)の基板が同時に所与のチャンバ内で処理される。採算に合うように個々の基板に実施するには所要時間が長すぎるプロセス(ALDプロセス及び一部の化学気相堆積(CVD)プロセスなど)にとって、バッチ処理は特に有益である。
[0003]基板処理プラットフォーム又はシステムの有効性は、所有コスト(COO)によって定量化されることが多い。COOは、多くの要因による影響を受けるが、主に、システムの設置面積、すなわち製造工場でシステムを動作させるのに必要な延べ床面積、及びシステムのスループット、すなわち1時間に処理される基板の数による影響を受ける。通常、設置面積は、システムに隣接する保守に必要なアクセス領域を含む。したがって、基板処理プラットフォームは比較的小さくすることができるが、操作及び保守のためにすべての側面からのアクセスが必要とされる場合、システムの有効設置面積はやはり非常に大きくなることがある。
[0004]半導体デバイスの寸法が縮小するにつれて、プロセスの変動性に対する半導体業界の許容範囲も減少し続けている。これらのますます厳しくなるプロセス要件を満たすために、当業界は、ますます厳しくなるプロセスウィンドーの要件を満たす多数の新しいプロセスを開発してきたが、これらのプロセスは、完成までにより長い時間を要することが多い。例えば、高アスペクト比で65nm以下の相互接続特徴の表面上へ銅の拡散バリア層を共形に形成するには、ALDプロセスを使用することが必要になることがある。ALDとはCVDの変種であり、CVDに比べてステップカバレッジに優れていることが実証されている。ALDは、当初はエレクトロルミネッセンスディスプレイを製造するために用いられた原子層エピタキシ(ALE)に基づいている。ALDでは、飽和した単層の反応性前駆体分子を基板表面上に堆積させるために化学吸着を用いる。これは、適当な反応性前駆体を堆積チャンバ内へ周期的に交互にパルシングすることによって実現される。通常、反応性前駆体の各注入は、不活性ガスのパージによって分離され、前に堆積させた層に新しい原子層を設けて、基板の表面上に均一の材料層を形成する。反応性前駆体及び不活性パージガスの周期を繰り返して、所望の厚さの材料層を形成する。ALD技法に伴う最大の欠点は、堆積速度が典型的なCVD技法より少なくとも1桁、遅いことである。例えば、一部のALDプロセスは、高品質の層を基板の表面上に堆積させるために、約10〜約200分のチャンバ処理時間を必要とする可能性がある。より優れたデバイス性能のためにそのようなALD及びエピタキシャルプロセスを選んだ場合、基板処理スループットが非常に低くなるため、従来の単一の基板処理チャンバ内でデバイスを製造するコストが増大するはずである。したがって、そのようなプロセスを実施するとき、経済的に実現可能にするには、連続基板処理手法が必要とされる。
[0005]当該技術分野では、引き続き、効率良く且つコスト効率の高いように、基板上に膜を均一に堆積する装置及び方法が必要とされている。
[0006]本開示の実施形態は、表面を有する基板を複数のセクションを備える処理チャンバの内部に配置することであって、各セクションが、隣接するセクションからガスカーテンによって分離されている、配置すること、第1の膜を処理チャンバの第1のセクション内で表面上に堆積するため、表面の少なくとも一部を温度変化又は第1の反応性ガスのうちの1つ又は複数を含む第1の処理条件に露出すること、基板表面をガスカーテンを通して処理チャンバの第2のセクションへと横方向に移動させること、第2の膜を処理チャンバの第2のセクション内に形成するため、第1の膜を温度変化又は第2の反応性ガスのうちの1つ又は複数を含む第2の処理条件に露出することを含む処理方法であって、表面の第2の部分が第2の処理条件に露出され、且つ基板の中間部分がガスカーテンに露出されるのと同時に、表面の第1の部分が第1の処理条件に露出される、処理方法を対象とする。
[0007]本開示の更なる実施形態は、基板を、中央軸の周りに環状に配置された複数のセクションを備える処理チャンバの内部に配置することであって、各セクションが、隣接するセクションからガスカーテンによって分離されている、配置すること、並びに第1の膜を表面上に堆積するように基板表面の少なくとも一部を温度変化又は第1の反応性ガスのうちの1つ又は複数の第1の処理条件に露出するため、且つ第1の膜と反応し、処理チャンバの第2のセクション内に第2の膜を形成するように温度変化又は第2の反応性ガスのうちの1つ又は複数を含む第2の処理条件に露出するため、基板を中央軸の周りで回転させることを含む処理方法であって、表面の第2の部分が第2の処理条件に露出され、且つ基板の中間部分がガスカーテンに露出されるのと同時に、表面の第1の部分が第1の処理条件に露出される、処理方法を対象とする。
[0008]本開示の更なる実施形態は、表面を有する基板を、中央軸の周りに環状に配置された複数のセクションを備える処理チャンバの内部に配置することであって、各セクションが、隣接するセクションからガスカーテンによって分離されている、配置すること、第1の膜を形成するために基板を処理チャンバの第1のセクション内で第1の処理条件に露出することであって、第1の処理条件が、第1の反応性ガス又は温度変化のうちの1つ又は複数を含む、露出すること、移動中のある時点で、基板の少なくとも一部が第1の処理条件に露出される一方で、基板の少なくとも一部が第2の処理条件に露出され、且つ基板の中間部分がガスカーテンに露出されるように、基板を、第1のセクションから、ガスカーテンを通して、第2の処理条件を有する処理チャンバの第2のセクションへと移動させるために基板を処理チャンバの中央軸の周りを回転させること、第2の膜を形成するために処理チャンバの第2のセクション内の第2の処理条件に基板を露出することであって、第2の処理条件が、第2の反応性ガス又は温度変化のうちの1つ又は複数を含む、露出すること、移動中のある時点で、基板の少なくとも一部が第2の処理条件に露出される一方で、基板の少なくとも一部が第3の処理条件に露出され、且つ基板の中間部分がガスカーテンに露出されるように、基板を、第2のセクションから、ガスカーテンを通して、処理チャンバの第3のセクションへと移動させるために基板を処理チャンバの中央軸の周りを回転させること、第3の膜を形成するために処理チャンバの第3のセクション内の第3の処理条件に基板を露出することであって、第3の処理条件が、第3の反応性ガス又は温度変化のうちの1つ又は複数を含む、露出すること、移動中のある時点で、基板の少なくとも一部が第3の処理条件に露出される一方で、基板の少なくとも一部が第4の処理条件に露出され、且つ基板の中間部分がガスカーテンに露出されるように、基板を、第3のセクションから、ガスカーテンを通して、処理チャンバの第4のセクションへと移動させるために基板を処理チャンバの中央軸の周りを回転させること、第4の膜を形成するために処理チャンバの第4のセクション内の第4の処理条件に基板を露出することであって、第4の処理条件が、第4の反応性ガス又は温度変化のうちの1つ又は複数を含む、露出すること、基板を、第2のセクション又は第3のセクションを通過させることなく、第4のセクションから、ガスカーテンを通して、第1のセクションへと移動させるために基板を処理チャンバの中央軸の周りを回転させることを含む処理方法であって、第1の反応性ガス、第2の反応性ガス、第3の反応性ガス、又は第4の反応性ガスのうちの1つ又は複数がシランを含み、反応性ガスの露出間に処理チャンバのパージングを必要とする処理に比べて、第4の膜内の欠陥形成が著しく減少する、処理方法を対象とする。
[0009]本開示の上述の特徴の態様を詳細に理解することができるように、上記で簡単に概説した本開示のより具体的な説明を実施形態を参照することによって得ることができ、これら実施形態の幾つかは添付の図面で示される。しかしながら、本開示は他の等しく有効な実施形態も許容し得るため、添付の図面は、本開示の典型的な実施形態のみを示しており、したがって、本発明の範囲を限定すると見なすべきではないことに留意されたい。
本開示の1つ又は複数の実施形態に係る空間的原子層堆積チャンバの側面断面図である。 本開示の1つ又は複数の実施形態に係るサセプタの斜視図を示す。 本開示の1つ又は複数の実施形態に係るパイ形状ガス分配アセンブリの概略図を示す。 本開示の1つ又は複数の実施形態に係る、ローティングステーションを備える4つのガス分配アセンブリユニットを有するように構成されている基板処理システムの概略平面図である。 3つのガス分配アセンブリユニットを有するように構成されている基板処理システムの概略平面図である。 本開示の1つ又は複数の実施形態に係る処理チャンバの断面図を示す。 本開示の1つ又は複数の実施形態に係るサセプタアセンブリ及びガス分配アセンブリユニットの斜視図を示す。 本開示の1つ又は複数の実施形態に係る処理チャンバの断面図を示す。 本開示の1つ又は複数の実施形態に係るパイ形状ガス分配アセンブリの概略図を示す。
[0019]本開示の実施形態は、スループットを最大化し、且つ、処理の効率性及び均一性を改善する、連続基板堆積のための基板処理システムを提供する。この基板処理システムは、堆積前及び堆積後の基板のトリートメントのためにも使用され得る。本開示の実施形態は、バッチプロセッサ内での堆積均一性を向上させるための装置及び方法に関する。
[0020]記載の実施形態に係るバッチプロセッサを使用すると、ALD TiN堆積において高スループットを示し得ることが発明者らによって発見された。似たような優れた結果を、他の処理、例えば、ALD TiO、TiSiN、TiAlN、AlN、W、WN、Ta、TaNで見出すことができる。プラズマトリートメントを必要とするパルスCVD Co、PECVD及びPEALD TiNなど、プラズマトリートメントを必要とする金属又は誘電体膜を製造するため、様々な注入構成を更に使用し得る。限定されないが、水素プラズマとPEALD TaN、水素プラズマとPEALD銅(PEALD copper)などを含む、プラズマを必要とする他の処理を使用してもよい。プラズマの能力によって、TiSiN、Co、TaN、TiSiN、W、WNなどをエッチングするためにNF遠隔プラズマでのインシトゥ(in−situ)洗浄を使用することができる。AlN、TiAlN、及びTaに関しては、インシトゥ洗浄をBCl及びClプラズマで行うことができる。TiOに関しては、ヘリウム環境内のNF及びNHのダイレクトプラズマを使用することができる。先行する膜及び化学物質は、使用可能な堆積及びエッチング処理の一部を表しているに過ぎない。
[0021]「基板」及び「ウエハ」という用語は、本明細書及び添付された特許請求の範囲において使用される場合、交換可能に使用され、両方ともプロセスが作用する表面又は表面の一部を表している。更に、基板に対して言及がなされるとき、そうではないことが文脈によって明白に示されない限り、基板の一部のみに対する言及であり得ることが、当業者には理解されよう。例えば、図1に関して説明される空間的に分離されたALDでは、各前駆体が基板に供給されるが、個々の前駆体の流れはいずれもどの時点においても基板の一部にしか供給されない。更に、基板上への堆積に対して言及がなされるとき、それは、ベア基板と、1つ又は複数の膜又はフィーチャが上部に堆積又は形成された基板との両方を意味し得る。
[0022]この明細書及び添付された特許請求の範囲において使用される場合、「反応性ガス」、「前駆体」、「反応物質(反応物)」などの用語は、交換可能に使用されて、原子層堆積プロセスにおいて反応性である核種を含むガスを意味する。例えば、第1の「反応性ガス」は、単に基板の表面上に吸着し、第2の反応性ガスとの更なる化学反応のために使用可能であり得る。
[0023]図1は、本開示の1つ又は複数の実施形態に係る処理チャンバ20の一部の概略断面図である。処理チャンバ20は、概して、真空又は少なくとも低圧条件下で操作される密封可能な筐体である。処理チャンバ100は、基板60の上面61にわたって1つ又は複数のガスを分配することが可能なガス分配アセンブリ30を含む。ガス分配アセンブリ30は、当業者には既知の任意の適切なアセンブリであってよく、説明されている特定のガス分配アセンブリは、開示の範囲を限定すると見なされるべきではない。ガス分配アセンブリ30の出力面は、基板60の第1の面61に面している。
[0024]本開示の実施形態と共に使用するための基板は、任意の適切な基板であってもよい。幾つかの実施形態では、基板は、剛性であり、概して平面のディスクリート基板である。本明細書及び添付された特許請求の範囲において使用される場合、基板に言及する時の「ディスクリート(discrete)」という用語は、基板が決まった寸法を有することを意味する。1つ又は複数の実施形態の基板は、200mm又は300mm直径のシリコン基板のような、半導体基板である。幾つかの実施形態では、基板は、シリコン、シリコンゲルマニウム、ひ化ガリウム、窒化ガリウム、ゲルマニウム、りん化ガリウム、りん化インジウム、サファイア、又は炭化ケイ素のうちの1つ又は複数である。
[0025]ガス分配アセンブリ30は、1つ又は複数のガス流を基板60へ送るための複数のガスポート、並びに、ガス流を処理チャンバ20の外へと送るための、各ガスポート間に配置された複数の真空ポートを含む。図1の実施形態では、ガス分配アセンブリ30は、第1の前駆体注入器120、第2の前駆体注入器130、及びパージガス注入器140を備える。注入器120、130、140は、メインフレームのようなシステムコンピュータ(図示せず)によって、又は、プログラマブル論理コントローラのようなチャンバ固有のコントローラによって、制御され得る。前駆体注入器120は、複数のガスポート125を通じて、化合物Aの反応性前駆体の連続流(又はパルス流)を処理チャンバ20内に注入する。前駆体注入器130は、複数のガスポート135を通じて、化合物Bの反応性前駆体の連続流(又はパルス流)を処理チャンバ20内に注入する。パージガス注入器140は、複数のガスポート145を通じて、非反応性ガス又はパージガスの連続流(又はパルス流)を処理チャンバ20内に注入する。パージガスは、処理チャンバ20から反応性材料及び反応性副生成物を除去する。パージガスは、典型的には、窒素、アルゴン及びヘリウムなどの不活性ガスである。ガスポート145は、化合物Aの前駆体を化合物Bの前駆体から分離し、それにより、前駆体間の相互汚染を回避するように、ガスポート125とガスポート135との間に配置される。
[0026]別の態様では、前駆体を処理チャンバ20内へ注入する前に、遠隔プラズマ源(図示せず)が前駆体注入器120及び前駆体注入器130に接続され得る。反応性核種のプラズマは、遠隔プラズマ源の中で化合物に電界を印加することによって、生成され得る。目的の化合物の活性化が可能な任意の電源を使用してもよい。例えば、DC、高周波(RF)、及びマイクロ波(MW)に基づく放電技術を使用する電源を使用してもよい。RF電源が使用される場合、容量的に又は誘導的に連結され得る。更に、熱に基づく技術、気体絶縁破壊技術、高エネルギー光源(例えばUVエネルギー)、又はX線源への露出によって、活性化が引き起こされ得る。例示的な遠隔プラズマ源は、MKS Instruments, Inc.及びAdvanced Energy Industries, Inc.などの供給元から入手可能である。
[0027]処理チャンバ100は、処理チャンバ20に接続されたポンピングシステム150を更に含む。ポンピングシステム150は、概して、1つ又は複数の真空ポート155を通して、処理チャンバ20からガス流を排気するように構成される。真空ポート155は、ガス流が基板表面と反応した後にガス流を処理チャンバ20の外へと排気し、且つ、前駆体間の相互汚染を更に抑えるように、各ガスポート間に配置される。
[0028]処理チャンバ100は、各ポートの間で処理チャンバ20上に配置された複数のパーティション160を含む。各パーティションの下部は、基板60の第1の表面61に近接するように延在し、例えば、第1の表面61から約0.5mm以上の距離に延在する。この様態では、パーティション160の下部は、ガス流が基板表面と反応した後にガス流が真空ポート155に向かって下部の周囲を流れることを可能にするのに十分な距離だけ、基板表面から離される。矢印198はガス流の方向を示す。パーティション160は、ガス流に対する物理的なバリアとして機能するため、前駆体間の相互汚染も抑える。図示されている配設は、単なる例示であり、本開示の範囲を限定すると見なされるべきではない。図示されているガス分配システムは、実現可能な一分配システムに過ぎず、他の種類のシャワーヘッド及びガス分配アセンブリも利用し得ることが、当業者には理解されよう。
[0029]この種の(すなわち、複数のガスが同時に、基板に向かって別々に流れる)原子層堆積システムは、空間的ALDと称される。稼働中、基板60は、(例えばロボットによって)処理チャンバ20に供給され、処理チャンバに入る前又は後にシャトル65上に配置され得る。シャトル65は、軌道70又は他の何らかの適切な移動機構に沿って移動し、ガス分配アセンブリ30の下方(又は上方)を通過して、処理チャンバ20を通る。図1に示す実施形態では、シャトル65は、チャンバを通る線形経路を移動する。図3は、以下で更に説明されるように、ウエハがカルーセル処理システムを通って円形経路を移動する実施形態を示す。
[0030]図1を再び参照すると、基板60が処理チャンバ20を通って移動する際に、基板60の第1の表面61は、ガスポート125から出る反応性ガスA及びガスポート135から出る反応性ガスB、並びに、それらの間でガスポート145から出るパージガスに繰り返し露出される。パージガスの注入は、基板表面110を次の前駆体に露出する前に、直前の前駆体から未反応材料を除去するように設計されている。様々なガス流(例えば、反応性ガス又はパージガス)に対するそれぞれの露出の後、ガス流は、ポンピングシステム150によって、真空ポート155を通じて排気される。真空ポートを各ガスポートの両側に配置することができるため、ガス流は、両側の真空ポート155を通じて排気される。したがって、ガス流は、それぞれのガスポートから、基板60の第1の表面61に向かって下方向に垂直に流れ、基板表面110の全域を通って、パーティション160の下部の周囲を流れ、最後に、真空ポート155に向かって上方向に流れる。この様態では、各ガスは、基板表面110の全域にわたって均一に分配され得る。矢印198はガス流の方向を示す。基板60は、様々なガス流に露出されている間に更に回転してもよい。基板の回転は、形成された層におけるストリップの形成の防止に役立ち得る。基板の回転は、連続的又は不連続の工程であってもよく、基板がガス分配アセンブリ30の下方を通過している間に、又は、基板がガス分配アセンブリ30の前及び/又は後の領域内にある時に、起こり得る。
[0031]最後のガスポートへの完全な露出を確保するため、ガス分配アセンブリ30の後には十分な空間が概して設けられる。一旦基板60がガス分配アセンブリ30の下方を完全に通過すると、第1の表面61は、処理チャンバ20内の全てのガスポートに完全に露出されたことになる。基板は、次いで、戻るように反対方向へと、又は前方へと、搬送され得る。基板60が反対方向に移動する場合、基板表面は、第1の露出とは逆の順序で、反応性ガスA、パージガス、及び反応性ガスBに再度露出され得る。
[0032]基板表面110が各ガスに露出される程度は、例えば、ガスポートから出る各ガスの流量、及び基板60の移動の速度によって、決定され得る。1つの実施形態では、吸着された前駆体を基板表面61から除去しないように各ガスの流量が制御される。各パーティション間の幅、処理チャンバ20に配置されたガスポートの数、及び基板がガス分配アセンブリを通過する回数も、基板表面61が様々なガスに露出される程度を決定し得る。結果として、堆積された膜の量及び質は、上述の要素を変化させることによって最適化し得る。
[0033]ガス分配アセンブリの下方に位置する基板に向けてガスの流れを下方に方向付けるガス分配アセンブリ30を例にプロセスが説明されてきたが、この配向は異なってもよいことが理解されよう。幾つかの実施形態では、ガス分配アセンブリ30は、ガスの流れを基板表面に向けて上方に方向付ける。本明細書及び添付された特許請求の範囲において使用される場合、「通過した」という表現は、基板の表面全体がガス分配プレートからの各ガス流に露出されるように、基板がガス分配アセンブリの一方の側から他方の側へと移動されたことを意味する。追加の説明がない限り、「通過した」という表現は、ガス分配アセンブリ、ガス流、又は基板位置の任意の特定の配向を示唆しない。
[0034]幾つかの実施形態では、シャトル65は、基板60を運搬するためのサセプタ66である。一般的に、サセプタ66は、基板の全域で均一な温度を形成することに役立つキャリアである。サセプタ66は、両方向(図1の配設に対して左から右及び右から左)に、又は(図3に関して)円周方向に可動である。サセプタ66は、基板60を運搬するための上面67を有する。サセプタ66は、基板60が処理のために加熱され得るように、加熱されたサセプタであってもよい。一例としては、サセプタ66は、サセプタ66の下方に配置された、放射熱ランプ90、加熱プレート、抵抗コイル、又は他の加熱デバイスによって加熱されてもよい。
[0035]更に別の実施形態では、図2で示されるように、サセプタ66の上面67は、基板60を受け入れるための凹部68を含む。サセプタ66は、サセプタ材料が基板の下方にあるように、概して、基板の厚みよりも厚みがある。幾つかの実施形態では、基板60が凹部68の内部に配置されるとき、基板60の第1の表面61がサセプタ66の上面67と水平であるように、又は実質的に同一平面であるように、凹部68は寸法形成される。言い換えると、基板60が凹部の中に配置されるとき、基板60の第1の表面61がサセプタ66の上面67の上に突出しないように、幾つかの実施形態の凹部68は寸法形成される。本明細書及び添付された特許請求の範囲において使用される場合、「実質的に同一平面」という表現は、ウエハの上面とサセプタアセンブリの上面が、±0.2mm以内で同一平面となることを意味する。幾つかの実施形態では、これらの上面は、±0.15mm、±0.10mm、又は±0.05mm以内で同一平面となる。
[0036]図1は、処理チャンバの断面図を示しており、その中には、個々のガスポートが示されている。この実施形態は、ガス分配プレートの幅全体にわたり個々のガスポートの幅が実質的に同一である線形処理システム、或いは、パイ形状に適合させるために個々のガスポートの幅が変化するパイ形状セグメントのいずれかであってもよい。図3は、パイ形状のガス分配アセンブリ30の一部分を示している。基板は、弧状経路32でこのガス分配アセンブリ30を通過する。個々のガスポート125、135、145、155は、それぞれ、ガス分配アセンブリ30の内周縁33の近くでは幅がより狭く、ガス分配アセンブリ30の外周縁34の近くでは幅がより広くなる。個々のポートの形状又はアスペクト比は、ガス分配アセンブリ30のセグメントの形状又はアスペクト比に比例してもよく、又はそれと異なってもよい。幾つかの実施形態では、経路32を辿ってガス分配アセンブリ30を通過するウエハの各ポイントが、各ガスポートの下でほぼ同一の滞留時間を有するように、個々のポートは形作られる。基板の経路は、ガスポートに対して垂直であってもよい。幾つかの実施形態では、それぞれのガス分配アセンブリは、基板が横断する経路に対して実質的に垂直な方向に延在する複数の細長いガスポートを備える。本明細書及び添付された特許請求の範囲において使用される場合、「実質的に垂直」という表現は、移動の概略方向がガスポートの軸に対してほぼ垂直であることを意味する。パイ形状のガスポートにおいては、ガスポートの軸は、ポートの長さに沿って延在するポートの幅の中心点として画定されたラインであると見なしてもよい。以下で更に説明されるように、個々のパイ形状のセグメントは、それぞれ、単一の反応性ガス、或いは、空間的に分離されている複数の反応性ガス又は(例えば、典型的なCVDプロセスにおける場合のように)組み合わされた複数の反応性ガスを供給するよう構成され得る。
[0037]複数のガス注入器を有する処理チャンバは、複数のウエハを同時に処理するために使用することができ、それにより、複数のウエハが同じプロセスの流れを経る。例えば、図4に示すように、処理チャンバ100は、4つのガス分配アセンブリ30及び4つの基板60を有する。処理の始まりに、基板60をガス分配アセンブリ30間に位置付け得る。カルーセルのサセプタ66を45度回転させることにより、結果として、各基板60が、膜堆積のためにガス分配アセンブリ30(注入器アセンブリとも称される)の方に移動することになる。これは、図4で示されている位置である。更に45度回転させることにより、基板60はガス分配アセンブリ30から離れる方向に移動することになる。空間的ALD注入器を用いることで、ウエハが注入器アセンブリに対して移動している最中に、膜がウエハ上に堆積される。幾つかの実施形態では、基板60がガス分配アセンブリ30の下方で停止しないようにサセプタ66が回転する。基板60及びガス分配アセンブリ30の数は、同一であるか、又は異なり得る。幾つかの実施形態では、処理されるウエハの数は、存在するガス分配アセンブリと同じ数となる。1つ又は複数の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数の整数倍となる。例えば、4つのガス分配アセンブリが存在する場合、処理されるウエハの数は4xとなり、ここでxは、1を上回るか又は1と等しい整数値である。
[0038]図4に示す処理チャンバ100は、実行可能な一構成を表しているに過ぎず、本開示の範囲を限定すると見なされるべきではない。ここで、処理チャンバ100は、複数のガス分配アセンブリ30を含む。図示した実施形態には、処理チャンバ100の周りで均等に離間した、4つのガス分配アセンブリ30が存在する。図示の処理チャンバ100は八角形であるが、これは1つの可能な形状であり、本開示の範囲を限定すると見なされるべきではないことが、当業者には理解されよう。図示のガス分配アセンブリ30は、矩形であるが、ガス分配アセンブリは、図3に示されているようなパイ形状セグメントであってもよいと当業者は理解されよう。加えて、各セグメントは、同一のセグメントから複数の異なる反応性ガスが流れる状態で空間型配設においてガスを供給するように構成されてもよく、或いは、単一の反応性ガス又は複数の反応性ガスの混合物を供給するように構成されてもよい。
[0039]処理チャンバ100は、円形サセプタ66又はサセプタアセンブリとして示されている基板支持装置を含む。基板支持装置又はサセプタ66は、各ガス分配アセンブリ30の下方で複数の基板60を移動させることが可能である。チャンバ100に対して基板60をローディング/アンローディングすることを可能にするために、処理チャンバ100の側面にロードロック82が接続される可能性もある。
[0040]処理チャンバ100は、複数のガス分配アセンブリ30のいずれか又は各々の間に位置付けられた、複数の又は一組の第1のトリートメントステーション80を含んでもよい。幾つかの実施形態では、第1のトリートメントステーション80は、それぞれ、基板60に対して同一の処理をもたらす。
[0041]トリートメントステーションの数、及び、トリートメントステーションの異なる種類の数は、プロセスに応じて変動し得る。例えば、ガス分配アセンブリ30の間に位置付けられた、1つ、2つ、3つ、4つ、5つ、6つ、7つ、又はそれより多い数のトリートメントステーションが存在し得る。各トリートメントステーションは、他のあらゆる組のトリートメントステーションとは異なる処理を個別にもたらすことが可能であり、又は、同一の種類の処理と別々の種類の処理との混合が存在することが可能である。幾つかの実施形態では、個々のトリートメントステーションのうちの1つ又は複数は、他の個々のトリートメントステーションのうちの1つ又は複数とは異なる処理をもたらす。図4に示す実施形態は、ガス分配アセンブリ間の空間が何らかの種類のトリートメントステーションを含み得る、4つのガス分配アセンブリを示している。しかしながら、ガスカーテンを間に備える8つのガス分配アセンブリをこの処理チャンバに容易に組み込み得ることを、この図から容易に思い描くことができる。
[0042]図5に示されている実施形態では、1組の第2のトリートメントステーション85が、第1のトリートメントステーション80とガス分配アセンブリ30との間に位置決めされており、したがって、処理チャンバ100を通って回転する基板60が、基板60がどこから動き出すかに応じて、ガス分配アセンブリ30、第1のトリートメントステーション80、及ぶ第2のトリートメントステーション85に遭遇し、その後これらのいずれかの2つ目に遭遇するはずである。例えば、図5に示すように、基板が第1のトリートメントステーション80から動き出した場合、基板は、第1のトリートメントステーション80、ガス分配アセンブリ30、及び第2のトリートメントステーション85に順番に出会い、その後、2番目の第1のトリートメントステーション85に遭遇するはずである。
[0043]トリートメントステーションは、基板、基板上の膜、又はサセプタアセンブリに任意の適切な種類のトリートメントをもたらし得る。例えば、UVランプ、フラッシュランプ、プラズマ源、及びヒータである。ウエハは、次いで、ガス分配アセンブリ30の位置の間から、例えば、ウエハにプラズマを供給するシャワーヘッドの位置へと移動する。プラズマステーションはトリートメントステーション80と称されている。1つ又は複数の例では、各堆積層の後に、プラズマトリートメントを用いて窒化ケイ素膜を形成し得る。ALD反応は、理論的には、表面が飽和している限り自己制御的であることから、堆積ガスへの追加の露出が膜に対する損傷を引き起こすことはない。
[0044]カルーセルの回転は、連続的又は非連続的であってもよい。連続処理においては、ウエハが注入器の各々に順に露出されるように、ウエハは常に回転している。非連続処理においては、ウエハは注入器領域へと移動して停止し、次いで、注入器間の領域84へと移動して停止し得る。例えば、ウエハが注入器間領域から注入器にわたって移動し(又は注入器に隣接して停止し)、次の注入器間領域へ移動し、そこで再び休止できるように、カルーセルは回転することができる。注入器間の休止によって、各層の堆積の間に、追加の処理ステップ(例えば、プラズマへの露出)の時間がもたらされ得る。
[0045]幾つかの実施形態では、処理チャンバは、複数のガスカーテン40を備える。各ガスカーテン40はバリアを生成し、それにより、ガス分配アセンブリ30からの処理ガスがガス分配アセンブリ領域から移動する動き、並びに、トリートメントステーション80からのガスがトリートメントステーション領域から移動する動きが防止又は最小化される。ガスカーテン40は、個々の処理セクションを隣接するセクションから隔離し得る、ガス流と真空流との任意の適切な組み合わせを含み得る。幾つかの実施形態では、ガスカーテン40は、パージ(又は不活性)ガス流である。1つ又は複数の実施形態では、ガスカーテン40は、処理チャンバからガスを除去する真空流である。幾つかの実施形態では、ガスカーテン40は、パージガス流と真空流との組合せであり、したがって、順番に、パージガス流、真空流、並びにパージガス流が存在する。1つ又は複数の実施形態では、ガスカーテン40は、真空流とパージガス流との組合せであり、したがって、順番に、真空流、パージガス流、並びに真空流が存在する。図4に示すガスカーテン40は、それぞれのガス分配アセンブリ30とトリートメントステーション80との間に位置決めされているが、これらのカーテンは、処理経路に沿って任意の1つ又は複数のポイントに位置決めされ得ることを理解されたい。
[0046]図6は、注入器とも称されるガス分配アセンブリ220、及びサセプタアセンブリ230を含む、処理チャンバ200の一実施形態を示している。この実施形態では、サセプタアセンブリ230は剛性体である。幾つかの実施形態の剛性体は、0.05mm以下の折れ曲がり許容差(droop tolerance)を有する。アクチュエータ232は、例えば、サセプタアセンブリ230の外径領域の3つの位置に配置される。本明細書及び添付された特許請求の範囲において使用される場合、「外径」及び「内径」という用語は、それぞれ、外周縁及び内側縁に近い領域を表す。外径は、サセプタアセンブリ230の最外縁の特定の位置(例えば、シャフト240の近く)ではないが、サセプタアセンブリ230の外縁231に近い領域である。これは、図6において、アクチュエータ232の配置から確認することができる。アクチュエータ232の数は、1つから、使用可能な物理空間の中に納まる任意の数まで、変動し得る。幾つかの実施形態は、外径領域231内に位置決めされた、2組、3組、4組、又は5組のアクチュエータ232を有する。本明細書及び添付された特許請求の範囲において使用される場合、「アクチュエータ」という用語は、サセプタアセンブリ230又はサセプタアセンブリ230の一部をガス分配アセンブリ220に向けて、又はガス分配アセンブリ220から離れるように、移動させることが可能な任意の単一機構又は複数部品を有する機構を表す。例えば、アクチュエータ232は、サセプタアセンブリ230が注入器アセンブリ220に実質的に平行であることを確実にするために使用され得る。この点で使用される「実質的に平行」という用語は、本明細書及び添付された特許請求の範囲において使用される場合、複数の構成要素の平行度が、構成要素間の距離に対して5%を上回って変動しないことを意味する。
[0047]アクチュエータ232からサセプタアセンブリ230に圧力が印加されると、サセプタアセンブリ230は水平化され得る。アクチュエータ232によって圧力が印加される際に、間隙210の距離は、約0.1mmから約2.0mmまでの範囲内、又は0.2mmから約1.8までの範囲内、又は約0.3mmから約1.7mmまで範囲内、又は約0.4mmから約1.6mmまでの範囲内、又は約0.5mmから約1.5mmまでの範囲内、又は約0.6mmから約1.4mmまでの範囲内、又は約0.7mmから約1.3mmまでの範囲内、又は約0.8mmから約1.2mmまでの範囲内、又は約0.9mmから約1.1mmまでの範囲内、或いは約1mmになるよう設定され得る。
[0048]サセプタアセンブリ230は、ガス分配アセンブリ220の下方に位置決めされる。サセプタアセンブリ230は、上面241、及び任意で、上面241における少なくとも1つの凹部243を含む。凹部243は、処理される基板260の形状及びサイズに応じて、任意の適切な形状及びサイズであり得る。図示した実施形態では、凹部241は、凹部243の外周縁の周囲に段差領域を有する。段差は、ウエハ260の外周縁を支持するように寸法形成され得る。段差によって支持される基板260の外周縁の分量は、例えば、ウエハの厚さ、及びウエハの裏側に既にあるフィーチャの存在に応じて、変動し得る。
[0049]幾つかの実施形態では、図6に示すように、サセプタアセンブリ230の上面241の凹部243は、凹部243内で支持されているウエハ260がサセプタアセンブリ230の上面241と実質的に同一面の上面261を有するように、寸法形成される。本明細書及び添付された特許請求の範囲において使用される場合、「実質的に同一平面」という表現は、ウエハの上面とサセプタアセンブリの上面が、±0.2mm以内で同一平面となることを意味する。幾つかの実施形態では、それらの上面は、±0.15mm、±0.10mm、又は±0.05mm以内で同一平面となる。
[0050]図6のサセプタアセンブリ230は、サセプタアセンブリ230を上昇、下降及び回転させることが可能な支持ポスト240を含む。サセプタアセンブリ230は、支持ポスト240の中心部内にヒータ、又はガスライン、又は電子部品を含み得る。支持ポスト240は、サセプタアセンブリ230とガス分配アセンブリ220との間の間隙を増大又は低減させ、サセプタアセンブリ230を概略位置へと移動させる、主たる手段であり得る。アクチュエータ232は、次いで、所望の間隙をつくりだすため、サセプタアセンブリの位置を微細調整し得る。
[0051]図6に示す処理チャンバ100は、サセプタアセンブリ230が複数のウエハ260を保持し得るカルーセル型チャンバである。ガス分配アセンブリ220は、複数の別々の注入器ユニット221を含んでよく、各注入器ユニット221は、ウエハが注入器ユニット221の下方を移動する際に、ウエハ260上に膜又は膜の一部を堆積することが可能である。図7は、カルーセル型処理チャンバ200の斜視図を示している。2つのパイ形状の注入器ユニット221が、サセプタアセンブリ230のほぼ対向する両端で、サセプタアセンブリ230の上に位置決めされているように示されている。注入器ユニット221のこの数は、例示目的のためにのみ示されている。注入器ユニット221をより多く又はより少なく含み得ることが理解されよう。幾つかの実施形態では、サセプタアセンブリ230の形状に適合する形状を形成するに十分な数のパイ形状の注入器ユニット221が存在する。幾つかの実施形態では、それぞれの個々のパイ形状の注入器ユニット221は、その他の注入器ユニット221のいずれかに影響を与えることなく、個別に移動、取り外し、且つ/又は交換することができる。例えば、1つのセグメントを上昇させて、サセプタアセンブリ230とガス分配アセンブリ220との間の領域にロボットがアクセスすることを可能にし、ウエハ260をロード/アンロードしてもよい。
[0052]図8は、サセプタアセンブリ230が剛性体ではない本開示の別の実施形態を示している。幾つかの実施形態では、サセプタアセンブリ230は、約0.1mm以下の、又は約0.05mm以下の、又は約0.025mm以下の、又は約0.01mm以下の、折れ曲がり耐性を有する。ここでは、サセプタアセンブリ230の外径領域231及び内径領域239に配置されたアクチュエータ232がある。アクチュエータ232は、サセプタアセンブリ230の内周及び外周の周囲で任意の適切な数の位置に位置決めされ得る。幾つかの実施形態では、アクチュエータ232は、外径領域231と内径領域239の両方で、3つの位置に配置される。外径領域231と内径領域239の両方におけるアクチュエータ232は、サセプタアセンブリ230に圧力を印加する。
[0053]図9は、ダイバータ及びサセプタアセンブリを有する円形ガス分配アセンブリを備える処理チャンバの一実施形態を示している。図9で一部見ることができる円形ガス分配アセンブリ220は、処理チャンバの中に位置決めされ、且つガス分配アセンブリ220の前面225に複数の細長いガスポート125、135、145を備える。複数の細長いガスポート125、135、145は、内周縁227に隣接する領域からガス分配アセンブリ220の外周縁228に隣接する領域に向かって延在する。図9で示されている複数のガスポートは、第1の反応性ガスポート125、第2の反応性ガスポート135、第1の反応性ガスポートと第2の反応性ガスポートそれぞれを取り囲むパージガスポート145、並びに真空ポート155を含む。
[0054]サセプタアセンブリ230は、回転軸周囲のほぼ円形の経路において少なくとも1つの基板を回転させるために処理チャンバの内部の位置決めされる。本明細書及び添付された特許請求の範囲において使用される場合、「ほぼ円形」という用語は、基板が完全な回転を完了する場合、経路が円形であることを意図するという意味である。サセプタアセンブリは、内周縁229及び外周縁231によって画定された(図8で示されているような)上面241を有する。サセプタアセンブリ230の上面241がガス分配アセンブリ220の前面225に対向するように、サセプタアセンブリ230はガス分配アセンブリ220の下方に位置決めされる。
[0055]本開示の一部の実施形態は、基板を処理する方法を対象とする。基板は、複数のセクションを有する処理チャンバの内部に配置され、各セクションは、隣接するセクションからガスカーテンによって分離される。本明細書及び添付された特許請求の範囲において使用される場合、「セクション」、「領域」、及び「セクター」という用語は、バッチ処理チャンバの内部の領域を説明するために交換可能に使用される。基板(ウエハとも称せられる)は、処理チャンバに入った後、個々のセクションのうちのどのセクションに入ってもよい。各セクションは、隣接するセクションと同じ処理条件又は異なる処理条件を有し得る。本明細書及び添付された特許請求の範囲において使用される場合、「処理条件」という用語は、個々のセクションの内部の条件全体を意味する。例えば、処理条件は、限定されないが、ガス組成、圧力、流量、温度、及びプラズマを含む。処理条件は、例えば、堆積、エッチング、及びトリートメント(例えば、高密度化、アニーリング)に対して構成され得る。
[0056]第1のセクションでは、第1の膜を基板の表面上に堆積するため、基板又は基板の一部が、第1の処理条件に露出される。基板表面は、むき出しの基板表面又はあらかじめ表面上に堆積された任意の層であり得る。例えば、該表面は、一方の部分が金属であり、他方の部分が誘電体であるという混合組成を有してもよい。個々の表面組成は、変化する場合があり、本開示の範囲を限定すると見なすべきではない。第1のセクション内の第1の処理条件は、温度変化又は第1の反応性ガスのうちの1つ又は複数を含む。本明細書及び添付された特許請求の範囲において使用される場合、第1の処理条件において、並びに処理チャンバの他のセクションにおいて、第1の反応性ガスを使用することは、組成、圧力、流量、ダイレクトプラズマ、遠隔プラズマ、及びそれらの組み合わせを意味する。
[0057]堆積又は形成された任意の膜は、金属又は誘電体膜などの完全な膜、或いは、2段階反応の前半部における部分的な膜であり得る。部分的な膜の一例は、基板表面への化合物の化学吸着の場合であり、後で還元又は酸化され、最終的な膜が生成される。第1の膜は、原子層堆積プロセスの一部であってもよく、部分的な膜又は完全な膜、或いは、化学気相堆積プロセスの一部であってもよい。CVDプロセスでは、第1の処理条件は、複数の反応性ガスの混合物を含んでもよい。これらの複数の反応性ガスは気相において反応して活性化された核種をつくり、次いで、この核種が基板表面に堆積される。幾つかのプロセスでは、セクション内で形成された膜は、セクションに入る膜よりも改善された品質を有する。例えば、第3のセクション内で形成された膜が、第4のセクション内で高密度化処理に露出され得る。形成された膜は、化学的処理、物理的処理、又は処理の組み合わせによるものであり得る。
[0058]第1の膜の形成の後、基板は、ガスカーテンを通って、処理チャンバの第2のセクションへと横方向に移動する。第2のセクションでは、第1の膜は、第2の膜を形成するための第2の処理条件に露出される。第2の処理条件は、第2の膜を形成するための温度変化又は第2の反応性ガスのうちの1つ又は複数を含む。第2の膜は、2段階反応の後半部の場合のように、第1の膜とは異なる組成であってもよく、混合された膜のように、完全に異なる組成を有する膜であってもよい。
[0059]第1のセクションから第2のセクションへ移動する間、基板は、第1の処理条件、第2の処理条件、及びそれら2つを分離するガスカーテンに露出される。ガスカーテンは、例えば、第1の処理条件と第2の処理条件との間における気相反応が最小限であること(少しでもあるとすれば)を確実にするため、不活性ガスと真空の組み合わせであってもよい。移動のある時点で、表面の一部は第1の処理条件に露出され、表面の別の部分は第2の処理条件に露出され、基板のこの2つの部分の間の中間部分はガスカーテンに露出される。
[0060]第1の処理条件、第2の処理条件、及び任意の他の処理条件は、それぞれ、第1の反応性ガスを含む単一の反応性ガス、第1の反応性ガスを含む複数の反応性ガスの混合物、第1の反応性ガスを含む遠隔プラズマ、第1の反応性ガスを含むダイレクトプラズマ、温度変化、及びそれらの組み合わせからなる群より選択される。本明細書及び添付された特許請求の範囲において使用される場合、「ダイレクトプラズマ」という用語は、処理チャンバ内で生成されるプラズマを意味し、「遠隔プラズマ」という用語は、処理チャンバの外で生成され、処理チャンバ内に流れ込むプラズマを意味する。
[0061]所望の厚みの膜を成長させるため、第1の処理条件及び第2の処理条件への露出を連続的に繰り返してもよい。例えば、バッチ処理チャンバは、第1の処理条件を有する2つのセクションと第2の処理条件を有する2つのセクションを交互するパターンで含んでもよく、したがって、処理チャンバの中央軸の周りの基板の回転によって、表面が、第1の処理条件と第2の処理条件に連続的且つ繰り返し露出され、それぞれの露出が(堆積のための)膜の厚みを成長させる。
[0062]幾つかの実施形態では、基板は、第2のセクションから、ガスカーテンを通り、処理チャンバの第3のセクションへと横方向に移動する。第3のセクションは、その中に第1の処理条件又は第2の処理条件と同一又は異なり得る第3の処理条件を有する。第3の処理条件は、処理チャンバの第3のセクション内の第3の反応性ガス又は温度変化のうちの1つ又は複数を含む。第3の処理条件は、基板表面上に第3の膜を形成する。第3の膜は、例えば、第1又は第2の膜とは異なる組成、或いは、第1又は第2の膜のためのトリートメントであり得る。移送の間、表面の第2の部分が第3の処理条件に露出され、且つ基板の中間部分がガスカーテンに露出されるのと同時に、表面の第1の部分が第2の処理条件に露出される。このことに関連して使用される「中間部分」という用語は、本明細書及び添付された特許請求の範囲において使用される場合、1つの処理条件に露出される第1の部分と異なる処理条件に露出される第2の部分の間の基板の部分を意味する。
[0063]例示的なプロセスでは、第1の処理条件は、表面上に部分的な膜を堆積する。部分的な膜は、第2の処理条件内で完成する。例えば、2段階原子層堆積プロセスの第1の部分及び第2の部分が挙げられる。第3の処理条件は、例えば、化学気相堆積によって堆積され得るトリートメント(例えば、高密度化)又は別の膜であり得る。トリートメント条件は、第2のセクション内で形成された膜を改善するために、或いは第2のセクション内で形成された膜の組成を変化させるために使用され得る。
[0064]更なる実施形態では、基板は、第3のセクションから、ガスカーテンを通り、処理チャンバの第4のセクションへと横方向に移動する。第3の膜は、第4の膜を形成するための第4の処理条件に露出される。第4の膜は、前の膜と異なる組成又は前の膜を改善した膜であってもよい。第4の処理条件は、処理チャンバの第4のセクション内の第4の反応性ガス又は温度変化のうちの1つ又は複数を含む。移送の間、表面の第2の部分が第4の処理条件に露出され、且つ基板の中間部分がガスカーテンに露出されるのと同時に、表面の第1の部分が第3の処理条件に露出される。
[0065]基板の運動の方向は、一方向又は往復方向であり得る。この文脈で用いられているように、一方向とは、基板がマクロスケールで1つの方向に移動することを意味する。例えば、基板は、処理チャンバ周囲で時計周りに回転するが、ごく一部が反時計周りであリ得る。運動の方向が全体的に時計回りである場合、運動は一方向である。周期的に時計回りに回転しながらも運動が反時計回りである場合も同様である。このような実施形態では、基板は、第2のセクションにも第3のセクションにもいずれにも露出されることなく、処理チャンバの第4のセクションから処理チャンバの第1のセクションへと横方向に移動し得る。1つ又は複数の実施形態では、基板は、第4のセクションから第1のセクションへと直接移動し、第1の処理条件、第2の処理条件、第3の処理条件、及び第4の処理条件への露出が繰り返される。これは、所望の厚みの膜を堆積するため、任意の回数行うことができる。
[0066]幾つかの実施形態では、回転の方向は、マクロスケールで往復方向である。これは、全体的な運動が処理チャンバのすべてのセクションを通して時計回りとなり、次いで、すべてのセクションを通して反時計回りに逆戻りすることを意味する。1つ又は複数の実施形態では、運動は一方向又は往復方向の組み合わせである。例えば、基板は、処理チャンバの第1のセクションを通して単一方向に移動し、次いで、第2のセクションで前後に往復運動して移動してもよく、又は、例えば、第4のセクションに移動する前に第2のセクションと第3のセクションの間で前後に往復運動して移動してもよい。当業者であれば、任意の数の利用可能な個々の回転/運動パターンがあることを理解されよう。
[0067]第1のセクション、第2のセクション、第3のセクション、及び第4のセクションで行なわれる処理は、所望の膜に応じて、似通ってもよく、又は異なってもよい。例えば、第1及び第3のセクションが両方とも基板表面に化合物Aを供給し、第2及び第4のセクションが表面に化合物Bを供給する場合がある。Aが基板表面に化学吸着(又は他の処理)を行い、BがAと反応する従来のALD型反応の場合、結果として、2つの層が堆積される。別の実施形態では、第1及び第3のセクションが同一の核種Aを供給し得る一方で、第2のセクションが核種Bを供給し、第3のセクションが核種Cを供給する。これにより、混合された膜が形成される結果となる。例えば、酸窒化膜の組成は、Bと同じ核種A及び酸素、並びにCと同じ窒素を含み得る。幾つかの実施形態では、最初の2つのセクションが膜を堆積し、第3及び第4のセクションのうちの少なくとも1つが膜をエッチングする。例えば、第1及び第2のセクションは、片方の表面が好適である混合表面(例えば、部分的に金属且つ部分的に誘電体)上に窒化膜を堆積する結果となる。第3及び第4のセクションは、次いで、選択的に堆積された膜を残すため、表面部分のうちの1つからの窒化物を選択的にエッチングするように使用され得る。
[0068]第1の膜、第2の膜、第3の膜、及び第4の膜のいずれも、金属、窒化物、ケイ素化合物、酸化物、窒化ケイ素、合金、及びそれらの組み合わせであり得る。幾つかの実施形態では、堆積された膜の少なくとも1つは、Al、Co、Mn、W、Ta、Ga、Ge、Ti、Hf、Cu、及びSi; Al、Co、Mn、W、Ta、Ga, Ge, Ti, Hf, Cu、及びSiの窒化物; Al、Co、Mn、W、Ta、Ga、Ge、Ti、Hf、Cu、及びSiの酸化物; Al、Co、Mn、W、Ta、Ga、Ge、Ti、Hf、Cu、及びSiのケイ素化合物、又はAl、Co、Mn、W、Ta、Ga、Ge、Ti、Hf、Cu、及びSiの窒化ケイ素を含む。
[0069]幾つかの実施形態では、第2の膜は、TiN、Co, TaN、TiSiN、W、又はWNのうちの1つ又は複数を含み、第2の膜のエッチングすることは、遠隔NFプラズマ、ダイレクトNFプラズマ、アンモニア、遠隔アンモニアプラズマ(remote ammonia plasma)、又はダイレクトアンモニアプラズマ(direct ammonia plasma)のうちの1つ又は複数への露出を含む。幾つかの実施形態では、第2の膜は、TiN、Co、TaN、TiSiN、W、又はWNのうちの1つ又は複数を含み、第2の膜のエッチングすることは、遠隔NFプラズマ又は遠隔アンモニアプラズマのうちの1つ又は複数への露出を含む。幾つかの実施形態では、エッチングは、遠隔NFプラズマ及び/又は遠隔アンモニアプラズマへの露出を含む。
[0070]1つ又は複数の実施形態では、第2の膜は、AlN、TiAlN、又はTaのうちの1つ又は複数を含み、第2の膜をエッチングすることは、BCl及びClへの露出を含む。
[0071]幾つかの実施形態では、第2の膜は、TiOを含み、第2の膜をエッチングすることは、ダイレクトNFプラズマ、遠隔NFプラズマ、アンモニア、ダイレクトアンモニアプラズマ、及び遠隔アンモニアプラズマのうちの1つ又は複数への露出を含む。幾つかの実施形態では、第2の膜は、TiOを含み、第2の膜をエッチングすることは、ダイレクトNFプラズマ又はダイレクトアンモニアプラズマのうちの1つ又は複数へ露出を含む。幾つかの実施形態では、エッチングは、ダイレクトNFプラズマ及び/又はダイレクトアンモニアプラズマへの露出を含む。
[0072]記載の装置で堆積された典型的な膜は、限定されないが、金属膜及び誘電体膜を含む。典型的な金属膜は、限定されないが、タンタル、チタン、ハフニウム、アルミニウム、銅、タングステン、銀、金、マンガン、クロム、並びにそれらの合金及び組み合わせを含む。典型的な誘電体膜は、限定されないが、タンタル、チタン、アルミニウム、銅、タングステン、銀、クロム、及びそれらの組み合わせの酸化物、窒化物、ケイ素化合物、及び窒化ケイ素を含む。これらは、堆積され得る例示的な膜に過ぎず、本開示の範囲を限定すると見なすべきではない。
[0073]例示的なハフニウム前駆体は、アルキルアミド(alkylamido)、シクロペンタジエニル、ハロゲン化物、アルキル、アルコキシド、及びそれらの組み合わせを含むリガンドを含むハフニウム化合物を含む。ハフニウム前駆体として使用されるアルキルアミドハフニウム化合物は、(RR’N)4Hfを含み、ここで、R及びR’は、独立して水素、メチル、エチル、プロピル又はブチルである。一部の特定のハフニウム前駆物質は、(EtN)Hf、(MeN)Hf、(EtMeN)Hf)、(t‐BuCHfCl、(CHfCl、(EtCHfCl、(MeHfCl、(Me)HfCl、(i‐PrCHfCl、(i‐PrC)HfCl、(t‐BuCHfMe、(acac)Hf、(hfac)Hf、(tfac)Hf、(thd)Hf、BrHf、ClHf、IHf、(NOHf、(t‐BuO)Hf、(i‐PrO)Hf、(EtO)Hf、及び(MeO)Hfを含む。
[0074]典型的なアルミニウム前駆体は、限定されないが、三塩化アルミニウムアルミニウム、三臭化アルミニウム(aluminum tribromide)、三フッ化アルミニウム、三ヨウ化アルミニウム(aluminum triiodide)、トリメチルアルミニウム(TMA)、ジメチルアルミニウムハイドライド(DMAH)、トリス(ジエチルアミノ)アルミニウム(TDEAA)、トリメチルアミンアラン(TMAA)、トリエチルアミンアラン(TEAA)、ジメチルエチルアミンアラン(DMEAA)、トリイソブチルアルミニウム、トリエチルアルミニウム、ジメチルアルミニウムハイドライド、及びジエチルアルミニウムクロライドを含む。
[0075]典型的なガリウム前駆体は、限定されないが、トリメチルガリウム(TMG)、三臭化ガリウム、三塩化ガリウム、トリエチルガリウム、トリイソプロピルガリウム、トリス(ジメチルアミド)ガリウム、及びトリ−tert−ブチルガリウムを含む。
[0076]還元剤として使用される典型的なチタン化合物は、限定されないが、TiCl及びTiIなどのチタンハロゲン化物、Ti(C、Ti(CClなどのシクロペンタジエニル錯体化合物、硫酸チタン(Ti(SO)、並びに水酸化チタン(Ti(OH))及びチタン塩を含む。
[0077]適切なタンタル前駆体は、限定されないが、タンタルベースの有機金属前駆体又はその誘導体、例えば、ペンタジメチルアミノタンタル(PDMAT、Ta(NMe)、ペンタエチルメチルアミノタンタル(PEMAT、Ta[N(CCH)、ペンタジエチルアミノタンタル(PDEAT、Ta(NEt)、TBTDET(Ta(NEtNC又はC1639Ta)、及びタンタルハロゲン化合物、並びに上記の化合物のあらゆる誘導体などを含む。
[0078]適切なシリコン前駆体は、限定されないが、シラン、ジシラン、トリメチルシラン、混合オルガノシラン、シラン塩(silane salt)、及びそれらの組み合わせを含む。適切な銅、タングステン、銀、金、マンガン、クロム、及び他の金属前駆体は、限定されないが、ハロゲン化物、及び有機金属化合物を含む。典型的なゲルマニウム前駆体は、限定されないが、ゲルマン、ジゲルマン、及びテトラメチルゲルマニウムを含む。
[0079]タングステン前駆体は、限定されないが、ハロゲン化物ベースのタングステン前駆体又は金属‐有機ベース(metal−organic based)のタングステン前駆体を含む任意の適切なタングステン含有ガスであり得る。例えば、幾つかの実施形態では、タングステン前駆体は、五塩化タングステン物(WCI)、WClの実験式を有する化合物(例えば、WCl10、WCl15)、六塩化タングステン(WCl)、WClの実験式を有する化合物(例えば、WCl12)、六フッ化タングステン(WF)を含んでもよい。
[0080]例示的なプラズマ又は遠隔プラズマエッチング処理は、四フッ化炭素(CF)、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、水素(H)などの1つ又は複数のエッチャントを含んでもよく、且つ加熱チャックがあっても、なくても実行され得る。
[0081]本開示の幾つかの実施形態は、バッチ処理チャンバを用いて窒化チタン膜を堆積させる方法を対象とする。1つのセクションは、チタン前駆体を供給し、後続するセクションはアンモニアを供給する。チタン前駆体とそれに続くアンモニアの両方への各露出によって、窒化チタンの単層が堆積される結果となる。チタン及びアンモニア注入器を2組を有する処理チャンバでは、各回転ごとに2つの層が堆積される。幾つかの実施形態では、チタン前駆体は、窒素又別の不活性ガスで希釈された四塩化チタンを含む。アンモニアは、窒素又別の不活性ガスで希釈され得る。幾つかの実施形態のサセプタは、約350℃から約550℃の範囲内の温度で維持される。幾つかの実施形態の厚みは、約100Åであり、抵抗率は、約160マイクロオームーcmである。
[0082]幾つかの実施形態では、1つ又は複数の層が、プラズマ強化原子層堆積(PEALD)プロセス中に形成され得る。幾つかのプロセスにおいて、プラズマの使用は、表面反応が有利で且つ起こり得るようになる励起状態へと核種を促進するために十分なエネルギーを供給する。プロセス内へのプラズマの導入は、連続的又はパルス的であり得る。幾つかの実施形態では、前駆体(又は反応性ガス)とプラズマの連続パルスが、層を処理するために使用される。幾つかの実施形態では、試薬は、局所的に(すなわち処理領域の中)又は遠隔的に(すなわち処理領域の外)イオン化され得る。幾つかの実施形態では、遠隔イオン化は、イオン或いは他のエネルギー核種又は発光核種が堆積膜と直接接触しないように、堆積チャンバの上流で起こり得る。幾つかのPEALDプロセスでは、プラズマは、例えば遠隔プラズマ生成システムによって、処理チャンバの外部で生成される。プラズマは、当業者には既知の、任意の適切なプラズマ生成のプロセス又は技術を介して生成され得る。例えば、プラズマは、マイクロ波(MW)発振器又は高周波(RF)発振器のうちの1つ又は複数によって生成され得る。プラズマの周波数は、使用される特定の反応性核種に応じて調整され得る。適切な周波数は、限定されないが、2MHz、13.56MHz、40MHz、60MHz、及び100MHzを含む。本明細書で開示されている堆積プロセスの間にプラズマを使用してもよいが、プラズマが必要では場合があることに留意すべきである。実際、他の実施形態は、プラズマなしの非常に穏やかな条件下での堆積プロセスに関する。
[0083]1つ又は複数の実施形態によれば、基板は、層の形成に先立って、且つ/又は、層の形成後に処理を受ける。この処理は、同一のチャンバ内で、又は、1つ又は複数の別の処理チャンバ内で実行され得る。幾つかの実施形態では、基板は、第1のチャンバから、更なる処理のために別の第2のチャンバに移動される。基板は、第1のチャンバから別の処理チャンバへ直接移動させてもよく、又は、第1のチャンバから1つ又は複数の移送チャンバへ移動させ、それから、所望の別の処理チャンバへ移動させてもよい。したがって、処理装置は、移送ステーションと連通した複数のチャンバを備えてもよい。この種の装置は、「クラスタツール」又は「クラスタシステム」等と呼ばれ得る。
[0084]一般的に、クラスタツールは、基板の中心検出及び配向、ガス抜き、アニール処理、堆積、及び/又はエッチングを含む様々な機能を実行する複数のチャンバを備えるモジュールシステムである。1つ又は複数の実施形態によれば、クラスタツールは、少なくとも第1チャンバ及び中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバ及び複数のロードロックチャンバの間で基板を往復搬送することができるロボットを収容し得る。移送チャンバは、典型的には、真空条件に維持され、且つ、あるチャンバから、別のチャンバ及び/又はクラスタツールの前端部に位置付けられたロードロックチャンバへ基板を往復搬送するための中間段階を設ける。本開示に適合し得る、周知の2つのクラスタツールは、Centura(登録商標)とEndura(登録商標)であり、両方とも、カリフォルニア州サンタクララのApplied Materials, Inc.から入手可能である。一つのそのような段階的真空の基板処理装置の詳細が、1993年2月16日発行の、Tepman他の「Staged−Vacuum Wafer Processing Apparatus and Method」という名称の、米国特許第5186718号において開示されている。しかしながら、チャンバの正確な配設及び組合せは、本明細書に記載のプロセスの特定のステップを実行するために変更されてもよい。使用可能な他の処理チャンバは、限定されないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチ、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及びその他の基板処理を含む。クラスタツール上でチャンバ内の処理を実施することにより、後続膜の堆積に先立って、酸化を伴わずに、空気中の不純物による基板の表面汚染が回避され得る。
[0085]1つ又は複数の実施形態によれば、基板は、継続的に真空条件又は「ロードロック」条件の下にあり、1つチャンバから次のチャンバへと移動する時に周囲空気に露出されない。移送チャンバは、したがって、真空下にあり、真空圧力下で「ポンプダウン」される。不活性ガスが、処理チャンバ又は移送チャンバ内に存在し得る。幾つかの実施形態において、基板の表面上に層を形成した後に反応物質の一部又は全部を除去するために、不活性ガスがパージガスとして使用される。1つ又は複数の実施形態によれば、パージガスは、堆積チャンバの出口において注入され、それにより、反応物質が堆積チャンバから移送チャンバ及び/又は追加の処理チャンバに移動することが防止される。したがって、不活性ガスの流れがチャンバの出口にカーテンを形成する。
[0086]処理中に、基板は加熱又は冷却され得る。このような加熱又は冷却は、限定されないが、基板支持体(例えばサセプタ)の温度を変化させること、及び加熱された又は冷却されたガスを基板表面に流すことを含む任意の好適な手段によって達成され得る。幾つかの実施形態では、基板支持体は、基板温度を伝導的に変化させるように制御することができるヒータ/クーラを含む。1つ又は複数の実施形態では、基板温度を局所的に変化させるため、使用するガス(反応性ガス又は不活性ガス)は加熱又は冷却される。幾つかの実施形態では、基板温度を対流で変化させるために、ヒータ/クーラはチャンバ内部で基板表面に隣接して配置される。
[0087]更に、基板は、処理中に静止又は回転させ得る。回転する基板は、連続的に又は不連続なステップで、回転され得る。例えば、基板は処理全体を通じて回転してもよく、或いは、基板は種々の反応性ガス又はパージガスへの露出間に少しずつ回転してもよい。処理中に基板を(連続的に又は段階的に)回転させることは、例えば、ガス流形状における局所的可変性の影響を最小化することにより、より均一な堆積又はエッチングを生成することに役立ち得る。
[0088]以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱しなければ、本開示の他の実施形態及び追加の実施形態を考案してもよい。本開示の範囲は、添付の特許請求の範囲によって定められる。

Claims (15)

  1. 表面を有する基板を、複数のセクションを備える処理チャンバの内部に配置することであって、各セクションが、隣接するセクションからガスカーテンによって分離されている、配置すること、
    第1の膜を前記処理チャンバの第1のセクション内で前記表面上に堆積するため、前記表面の少なくとも一部を温度変化及び第1の反応性ガスのうちの1つ又は複数を含む第1の処理条件に露出すること、
    前記基板表面をガスカーテンを通して前記処理チャンバの第2のセクションへと横方向に移動させること、及び
    第2の膜を前記処理チャンバの前記第2のセクション内で形成するため、前記第1の膜を温度変化及び第2の反応性ガスのうちの1つ又は複数を含む第2の処理条件に露出すること
    を含む処理方法であって、
    前記表面の第2の部分が前記第2の処理条件に露出され、且つ前記基板の中間部分が前記ガスカーテンに露出されるのと同時に、前記表面の第1の部分が前記第1の処理条件に露出される、処理方法。
  2. 前記第1の処理条件が、前記第1の反応性ガスを含む単一の反応性ガス、前記第1の反応性ガスを含む複数の反応性ガスの混合物、前記第1の反応性ガスを含む遠隔プラズマ、前記第1の反応性ガスを含むダイレクトプラズマ、温度変化、及びそれらの組み合わせからなる群より選択される、請求項1に記載の処理方法。
  3. 前記第2の処理条件が、前記第1の反応性ガスを含む単一の反応性ガス、前記第1の反応性ガスを含む複数の反応性ガスの混合物、前記第1の反応性ガスを含む遠隔プラズマ、前記第1の反応性ガスを含むダイレクトプラズマ、温度変化、及びそれらの組み合わせからなる群より選択される、請求項1に記載の処理方法。
  4. 前記第1の処理条件及び前記第2の処理条件への追加的な連続露出を更に含む、請求項1に記載の処理方法。
  5. 前記基板表面をガスカーテンを通して前記処理チャンバの第3のセクションへと横方向へと移動させること、及び前記基板表面を前記処理チャンバの前記第3のセクション内の第3の反応性ガス及び温度変化のうちの1つ又は複数を含む第3の処理条件に露出することを更に含み、前記表面の第2の部分が前記第3の処理条件に露出され、且つ前記基板の中間部分が前記ガスカーテンに露出されるのと同時に、前記表面の第1の部分が前記第2の処理条件に露出される、請求項1から4のいずれか一項に記載の処理方法。
  6. 前記第3の処理条件が第2の膜を改善するためのトリートメントを形成する、請求項5に記載の処理方法。
  7. 前記第3の処理条件が前記表面上に第3の膜を堆積する、請求項5に記載の処理方法。
  8. 前記基板表面をガスカーテンを通して前記処理チャンバの第4のセクションへと横方向に移動させること、及び第4の膜を形成するために前記表面を第4の処理条件に露出することであって、前記第4の処理条件が、前記処理チャンバの前記第4のセクション内の第4の反応性ガス及び温度変化のうちの1つ又は複数を含む、露出することを更に含み、前記表面の第2の部分が前記第4の処理条件に露出され、且つ前記基板の中間部分が前記ガスカーテンに露出されるのと同時に、前記表面の第1の部分が前記第3の処理条件に露出される、請求項7に記載の処理方法。
  9. 前記表面を前記処理チャンバの前記第4のセクションから前記処理チャンバの前記第1のセクションへと横方向に移動させること、及び前記第1の処理条件、第2の処理条件、第3の処理条件、及び第4の処理条件への露出を繰り返すことを更に含む、請求項8に記載の処理方法。
  10. 前記表面を前記処理チャンバの前記第4のセクションから前記第1のセクションに移動させることが、前記第3のセクションにも第2のセクションにもいずれにも露出することなく、ガスカーテンを通る移動を含む、請求項9に記載の処理方法。
  11. 前記第3及び第4の処理条件が前記第2の膜をエッチングする、請求項8に記載の処理方法。
  12. 前記第2の膜が、TiN、Co、TaN、TiSiN、W、及びWNのうちの1つ又は複数を含み、且つ前記第2の膜をエッチングすることが、遠隔NFプラズマ及びアンモニアへの露出を含む、請求項11に記載の処理方法。
  13. 前記第2の膜が、AlN、TiAlN、及びTaのうちの1つ又は複数を含み、且つ前記第2の膜をエッチングすることが、BCl及びClへの露出を含む、請求項11に記載の処理方法。
  14. 前記第2の膜が、TiO2を含み、且つ前記第2の膜をエッチングすることが、ダイレクトNFプラズマ及びアンモニアへの露出を含む、請求項11に記載の処理方法。
  15. 前記第2の膜が、Ti、W、Al、Ta、Co、Cu、Hf、並びにそれらの組み合わせ及び合金からなる群より選択される金属を含む、請求項1から4のいずれか一項に記載の処理方法。
JP2016544533A 2014-01-05 2014-12-31 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積 Pending JP2017503079A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461923731P 2014-01-05 2014-01-05
US61/923,731 2014-01-05
PCT/US2014/072929 WO2015103358A1 (en) 2014-01-05 2014-12-31 Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US14/587,131 2014-12-31
US14/587,131 US9514933B2 (en) 2014-01-05 2014-12-31 Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition

Publications (1)

Publication Number Publication Date
JP2017503079A true JP2017503079A (ja) 2017-01-26

Family

ID=53494004

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016544533A Pending JP2017503079A (ja) 2014-01-05 2014-12-31 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積

Country Status (4)

Country Link
US (1) US9514933B2 (ja)
JP (1) JP2017503079A (ja)
KR (1) KR101840759B1 (ja)
WO (1) WO2015103358A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020071175A1 (ja) 2018-10-04 2020-04-09 株式会社Adeka 原子層堆積法用薄膜形成用原料、薄膜形成用原料、薄膜の製造方法および化合物
CN111433887A (zh) * 2017-12-13 2020-07-17 应用材料公司 具有等离子体脉冲以防止电荷损坏的空间原子层沉积腔室
JP2020526669A (ja) * 2017-07-13 2020-08-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated タングステン核形成層を堆積させるための方法及び装置
JP2022505601A (ja) * 2018-10-29 2022-01-14 アプライド マテリアルズ インコーポレイテッド 空間堆積ツールを操作する方法
JP7337786B2 (ja) 2017-10-27 2023-09-04 アプライド マテリアルズ インコーポレイテッド 空間分離を伴う単一ウエハの処理環境

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
TWI696724B (zh) 2014-09-10 2020-06-21 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
TWI676709B (zh) * 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
JP6388553B2 (ja) * 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
KR102589972B1 (ko) * 2015-09-11 2023-10-13 어플라이드 머티어리얼스, 인코포레이티드 슬롯형 접지 플레이트를 갖춘 플라즈마 모듈
CN108026637A (zh) 2015-09-11 2018-05-11 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US20170088952A1 (en) * 2015-09-28 2017-03-30 Ultratech, Inc. High-throughput multichamber atomic layer deposition systems and methods
KR102153564B1 (ko) * 2015-10-06 2020-09-08 버슘머트리얼즈 유에스, 엘엘씨 컨포멀한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법
TWI715645B (zh) * 2015-10-22 2021-01-11 美商應用材料股份有限公司 正形及縫隙填充非晶矽薄膜的沉積
US11515144B2 (en) * 2015-12-10 2022-11-29 Applied Materials, Inc. In-situ film annealing with spatial atomic layer deposition
US9831099B2 (en) 2016-02-12 2017-11-28 Tokyo Electron Limited Method and apparatus for multi-film deposition and etching in a batch processing system
TWI722132B (zh) * 2016-03-13 2021-03-21 美商應用材料股份有限公司 用於間隔墊應用之氮化矽薄膜的選擇性沉積
TWI732846B (zh) * 2016-04-25 2021-07-11 美商應用材料股份有限公司 透過控制前驅物混合來強化金屬的空間ald
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
KR102441431B1 (ko) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US11158489B2 (en) * 2016-11-08 2021-10-26 Applied Materials, Inc. Methods and systems to modulate film stress
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
CN116892014A (zh) * 2017-04-13 2023-10-17 应用材料公司 用于沉积低介电常数膜的方法与设备
KR102408720B1 (ko) 2017-06-07 2022-06-14 삼성전자주식회사 상부 돔을 포함하는 반도체 공정 챔버
TWI772459B (zh) 2017-07-14 2022-08-01 荷蘭商Asm Ip控股公司 用於製備自組裝單層的方法
US20200066572A1 (en) * 2017-10-27 2020-02-27 Applied Materials, Inc. Methods Of Operating A Spatial Deposition Tool
US20200090978A1 (en) * 2017-10-27 2020-03-19 Applied Materials, Inc. Methods Of Operating A Spatial Deposition Tool
KR102108966B1 (ko) 2017-10-31 2020-05-12 (주)울텍 원자층 증착 시스템
KR20190096540A (ko) 2018-02-09 2019-08-20 (주)울텍 원자층 증착 시스템
US11955331B2 (en) * 2018-02-20 2024-04-09 Applied Materials, Inc. Method of forming silicon nitride films using microwave plasma
WO2019222320A1 (en) * 2018-05-16 2019-11-21 Applied Materials, Inc. Atomic layer self aligned substrate processing and integrated toolset
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
EP4025720A4 (en) * 2019-09-04 2023-08-23 Gallium Enterprises Pty Ltd RPCVD APPARATUS AND METHODS FOR FORMING A FILM
KR20220161819A (ko) 2021-05-31 2022-12-07 (주)울텍 원자층 증착 시스템

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6016420A (ja) 1983-07-08 1985-01-28 Mitsubishi Electric Corp 選択的エピタキシヤル成長方法
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
US5647945A (en) 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5851367A (en) 1996-10-11 1998-12-22 Sharp Microelectronics Technology, Inc. Differential copper deposition on integrated circuit surfaces and method for same
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
US6566251B2 (en) 2001-03-29 2003-05-20 Georgia Tech Research Corporation Method for selective deposition of materials in micromachined molds
US6730354B2 (en) 2001-08-08 2004-05-04 Agilent Technologies, Inc. Forming ferroelectric Pb(Zr,Ti)O3 films
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
KR100481073B1 (ko) 2002-07-09 2005-04-07 삼성전자주식회사 박막 형성 방법과 이를 이용한 게이트 전극 및 트렌지스터 형성 방법
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20050240028A1 (en) 2002-08-09 2005-10-27 Vladimir Grushin Pyrrolyl complexes of copper for copper metal deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
WO2006020424A2 (en) 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20060128127A1 (en) 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
KR100596495B1 (ko) 2004-12-13 2006-07-04 삼성전자주식회사 금속 화합물의 증착 방법 및 이를 수행하기 위한 장치
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US20070264427A1 (en) 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
EP2000008B1 (en) 2006-03-26 2011-04-27 Lotus Applied Technology, Llc Atomic layer deposition system and method for coating flexible substrates
US8287647B2 (en) 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
KR20090013286A (ko) 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
KR100946159B1 (ko) 2007-08-24 2010-03-11 주식회사 케이씨텍 박막 증착장치
KR100931331B1 (ko) 2007-08-24 2009-12-15 주식회사 케이씨텍 박막 증착장치의 분사유닛
KR100920324B1 (ko) 2007-08-24 2009-10-07 주식회사 케이씨텍 박막 증착장치
US7572686B2 (en) 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR100949914B1 (ko) 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
KR20090055443A (ko) 2007-11-28 2009-06-02 주식회사 케이씨텍 원자층 증착 장치
KR100949913B1 (ko) 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
KR100960958B1 (ko) 2007-12-24 2010-06-03 주식회사 케이씨텍 박막 증착 장치 및 증착 방법
KR20090069075A (ko) 2007-12-24 2009-06-29 주식회사 케이씨텍 원자층 증착 장치용 서셉터 어셈블리
KR100936695B1 (ko) 2007-12-26 2010-01-13 주식회사 케이씨텍 원자층 증착장치
KR100936694B1 (ko) 2007-12-27 2010-01-13 주식회사 케이씨텍 플라즈마 발생부를 구비하는 원자층 증착 장치
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US20090209777A1 (en) 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8283201B2 (en) 2008-06-05 2012-10-09 American Air Liquide, Inc. Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
KR101473334B1 (ko) 2008-06-30 2014-12-16 주식회사 케이씨텍 원자층 증착 장치
KR101485580B1 (ko) 2008-07-01 2015-01-22 주식회사 케이씨텍 원자층 증착 장치
TWI641292B (zh) 2008-08-04 2018-11-11 Agc北美平面玻璃公司 電漿源
EP3471130A1 (en) 2008-12-04 2019-04-17 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
KR101028408B1 (ko) 2008-12-29 2011-04-13 주식회사 케이씨텍 가스분사 유닛 및 이를 구비하는 원자층 증착장치
KR101072670B1 (ko) 2009-11-09 2011-10-11 주식회사 케이씨텍 원자층 증착장치
KR101081694B1 (ko) 2009-11-10 2011-11-15 주식회사 케이씨텍 다성분 박막의 증착을 위한 원자층 증착장치
US8551248B2 (en) 2010-04-19 2013-10-08 Texas Instruments Incorporated Showerhead for CVD depositions
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
KR101095687B1 (ko) 2010-10-25 2011-12-20 주식회사 케이씨텍 8분기 구조를 갖는 원자층 증착 장치
KR101134277B1 (ko) 2010-10-25 2012-04-12 주식회사 케이씨텍 원자층 증착 장치
KR101136302B1 (ko) 2010-11-16 2012-04-19 주식회사 케이씨텍 원자층 증착 장치 및 그의 플라즈마 감지 방법
US8686138B2 (en) 2011-07-22 2014-04-01 American Air Liquide, Inc. Heteroleptic pyrrolecarbaldimine precursors
TWI551708B (zh) 2011-07-22 2016-10-01 應用材料股份有限公司 使用金屬前驅物之原子層沉積法
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US20130143415A1 (en) 2011-12-01 2013-06-06 Applied Materials, Inc. Multi-Component Film Deposition
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US20130239889A1 (en) * 2012-03-14 2013-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Valve purge assembly for semiconductor manufacturing tools
KR20130106906A (ko) 2012-03-21 2013-10-01 주식회사 윈텔 기판 처리 장치 및 기판 처리 방법

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020526669A (ja) * 2017-07-13 2020-08-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated タングステン核形成層を堆積させるための方法及び装置
JP7337786B2 (ja) 2017-10-27 2023-09-04 アプライド マテリアルズ インコーポレイテッド 空間分離を伴う単一ウエハの処理環境
CN111433887A (zh) * 2017-12-13 2020-07-17 应用材料公司 具有等离子体脉冲以防止电荷损坏的空间原子层沉积腔室
JP2021507518A (ja) * 2017-12-13 2021-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 電荷損傷を防止するためのパルス状プラズマによる空間的原子層堆積チャンバ
JP7041269B2 (ja) 2017-12-13 2022-03-23 アプライド マテリアルズ インコーポレイテッド 電荷損傷を防止するためのパルス状プラズマによる空間的原子層堆積チャンバ
CN111433887B (zh) * 2017-12-13 2023-09-29 应用材料公司 具有等离子体脉冲以防止电荷损坏的空间原子层沉积腔室
WO2020071175A1 (ja) 2018-10-04 2020-04-09 株式会社Adeka 原子層堆積法用薄膜形成用原料、薄膜形成用原料、薄膜の製造方法および化合物
KR20210070318A (ko) 2018-10-04 2021-06-14 가부시키가이샤 아데카 원자층 퇴적법용 박막 형성용 원료, 박막 형성용 원료, 박막의 제조 방법 및 화합물
US11555044B2 (en) 2018-10-04 2023-01-17 Adeka Corporation Thin-film forming raw material for use in atomic layer deposition method, thin-film forming raw material, method for producing thin-film, and compound
JP2022505601A (ja) * 2018-10-29 2022-01-14 アプライド マテリアルズ インコーポレイテッド 空間堆積ツールを操作する方法

Also Published As

Publication number Publication date
KR20160105497A (ko) 2016-09-06
WO2015103358A1 (en) 2015-07-09
US9514933B2 (en) 2016-12-06
KR101840759B1 (ko) 2018-05-04
US20150194298A1 (en) 2015-07-09

Similar Documents

Publication Publication Date Title
KR101840759B1 (ko) 공간적인 원자 층 증착 또는 펄스형 화학 기상 증착을 사용하는 필름 증착
JP7090118B2 (ja) 空間的原子層堆積法による、自己整合ダブルパターニング
TWI630281B (zh) 沉積金屬合金膜之方法
US9716012B2 (en) Methods of selective layer deposition
JP6946320B2 (ja) スペーサ用の窒化ケイ素膜の選択的堆積
US9799533B2 (en) Methods of etching films comprising transition metals
TWI643971B (zh) 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
US20180312966A1 (en) Methods For Spatial Metal Atomic Layer Deposition
JP6529973B2 (ja) バッチ処理用傾斜プレート及びその使用方法
TW201732925A (zh) 用於選擇性乾式蝕刻的方法及設備
US10233547B2 (en) Methods of etching films with reduced surface roughness
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
JP2017531921A (ja) 2層aldを用いた正確な限界寸法制御
KR20150020528A (ko) 세장형 노즐을 갖는 cvd 및 ald를 위한 장치 및 사용 방법들
KR102197565B1 (ko) 높은 알루미늄 함량을 갖는 알루미늄 합금을 포함하는 필름의 증착
WO2014197803A1 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors