JP2021507518A - 電荷損傷を防止するためのパルス状プラズマによる空間的原子層堆積チャンバ - Google Patents

電荷損傷を防止するためのパルス状プラズマによる空間的原子層堆積チャンバ Download PDF

Info

Publication number
JP2021507518A
JP2021507518A JP2020532823A JP2020532823A JP2021507518A JP 2021507518 A JP2021507518 A JP 2021507518A JP 2020532823 A JP2020532823 A JP 2020532823A JP 2020532823 A JP2020532823 A JP 2020532823A JP 2021507518 A JP2021507518 A JP 2021507518A
Authority
JP
Japan
Prior art keywords
substrate
processing
plasma
time
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020532823A
Other languages
English (en)
Other versions
JP7041269B2 (ja
Inventor
田中 努
努 田中
ドミートリイ エー. ジルノ,
ドミートリイ エー. ジルノ,
アレキサンダー ヴィー. ガラチチェンコ,
アレキサンダー ヴィー. ガラチチェンコ,
田中 啓一
啓一 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021507518A publication Critical patent/JP2021507518A/ja
Application granted granted Critical
Publication of JP7041269B2 publication Critical patent/JP7041269B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32788Means for moving the material to be treated for extracting the material from the process chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20214Rotation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20278Motorised movement
    • H01J2237/20285Motorised movement computer-controlled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

プラズマ強化空間原子層堆積チャンバ内で基板を処理する装置及び方法に関する。基板が、1つ以上のプラズマ処理領域及び1つ以上の非プラズマ処理領域を通って移動させられ、プラズマ電力が、基板上の電圧差が基板又は当該基板上に形成された素子の降伏電圧を超えることを防止するためにパルス化される。【選択図】図1

Description

[0001]本開示は概して、薄膜を堆積させるための装置及び方法に関する。特に、本開示は、電荷損傷を防止するためのパルス高周波(RF:radio frequency)プラズマによるプラズマ強化空間的原子層堆積を用いて、薄膜を堆積させるための装置及び方法に関する。
[0002]半導体素子は、空間的プラズマ強化原子層堆積(PEALD:plasma enhanced atomic layer deposition)プロセスの間の、不均一なプラズマ曝露によって引き起こされる電荷蓄積による損傷を受ける。空間的PEALDプロセスでは、1つ以上の半導体ウエハが、処理チャンバの様々な処理領域の間で移動させられる。処理領域の幾つかは、エネルギー種を備えたプラズマを含むが、他の領域は、純粋に化学的なゾーンである(すなわち、プラズマ活性化されていない)。
[0003]スループット及び設備の問題から、プラズマは、プラズマゾーン内に継続的に存在するが、ウエハは、プラズマゾーンの内外に移動する。これにより、ウエハの一部分のみがプラズマでカバーされてウエハ全体に亘り不均一な電位が誘起されるという状況が生まれる。この電位の不均一性によって、プロセス中に素子を損傷させうる電荷蓄積が引き起こされる。
[0004]RF降伏電圧を超える電位勾配が生じないように、電力を低減することが可能であろう。しかしながら、このアプローチは、処理速度を下げ、処理ツールの全体的なスループットを低下させる。
[0005]他のアプローチは、プラズマ下でウエハ全体をカバーするために、プラズマ領域の大きさを増大させることである。プラズマ処理の前にウエハが完全にプラズマ領域内にあることを保証するために、連続的なウエハ移動の代わりに、ストップ・アンド・ゴー処理のアプローチが利用されるであろう。これにより、処理チャンバの取付面積が増大し、及び/又は、処理チャンバのスループットが低下するであろう。
[0006]従って、当該技術分野では、ウエハ上の電荷蓄積を低減させ又は無くす空間的PEALDのための装置及び方法が必要とされている。
[0007]本開示の1つ以上の実施形態は、バッチ処理チャンバ内に基板を配置することを含む処理方法を対象とする。バッチ処理チャンバは、ガスカーテンによって分離された複数の処理領域を含む。基板は、降伏電圧を有する。基板は、プラズマが無い第1の処理領域からプラズマがある第2の処理領域へと移動させられる。第2の処理領域内のプラズマの電力が、基板上の電圧差が降伏電圧を超えることを防止するために、パルス化される。
[0008]本開示のさらなる実施形態は、サセプタアセンブリ、ガス分配アセンブリ、及びコントローラを含む処理チャンバを対象とする。サセプタアセンブリは、複数の基板を支持し、当該複数の基板をサセプタアセンブリの中心軸の周りで回転させる。サセプタアセンブリは、上記基板を保持するよう大きさが定められた複数の凹部を含む頂面を有している。ガス分配アセンブリは、サセプタアセンブリの頂面から離間した前面を有し、間隙が形成される。ガス分配アセンブリは、複数のガスポート及び複数の真空ポートを含み、間隙に複数のガス流を供給し及び複数の真空流を供給し、間隙からガスを除去する。複数のガスポート及び複数の真空ポートは、複数の処理領域を形成するよう配置されており、各処理領域はガスカーテンによって、隣接する処理領域から分離されている。処理領域のうちの少なくとも1つは、プラズマ処理領域であり、処理領域のうちの少なくとも1つは、非プラズマ処理領域である。コントローラは、サセプタアセンブリ及びガス分配アセンブリに接続されている。コントローラは、サセプタアセンブリを中心軸の周りで回転させるための第1の構成、非プラズマ処理領域内へとガスの流れを供給するための第2の構成、プラズマ処理領域内へとガスの流れを供給するための第3の構成、プラズマを点火するためにプラズマ処理領域に電力を供給するための第4の構成、及び/又は、プラズマ処理領域についてオン時間及びオフ時間を生成するためにプラズマ処理領域への電力をパルス化するための第5の構成、から選択される1つ以上の構成を有する。
[0009]上述の本開示の特徴を詳細に理解しうるように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、付随する図面に例示されている。しかし、添付の図面は本開示の典型的な実施形態のみを示すものであり、従って、本開示の範囲を限定するものと見做されず、本開示が他の等しく有効な実施形態も許容しうることに留意されたい。
[0010]本開示の1つ以上の実施形態に係るバッチ処理チャンバの断面図を示す。 [0011]本開示の1つ以上の実施形態に係るバッチ処理チャンバの部分的な斜視図を示す。 [0012]本開示の1つ以上の実施形態に係るバッチ処理チャンバの概略図を示す。 [0013]本開示の1つ以上の実施形態に係るバッチ処理チャンバで使用するための、くさび形状ガス分配アセンブリの一部分の概略図を示す。 [0014]本開示の1つ以上の実施形態に係るバッチ処理チャンバの概略図を示す。
[0015]本発明の幾つかの例示的な実施形態を説明する前に、本発明は下記の説明において明記される構成又は処理ステップの詳細事項に限定されないということを、理解されたい。本発明は、他の実施形態が可能であり、かつ、様々な方法で実践又は実施されうる。
[0016]本明細書では、「基板(substrate)」は、製造プロセス中に表面上に膜処理が実施される任意の基板、又は、かかる基板上に形成された任意の材料面を表す。例えば、処理実施されうる基板表面には用途に応じて、シリコン、酸化シリコン、ストレインドシリコン、シリコン・オン・インシュレータ(SOI:silicon on insulator)、炭素がドープされた酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアといった材料、及び、金属、金属窒化物、金属合金、及びその他の導電材料といった任意の他の材料が含まれる。基板は半導体ウエハを含むが、これに限定されない。基板表面を、研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又はベークするために、基板を前処理プロセスに曝露してよい。本発明では、基板自体の表面に直接的に膜処理を行うことに加えて、開示される膜処理ステップのうちの任意のものが、以下でより詳細に開示するように、基板に形成された下層でも実施されうる。「基板表面(substrate surface)」という用語は、文脈から分かるように、かかる下層を含むことを意図している。従って、例えば、膜/層又は部分的な膜/層が基板表面に堆積している場合には、新たに堆積した膜/層の露出面が基板表面となる。
[0017]1つ以上の実施形態によれば、本方法は、原子層堆積(ALD:atomic layer deposition)プロセスを利用する。かかる実施形態では、基板表面は、前駆体(又は反応性ガス)に、連続的又は実質的に連続的に曝露される。本明細書全体を通じて、「実質的に連続的に(substantially sequentially)」とは、前駆体曝露の期間のほとんどが共試薬(co−reagent)への曝露と重複しない(ただし一部は重複しうる)ことを意味する。本明細書及び添付の特許請求の範囲では、「前駆体(precursor)」、「反応体(reactant)」、「反応性ガス(reactive gas)」などの用語は、基板表面と反応しうる任意のガス状種を表わすために、互換可能に使用される。
[0018]本開示の1つ以上の実施形態は、有利に、空間的PEALD処理の間に基板上の電荷の蓄積を低減する。幾つかの実施形態は、有利に、トランジスタの一部として形成されるコンデンサへの損傷を低減する方法を提供する。本開示の1つ以上の実施形態は、プラズマ源のためのRF電力をパルス化することによって、基板上での電荷蓄積を低減する。
[0019]幾つかの実施形態では、基板は、その上に形成され又は形成されている1つ以上のトランジスタを有する。電荷の蓄積によって損傷を受ける基板上のトランジスタゲートは、コンデンサを含んでおり、プラズマシースは有限の抵抗を有し、上記素子を充電するためにしばらく時間が掛かる。上記素子を充電する時間は、おおよそRC時定数ある。発明者らは、RFパルスが十分に短い場合には、電圧破壊を引き起こすレベルに電荷が達しないことを見出した。RFパルシングによって、オフ期間中に電荷を消すことが可能となる。
[0020]空間的PEALD処理チャンバでは、ウエハが、ウエハの大きさ(すなわち、直径)よりも小さいプラズマ領域を通って移動する。元来不均一なプラズマ条件(ウエハは、プラズマ無しの状態からピークプラズマ密度が100%の状態までを進む)のために、ウエハ表面は、不均一な浮遊電位を経験する。ウエハ上の2点間の浮遊電位差は、素子(例えば、基板上のトランジスタ)の帯電をもたらす。ゲート誘電体の両端の電圧が降伏電圧を上回ると、素子への損傷が起こる。ウエハ上の各トランジスタのゲートはコンデンサであり、さらに、プラズマが有限のシース抵抗を有するため、素子を充電するために有限時間を要する。素子を充電するための有限時間は、RC時定数によって定められる。
[0021]いかなる特定の動作理論にも束縛されることなく、本開示の幾つかの実施形態では、ウエハ又は形成されている素子が高い浮遊電位勾配に晒される時間を短縮すると考えられる。発明者らは、RF電力を繰り返すことによりプラズマ曝露時間を短縮することによって、ゲートの降伏電圧を超えないレベルまで電位勾配を下げることが可能であることを見出した。プラズマ曝露時間は、他の期間であって、プラズマが大きな電圧勾配を有しておらず素子上で蓄積される電荷を増大し続けず、電荷を放電させることが可能となる他の期間の後に続きうる。上記期間中に素子が完全に放電した場合には、サイクルを繰り返しても、ゲートの電圧が累積的に増大させることはなく損傷が発生しない。幾つかの実施形態では、RF電力をパルス化すること、即ち、RF電力を繰り返しオン及びオフすることにより、損傷を引き起こすことなく、素子を充電/放電させることが可能となる。オン/オフ時間は、マイクロ秒から数十マイクロ秒程度とすることが可能である。プラズマが、オン期間の最初の数マイクロ秒の間に変動する場合には、プラズマの過渡的挙動は、RC時定数というよりは、ゲートが経験する電荷の量(又は電圧)を決定づけうる。
[0022]本開示の幾つかの実施形態は、バッチ処理チャンバ(空間的処理チャンバとも称される)を用いた膜堆積プロセスを対象とする。図1は、ガス分配アセンブリ120(注入器又は注入アセンブリとも称される)と、サセプタアセンブリ140と、を含む処理チャンバ100の断面を示している。ガス分配アセンブリ120は、処理チャンバ内で用いられる任意の種類のガス供給装置である。ガス分配アセンブリ120は、サセプタアセンブリ140に対向する前面121を含む。前面121は、サセプタアセンブリ140に向けてガスの流れを送るための、任意の数の開口又は様々な開口を有しうる。ガス分配アセンブリ120は、図示されている実施形態では実質的に円形である外縁124も含む。
[0023]利用されるガス分配アセンブリ120の特定の種類は、利用中の特定のプロセスに従って変わりうる。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される任意の種類の処理システムと併用することが可能である。2成分反応においては、複数のガスチャネルが、少なくとも1つの第1の反応性ガスAのチャネル、少なくとも1つの第2の反応性ガスBのチャネル、少なくとも1つのパージガスPのチャネル、及び/又は、少なくとも1つの真空Vのチャネルを含みうる。第1の反応性ガスAのチャネル、第2の反応性ガスBのチャネル、及びパージガスPのチャネルから流れるガスは、ウエハの頂面に向けて方向付けられている。ガス流の一部は、ウエハの表面に亘って水平に移動し、パージガスPのチャネルを通って処理領域から出る。
[0024]幾つかの実施形態では、ガス分配アセンブリ120は、単一の注入ユニットで作られた堅い静止物体である。1つ以上の実施形態において、ガス分配アセンブリ120は、図2に示すように、複数の個別セクタ(例えば、複数の注入ユニット122)で構成される。単体の物体であっても、又は複数セクタで構成された物体でも、記載される本開示の様々な実施形態と共に利用されうる。
[0025]サセプタアセンブリ140は、ガス分配アセンブリ120の下方に配置されている。サセプタアセンブリ140は、頂面141と、頂面141に設けられた少なくとも1つの凹部142と、を含む。サセプタアセンブリ140は、底面143及び縁部144も有する。凹部142は、処理される基板60の形状及び大きさに従った任意の適切な形状及び大きさでありうる。図1に示す実施形態では、凹部142は、ウエハの底部を支持する平坦な底部を有しているが、凹部の底部は変わりうる。幾つかの実施形態では、凹部の外周縁の周りには、ウエハの外周縁を支持するよう大きさが定められた段差領域がある。段差によって支持されるウエハの外周縁の寸法は、例えば、ウエハの厚さと、ウエハの裏側に既にあるフィーチャ(特徴)の存在とに従って様々でありうる。
[0026]幾つかの実施形態では、図1に示すように、サセプタアセンブリ140の頂面141に設けられた凹部142は、凹部142内で支持される基板60が、サセプタ140の頂面141と実質的に同一平面の頂面61を有するように、大きさが定められる。本明細書及び添付の特許請求の範囲で利用される場合、「ほぼ同一平面」という用語は、ウエハの頂面とサセプタアセンブリの頂面とが、±0.2mmの範囲内で同一平面にあることを意味する。幾つかの実施形態では、頂面同士が、±0.15mm、±0.10mm、又は±0.05mmの範囲内で同一平面にある。
[0027]図1のサセプタアセンブリ140は、サセプタアセンブリ140を上昇、下降、及び回転させることが可能な支持ポスト160を含む。サセプタアセンブリは、支持ポスト160の中央内部に、ヒータ又はガスライン又は電気的構成要素を含みうる。支持ポスト160は、サセプタアセンブリ140とガス分配アセンブリ120との間の間隙を広げたり狭めたりしてサセプタアセンブリ140を適切な位置へと動かす主たる手段でありうる。サセプタアセンブリ140は、サセプタアセンブリ140とガス分配アセンブリ120との間に所定の間隙170を生じさせるためにサセプタアセンブリ140に対してマイクロ調整を行うことが可能な微調整アクチュエータ162も含みうる。
[0028]一部の実施形態では、間隙170の距離は、約0.1mmから約5.0mmの範囲内、若しくは約0.1mmから約3.0mmの範囲内、若しくは約0.1mmから約2.0mmの範囲内、若しくは約0.2mmから約1.8mmの範囲内、若しくは約0.3mmから約1.7mmの範囲内、若しくは約0.4mmから約1.6mmの範囲内、若しくは約0.5mmから約1.5mmの範囲内、若しくは約0.6mmから約1.4mmの範囲内、若しくは約0.7mmから約1.3mmの範囲内、若しくは約0.8mmから約1.2mmの範囲内、若しくは約0.9mmから約1.1mmの範囲内であるか、又は、約1mmである。
[0029]図に示す処理チャンバ100は、サセプタアセンブリ140が複数の基板60を保持することが可能なカルーセル型チャンバである。図2に示すように、ガス分配アセンブリ120は、複数の別体の注入ユニット122を含んでよく、各注入ユニット122は、ウエハが注入ユニットの下方で移動する際に、ウエハ上に膜を堆積させることが可能である。サセプタアセンブリ140の上方の、おおよそ対向している両側に配置された2個のパイ形状注入ユニット122が示されている。注入ユニット122の上記数は、例示のためにのみ示されている。より多く又はより少ない数の注入ユニット122が含まれうることが分かるであろう。幾つかの実施形態では、サセプタアセンブリ140の形状に従った形状を形成するのに十分な数のパイ形状注入ユニット122が存在する。幾つかの実施形態では、個別パイ形状注入ユニット122の各々が、他の注入ユニット122のいずれにも影響を与えることなく個別に動かされ、取り外され、及び/又は交換されうる。例えば、ロボットがサセプタアセンブリ140とガス分配アセンブリ120との間の領域にアクセスして、基板60をロード/アンロードすることを可能にするために、1つのセグメントが上昇しうる。
[0030]複数のウエハが同じ処理フローを経るように複数のウエハを同時に処理するために、複数のガス注入器を有する処理チャンバが使用されうる。例えば、図3に示すように、処理チャンバ100は、4個のガス注入アセンブリ及び4個の基板60を有する。処理開始時に、基板60は、注入アセンブリ30の間に配置されうる。サセプタアセンブリ140を45度回転させた(17)結果、ガス分配アセンブリ120の間にある各基板60が、ガス分配アセンブリ120の下に点線円で示されているように、膜堆積のためにガス分配アセンブリ120のところに移動させられる。さらに45°回転させると、基板60は注入アセンブリ30から離れるように移動するであろう。基板60とガス分配アセンブリ120とは、数が同じであっても、異なっていてもよい。幾つかの実施形態では、処理されるウエハの数は、存在するガス分配アセンブリと同じ数になる。1つ以上の実施形態において、処理されるウエハの数は、ガス分配アセンブリの数の分数又は整数倍になる。例えば、4個のガス分配アセンブリが存在する場合、処理されるウエハの数は4xとなり、ここでxは、1以上の整数値である。例示的な一実施形態において、ガス分配アセンブリ120は、ガスカーテンによって分離された8個の処理領域を含み、サセプタアセンブリ140は6個のウエハを保持しうる。
[0031]図3に示す処理チャンバ100は、可能な一構成を表しているに過ぎず、本開示の範囲を限定すると見なすべきではない。ここでは、処理チャンバ100は複数のガス分配アセンブリ120を含んでいる。図示している実施形態では、処理チャンバ100の周りに均等に離間した4個のガス分配アセンブリ(注入アセンブリ30とも言われる)が存在する。図示の処理チャンバ100は八角形であるが、当業者であれば、これは可能な一形状であり、本開示の範囲を限定すると見なすべきではないことが分かるであろう。図示されているガス分配アセンブリ120は台形であるが、単体の円形構成要素であってよく、又は、図2に示すように、複数のパイ形状セグメントで構成されてよい。
[0032]図3に示す実施形態は、ロードロックチャンバ180、又は、バッファステーションのような補助チャンバを含む。上記チャンバ180は、例えば基板(基板60とも称される)をチャンバ100にロード/チャンバ100からアンロードすることを可能にするために、処理チャンバ100の1つの側面に接続されている。サセプタ上に基板を動かすために、チャンバ180内にウエハロボットが配置されうる。
[0033]カルーセル(例えばサセプタアセンブリ140)の回転は、連続的であっても、断続的(非連続的)であってもよい。連続処理においては、ウエハは、注入器の各々に順に曝露されるように常に回転している。非連続処理においては、ウエハを注入器の領域へと移動させて停止させ、次いで、注入器間の領域84へと移動させて停止させることが可能である。例えば、カルーセルは、ウエハが注入器間領域から注入器を越えて移動し(又は、注入器に隣接して停止し)、そして次の注入器間領域へと移動し、そこでカルーセルが再度休止し得るように、回転することが可能である。注入器間で休止することによって、各層堆積の間に、追加の処理(例えば、プラズマへの曝露)のための時間が提供されうる。
[0034]図4は、注入ユニット122と称されうる、ガス分配アセンブリ220の一セクタ又は一部分を示している。注入ユニット122は、個別に使用してもよく、又は他の注入ユニットと組み合わせて使用してもよい。例えば、図5に示すように、図4の注入ユニット122が4つ組み合わされて、単一のガス分配アセンブリ220が形成される。(分かりやすくするために、4個の注入ユニットを分ける線は示されていない。)図4の注入ユニット122は、パージガスポート155及び真空ポート145に加えて、第1反応性ガスポート125と第2ガスポート135との両方を有するが、注入ユニット122に、これらの構成要素の全てが必要なわけではない。
[0035]図4と図5の両方を参照すると、1つ以上の実施形態に係るガス分配アセンブリ220は、複数のセクタ(又は注入ユニット122)を含んでよく、各セクタは全く同一であり又は異なっている。ガス分配アセンブリ220は、処理チャンバの中に配置されており、ガス分配アセンブリ220の前面121に設けられた、複数の細長いガスポート125、135、155及び細長い真空ポート145を含んでいる。複数の細長いガスポート125、135、155、及び細長い真空ポート145は、内周縁123に隣接する領域から、ガス分配アセンブリ220の外周縁124に隣接する領域に向かって延在している。図示している複数のガスポートは、第1反応性ガスポート125と、第2ガスポート135と、第1反応性ガスポート及び第2反応性ガスポートの各々を取り囲む真空ポート145と、パージガス真空ポート155とを含む。
[0036]図4又は図5に示す実施形態を参照すると、ポートは少なくとも内周領域周辺から少なくとも外周領域周辺まで延在すると述べていても、ポートが、単に内側領域から外側領域まで径方向に延在するだけではないことがある。上記ポートは、真空ポート145が反応性ガスポート125及び反応性ガスポート135を取り囲んでいることから、接線方向に延在しうる。図4及び図5に示す実施形態では、くさび型の反応性ガスポート125、135は、内周領域及び外周領域に隣接する縁部を含む全ての縁部が、真空ポート145によって囲まれている。
[0037]図4を参照すると、基板が経路127に沿って移動するに際に、基板表面の各部分が様々な反応性ガスに曝露される。経路127を辿ると、基板は、パージガスポート155、真空ポート145、第1反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2ガスポート135、そして真空ポート145に曝露され、又は、それらに「遭遇する(see)」ことになる。ゆえに、図4に示す経路127の終わりでは、基板が第1反応性ガス125及び第2反応性ガス135に曝露されて、層が形成される。図示される注入ユニット122は四分円をなしているが、より大きく又はより小さいものである可能性もある。図5に示すガス分配アセンブリ220は、順次接続された図4の4個の注入ユニット122を組み合わせたものと見做されうる。
[0038]図4の注入ユニット122では、複数の反応性ガスを分離させるガスカーテン150が示されている。「ガスカーテン」という用語は、混合しないように反応性ガスを分離するガス流又は真空の任意の組み合わせを描写するために使用されている。図4に示すガスカーテン150は、真空ポート145の、第1反応性ガスポート125と隣り合った部分と、中間のパージガスポート155と、真空ポート145の、第2ガスポート135と隣り合った部分と、を含んでいる。ガス流と真空との上記組み合わせは、第1反応性ガスと第2反応性ガスとの気相反応を防止又は最少化するために利用されうる。
[0039]図5を参照すると、ガス分配アセンブリ220からのガス流と真空との組み合わせにより、複数の処理領域250への分離がもたらされる。上記処理領域は、上記250間のガスカーテン150により、個々のガスポート125、135の辺りで大まかに画定されている。図5に示す実施形態により、8個の別個のガスカーテン150を間に有する、8個の別個の処理領域250が作られる。処理チャンバは、少なくとも2つの処理領域を有しうる。幾つかの実施形態では、少なくとも3、4、5、6、7、8、9、10、11、又は12個の処理領域が存在する。
[0040]処理中に、基板はどの時点においても1つより多くの処理領域250に曝露されうる。しかし、別々の処理領域に曝露される部分は、その2つを分離するガスカーテンを有することになる。例えば、基板の前縁部が第2ガスポート135を含む処理領域に入る場合、基板の中央部はガスカーテン150の下にあり、かつ、基板の後縁部は第1反応性ガスポート125を含む処理領域内にあることになる。
[0041]ファクトリインターフェース280は、例えば、ロードロックチャンバでありうるが、処理チャンバ100に接続された状態で示されている。基準のフレームを提示するために、基板60が、ガス分配アセンブリ220に重ねた状態で示されている。基板60はしばしば、ガス分配プレート120の前面121の近傍で保持されるようにサセプタアセンブリに載置されうる。基板60は、ファクトリインターフェース280を介して、処理チャンバ100の中へとロードされて、基板支持体又はサセプタアセンブリに載置される(図3参照)。基板60は、当該基板60が第1反応性ガスポート125の隣に配置され、かつ2つのガスカーテン150a、150bの間に配置されているため、処理領域内に配置された状態で示されうる。基板60を経路127に沿って回転させることにより、この基板は、処理チャンバ100をぐるりと反時計回りに移動することになる。従って、基板60は、第1処理領域250aから第8処理領域250hまでの処理領域に曝露される(第1から第8までの領域の間に全ての処理領域が含まれる)。
[0042]本開示の実施形態は、複数の処理領域250a〜250hを有し、各処理領域がガスカーテン150によって隣の領域から分離されて処理チャンバ100を含む処理方法を対象とする。例えば、上記処理チャンバが図5に示されている。処理チャンバ内のガスカーテン及び処理領域の数は、ガス流の配設に従った任意の適切な数でありうる。図5に示す実施形態は、8個のガスカーテン150と、8個の処理領域250a〜250hと、を有する。ガスカーテンの数は概して、処理領域の数と等しく、又は当該数より大きい。幾つかの実施形態では、処理領域の数は、ガスカーテンの数よりも多く、ガスカーテンにより分離されていない処理領域内に存在する1つ以上のガスが組み合わされる。
[0043]複数の基板60が、図1及び図2に示すサセプタアセンブリ140などの基板支持体に載置されている。複数の基板60は、処理のために処理領域を回って回転させられる。概して、ガスカーテン150は、チャンバに反応性ガスが流れ込まない期間を含めて、処理の間ずっと稼働している(ガスが流れ、真空はオンになっている)。
[0044]第1の反応性ガスAが処理領域250のうちの1つ以上に流れ込んでいる間に、不活性ガスが、第1の反応性ガスAが流れ込んでいない任意の処理領域250に流し込まれる。例えば、第1反応性ガスが処理領域250bから処理領域250hまでの処理領域に流れ込んでいる場合は、不活性ガスは、処理領域250aに流れ込むであろう。不活性ガスは、第1反応性ガスポート125又は第2ガスポート135を通じて流されうる。
[0045]処理領域内の不活性ガス流は一定であり又は可変でありうる。幾つかの実施形態では、反応性ガスが不活性ガスと共に流れる。不活性ガスは、キャリア及び希釈剤として作用する。キャリアガスに対する反応性ガスの量が少ないため、共に流れることによって隣り合う領域間の圧力差が減少し、処理領域間のガス圧のバランスを取ることが容易になりうる。
[0046]従って、本開示の1つ以上の実施形態は、図5に示すようなバッチ処理チャンバを利用した処理方法を対象とする。基板60は、複数の区域250を有する処理チャンバ中に置かれており、各区域は、ガスカーテン150によって隣りの区域から分離されている。基板表面の少なくとも一部分が、処理チャンバの第1区域250a内で第1の処理条件に曝露される。
[0047]基板表面は、ガスカーテン150を通って処理チャンバの第2区域250bへと横方向に移動させられる。基板表面は、第2区域250b内で第2の処理条件に曝露される。
[0048]基板表面は、ガスカーテン150を通って処理チャンバの第3区域250cへと横方向に移動させられる。基板表面は次いで、第3区域250c内で第3の処理条件に曝露されうる。幾つかの実施形態では、第3の区域250cは、第1の区域250a又は第2の区域250bのうちの1つ以上と同じ処理条件を含む。
[0049]基板表面は、ガスカーテン150を通って処理チャンバの第4区域250dへと横方向に移動させられる。基板表面は次いで、第4の区域250d内で第4の処理条件に曝露されうる。幾つかの実施形態では、第4の区域250dは、第1の区域250a、第2の区域250b、又は第3の区域250cのうちの1つ以上と同じ処理条件を含む。
[0050]第5の区域250e、第6の区域250f、第7の区域250g、及び/又は第8の区域250hがそれぞれ独立して、第1から第4の処理条件のうちの1つ以上を有し又は別々の処理条件を有することが可能である。幾つかの実施形態では、第1、第3、第5、及び第7の区域が同じ処理条件を有し、第2、第4、第6、及び第8の区域が同じ処理条件を有し、これにより、処理チャンバの周りで1サイクルを行うウエハは、第1の処理条件と第2の処理条件とに4回繰り返して曝露されることになる。例えば、ウエハは、第1の処理条件及び第2の処理条件において、それぞれA処理及びB処理に4回繰り返し晒されて、4回のABの繰り返しが行われる。
[0051]幾つかの実施形態では、第1及び第5の区域が第1の処理条件を有し、第2及び第6の区域が第2の処理条件を有し、第3及び第7の区域が第3の処理条件を有し、第4及び第8の区域が第4の処理条件を有する。本構成の処理チャンバを回る完全なサイクルを形成するウエハは、上記4つの一連の処理条件に2回繰り返して曝露されることになるであろう。例えば、ウエハは、第1の処理条件、第2の処理条件、第3の処理条件、及び第4の処理条件のそれぞれにおいて、A処理、B処理、C処理、及びD処理に2回繰り返さして曝露され、ABCDの繰り返しが2回行われる。
[0052]幾つかの実施形態では、処理領域のうちの少なくとも1つが、プラズマが生成されるプラズマ処理領域であり、処理領域のうちの少なくとも1つが、プラズマが生成されない非プラズマ処理領域である。プラズマ処理領域は、サセプタアセンブリ又は基板が電極として機能する直接プラズマ処理領域、又はサセプタアセンブリ又は基板が電極として機能することなくプラズマが生成される遠隔プラズマ処理領域でありうる。当業者は、直接又は遠隔のいずれかのプラズマ処理領域が、RFホット電極に接続された適切な電源を有することが分かるであろう。電源は、RFホット電極に所定周波数の電力を供給する。電力供給される電極は、プラズマ源内のガスをイオン化して、プラズマを形成する。
[0053]本開示の幾つかの実施形態は、プラズマが無い第1の処理領域とプラズマがある第2の処理領域との間で基板を移動させることを含む処理方法を対象とする。第1の処理領域は、非プラズマ処理領域とも称される。第2の処理領域は、プラズマ処理領域とも称される。基板は、降伏電圧を有している。当業者は、基板が、基板、又は当該基板に形成されている素子(例えば、トランジスタ)の任意の部分を指すことが分かるであろう。
[0054]幾つかの実施形態の基板は処理領域よりも大きく、これにより、基板のすべてが任意の所与の時間に処理領域内に収まりうる訳ではない。プラズマ処理領域と非プラズマ処理領域との間の基板の移動中に、基板の一部がプラズマに曝露され、基板の一部がプラズマに曝露されない。この不均一なプラズマ曝露の結果、電荷の蓄積、又は基板上の電圧(電位)差がもたらされる。
[0055]幾つかの実施形態では、サセプタアセンブリの中心軸の周りの基板の回転は、基板上の任意の所与の点が約100ミリ秒から約500ミリ秒の範囲の時間の間特定の処理領域(例えば、第2の処理領域又はプラズマ処理領域)内にあるように十分である。幾つかの実施形態では、回転速度は、基板上の任意の所与の点が、約150ミリ秒から約300ミリ秒の範囲の時間の間、又は約200ミリ秒の間特定の処理領域に曝露されるように十分である。
[0056]プラズマ処理領域又はプラズマ源への電力は、当該電力が非連続的な形でRFホット電極に印加されるように、パルス化される。第2の処理領域内のプラズマの電力をパルス化することにより、基板又は当該基板に形成される(又は形成されている)素子の降伏電圧を超える電圧差が基板上で形成されることが防止される。
[0057]プラズマ源への電力のパルスは、オン時間及びオフ時間を含む。オン時間とは、プラズマ源に電力が供給される期間と定義され、オフ時間とは、電源が切られ又はプラズマ源に電力が供給されない期間と定義される。オン時間とオフ時間との比率は変えることが可能であり、基板上の平均電力及び電荷蓄積に影響を与えうる。幾つかの実施形態では、パルスのデューティサイクルが、約30%から約70%の範囲、若しくは約35%から約65%の範囲、若しくは約40%から約60%の範囲、若しくは約45%から約55%の範囲にあり、又は約50%である。幾つか実施形態では、オン時間:オフ時間は、約3:7から約7:3の範囲、若しくは約3.5:6.5から約6.5:3.5の範囲、若しくは約4:6から約6:4の範囲、若しくは約4.5:5.5から約5.5:4.5の範囲にあり、又は約1:1である。
[0058]オン時間とオフ時間の各々は変わりうる。幾つかの実施形態では、オン時間とオフ時間の各々は、約1マイクロ秒から約50マイクロ秒の範囲から独立して選択される。幾つかの実施形態では、時間は、約2マイクロ秒から約40マイクロ秒の範囲、若しくは、約3マイクロ秒から約30マイクロ秒の範囲、又は約4マイクロ秒から約15マイクロ秒の範囲にあり、又は約5マイクロ秒である。
[0059]幾つかの実施形態では、オン時間は、基板又は当該基板上の素子の降伏電圧(Vb)より低い電圧差を基板上で蓄積するために必要な時間量として測定される。幾つかの実施形態では、オン時間の間、基板は、降伏電圧の約95%以下、又は降伏電圧の約90%以下、又は降伏電圧の約85%以下、又は降伏電圧の約80%以下の電圧差を蓄積する。
[0060]幾つかの実施形態では、オフ時間は、基板上の電圧差を、降伏電圧の約10%以下、又は降伏電圧の約5%以下、又は降伏電圧の約4%以下、又は降伏電圧の約3%以下、又は降伏電圧の約2%以下、又は降伏電圧の約1%以下に放電させることを可能とするために十分である。
[0061]幾つかの実施形態では、プラズマがオフ時間の間に消えない。オン時間中に発生するプラズマの慣性は、デューティサイクルのオフ時間の間プラズマが点火し続けることを保証するために十分に大きい。
[0062]図1に示すように、コントローラ395を設けてプラズマスパッタチャンバ100の様々な構成要素に接続させて、それらの動作を制御しうる。コントローラ195は、処理チャンバ100全体を制御する単一のコントローラ、又は、処理チャンバ100の個々の部分を制御する複数のコントローラでありうる。幾つかの実施形態では、コントローラ195は、中央処理装置(CPU:central processing unit)196、サポート回路197、メモリ198、及び入力部/出力部(I/O)199を含む。コントローラ195は、直接的に、又は、特定の処理チャンバ及び/又は支援システム構成要素と関連付けられたコンピュータ(若しくはコントローラ)を介して、処理チャンバ100を制御しうる。コントローラ195は、様々なチャンバ及びサブプロセッサを制御するための工業環境で使用されうる任意の形態の汎用コンピュータプロセッサのうちの1つでありうる。コントローラ195のメモリ又はコンピュータ可読媒体198は、ランダムアクセスメモリ(RAM:random access memory)、読取り専用メモリ(ROM:read only memory)、フロッピーディスク、ハードディスク、光記憶媒体(例えば、コンパクトディスク若しくはデジタルビデオディスク)、フラッシュドライブ、又はローカル若しくは遠隔の任意の他の形態のデジタルストレージなど、容易に入手可能なメモリのうちの1つ以上としうる。サポート回路197は、従来のやり方でプロセッサをサポートするためにCPU196に接続されている。上記回路は、キャッシュ、電力供給装置、クロック回路、入出力回路、及びサブシステムなどを含む。1つ以上の処理が、本明細書に記載のやり方で処理チャンバ100又は個別の処理チャンバの動作を制御するために実行され又は呼び出されうるソフトウェアルーチンとして、メモリ198に格納されうる。ソフトウェアルーチンは、CPU196によって制御されるハードウェアから遠隔に位置付けられた第2のCPU(図示せず)によっても、格納及び/又は実行されうる。入力部/出力部199は、キーボード、コンピュータマウス、ディスプレイ及び/又はプリンタを含むことが可能である。
[0063]幾つかの実施形態では、コントローラがサセプタアセンブリ及びガス分配アセンブリに接続されている。コントローラは、様々な機能及びプロセスを制御するための1つ以上の構成を有する。幾つかの実施形態では、上記構成は、サセプタアセンブリを中心軸の周りに回転させるための第1の構成、非プラズマ処理領域内へのガスの流れを供給するための第2の構成、プラズマ処理領域内へのガスの流れを供給するための第3の構成、プラズマを点火するためにプラズマ処理領域に電力を供給するための第4の構成、及び/又は、プラズマ処理領域についてオン時間及びオフ時間を生成するためにプラズマ処理領域への電力をパルス化するための第5の構成から選択される。
[0064]1つ以上の実施形態によれば、基板は、層の形成に先立って及び/又は層の形成の後で処理が施される。上記処理は、同じチャンバ内、又は、1つ以上の別個の処理チャンバ内で実施することが可能である。幾つかの実施形態では、基板が、第1のチャンバから、更なる処理のために別個の第2のチャンバに移動させられる。基板は、第1のチャンバから別個の処理チャンバへと直接的に移動させることが可能であり、又は、第1のチャンバから1つ以上の移送チャンバへと移動させ、次いで別個の処理チャンバへと移動させることが可能である。従って、処理装置は、移送ステーションと通じている複数のチャンバを含みうる。この種の装置は「クラスタツール(cluster tool)」又は「クラスタシステム(clustered system)」等と称されうる。
[0065]クラスタツールは概して、基板の中心検出及び配向、ガス抜き、アニール処理、堆積、及び/又はエッチングを含む様々な機能を実行する、複数のチャンバを備えたモジュールシステムである。1つ以上の実施形態によれば、クラスタツールは、少なくとも第1のチャンバ及び中央移送チャンバを含む。中央移送チャンバは、処理チャンバとロードロックチャンバの間で基板を往復搬送することが可能なロボットを収容しうる。移送チャンバは典型的に、真空条件で維持されており、基板を、1のチャンバから、他のチャンバ及び/又はクラスタツールの前端に配置されたロードロックチャンバへと往復搬送するための中間ステージを提供する。本開示のために適合されうる二つのよく知られたクラスタツールが、Centura(登録商標)及びEndura(登録商標)であり、両方とも、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials,Inc.)から入手可能である。しかしながら、チャンバの実際の配置及び組合せは、本明細書に記載のプロセスの特定のステップを実施するために変更されうる。利用可能な他の処理チャンバには、限定されないが、周期的層堆積(CLD:cyclical layer deposition)、原子層堆積(ALD:atomic layer deposition)、化学気相堆積(CVD:chemical vapor deposition)、物理的気相堆積(PVD:physical vapor deposition)、エッチング、予洗浄、化学洗浄、RTPといった熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及び他の基板処理が含まれる。クラスタツールのチャンバ内で処理を実行することにより、後続膜の堆積に先立って酸化を起こすことなく、空気中の不純物による基板の表面汚染を回避しうる。
[0066]1つ以上の実施形態によれば、基板は、継続的に真空条件又は「ロードロック」条件の下にあり、1のチャンバから次のチャンバへと移動するときに周囲空気に曝露されない。移送チャンバは、このように真空下にあり、真空圧力下で「ポンプダウン」されている。処理チャンバ又は移送チャンバ内に不活性ガスが存在してよい。幾つか実施形態では、反応物質の一部又は全部を除去するために、不活性ガスがパージガスとして使用される。1つ以上の実施形態によれば、反応物質が堆積チャンバから移送チャンバ及び/又はさらなる処理チャンバへと移動することを防止するために、パージガスが堆積チャンバの出口で注入される。このようにして、不活性ガスの流れが、チャンバの出口でカーテンを形成する。
[0067]基板は、単一の基板堆積チャンバ内で処理されてよく、この単一の基板堆積チャンバでは、単一の基板が他の基板が処理される前にロードされ、処理され、及びアンロードされる。基板は、コンベヤシステムに類似した連続的なやり方でも処理することが可能であり、ここでは、複数の基板が個々にチャンバの第1の部分の中へとロードされ、チャンバを通って移動し、及び、チャンバの第2の部分からアンロードされる。チャンバ及び関連するコンベヤシステムの形状によって、直線経路又は湾曲経路が形成されうる。加えて、処理チャンバはカルーセルであってよく、ここでは、複数の基板が、中心軸の周りを移動させられ、及び、カルーセル経路全体を通じて堆積、エッチング、アニール処理、洗浄等の処理に晒される。
[0068]処理中に、基板は加熱又は冷却されうる。そうした加熱又は冷却は、基板支持体の温度を変化させること、及び、基板表面へと加熱又は冷却されたガスを流すことを含むがこれらに限定されない任意の適当な手段によって、達成することが可能である。幾つかの実施形態では、基板支持体は、伝導的に基板温度を変えるよう制御されうるヒータ/クーラを含む。1つ以上の実施形態において、基板温度を局所的に変えるために、利用されるガス(反応性ガス又は不活性ガス)が加熱又は冷却される。幾つか実施形態では、ヒータ/クーラが、基板温度を対流によって変えるために、チャンバ内部で基板表面に隣接するように配置される。
[0069]基板はまた、処理中に静止状態であり又は回転させられうる。回転する基板は、連続的又は非連続に段階的に(基板軸の周りを)回転させられうる。例えば、処理全体を通して基板を回転させてもよく、又は、様々な反応性ガス又はパージガスへの曝露の合間に基板を少しずつ回転させてもよい。処理中に基板を(連続的にまたは段階的に)回転させることは、例えば、ガス流形状の局所的な変動の影響を最小限に抑えることで、より均一な堆積またはエッチングの生成を支援しうる。
[0070]本明細書全体を通じて、「一実施形態」、「特定の実施形態」、「1つ以上の実施形態」、又は「或る実施形態」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。ゆえに、本明細書全体の様々な箇所での「1つ以上の実施形態で」、「特定の実施形態で」、「一実施形態で」、又は「或る実施形態で」といった表現は、必ずしも、本開示の同一の実施形態に言及するものではない。さらに、特定の特徴、構造、材料、又は特性は、1つ以上の実施形態において任意の適切なやり方で組み合わせられうる。
[0071]本明細書の開示は、特定の実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び利用の例示にすぎないことを理解されたい。本開示の思想及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な修正及び変更を行い得ることが、当業者には明らかであろう。ゆえに、本開示は、添付の特許請求の範囲及びその均等物の範囲にある修正例及び変形例を含むことが意図されている。

Claims (15)

  1. 処理方法であって、
    ガスカーテンによって分離された複数の処理領域を含むバッチ処理チャンバ内に基板を配置することであって、前記基板は降伏電圧を有する、基板を配置することと、
    前記基板を、プラズマが無い第1の処理領域からプラズマがある第2の処理領域へと移動させることと、
    前記基板上の電圧差が前記降伏電圧を超えることを防止するために、前記第2の処理領域内の前記プラズマの電力をパルス化することと
    を含む、処理方法。
  2. 前記処理領域の大きさは、前記基板の大きさよりも小さい、請求項1に記載の方法。
  3. 前記基板は、前記基板上の任意の所与の点が約100ミリ秒から約500ミリ秒の範囲の時間の間、前記第2の処理領域に曝露されるように十分な速度で移動させられる、請求項1に記載の方法。
  4. 前記時間は約200ミリ秒である、請求項3に記載の方法。
  5. 前記電力をパルス化することは、オン時間の間、前記プラズマに電力を供給することと、オフ時間の間電力を供給しないこととを含む、請求項1に記載の方法。
  6. 前記オン時間:前記オフ時間は、約4:6から約6:4の範囲内である、請求項5に記載の方法。
  7. 前記オン時間:前記オフ時間は約1:1である、請求項5に記載の方法。
  8. 前記オン時間が、約1マイクロ秒から約50マイクロ秒の範囲内にある、請求項5に記載の方法。
  9. 前記オフ時間が、約1マイクロ秒から約50マイクロ秒の範囲内である、請求項5に記載の方法。
  10. 前記オン時間の間、前記基板では、前記降伏電圧の約95%以下の電圧差が蓄積する、請求項5に記載の方法。
  11. 前記基板では、前記降伏電圧の約80%以下の電圧差が蓄積する、請求項10に記載の方法。
  12. 前記オフ時間は、前記基板上の前記電圧差を、前記降伏電圧の約5%以下まで放電させることを可能にするために十分である、請求項5に記載の方法。
  13. 前記オフ時間は、前記基板上の前記電圧差を、前記降伏電圧の約1%以下まで放電させることを可能にするために十分である、請求項12に記載の方法。
  14. 前記プラズマが、前記オフ時間の間に消えない、請求項12に記載の方法。
  15. 前記処理領域の各々がパイ形状を成し、前記基板が、サセプタアセンブリの中心軸の周りを回転させられる、請求項1に記載の方法。
JP2020532823A 2017-12-13 2018-12-12 電荷損傷を防止するためのパルス状プラズマによる空間的原子層堆積チャンバ Active JP7041269B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762598447P 2017-12-13 2017-12-13
US62/598,447 2017-12-13
PCT/US2018/065211 WO2019118601A1 (en) 2017-12-13 2018-12-12 Spatial atomic layer deposition chamber with plasma pulsing to prevent charge damage

Publications (2)

Publication Number Publication Date
JP2021507518A true JP2021507518A (ja) 2021-02-22
JP7041269B2 JP7041269B2 (ja) 2022-03-23

Family

ID=66697270

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020532823A Active JP7041269B2 (ja) 2017-12-13 2018-12-12 電荷損傷を防止するためのパルス状プラズマによる空間的原子層堆積チャンバ

Country Status (6)

Country Link
US (1) US10854428B2 (ja)
JP (1) JP7041269B2 (ja)
KR (1) KR102404119B1 (ja)
CN (1) CN111433887B (ja)
TW (1) TWI753223B (ja)
WO (1) WO2019118601A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021180215A (ja) * 2020-05-11 2021-11-18 東京エレクトロン株式会社 プラズマ処理方法、プラズマ処理装置及び制御装置
TW202203301A (zh) * 2020-06-16 2022-01-16 美商應用材料股份有限公司 利用電漿脈衝之薄層沉積
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009071292A (ja) * 2007-08-17 2009-04-02 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP2017503079A (ja) * 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06318552A (ja) * 1993-05-10 1994-11-15 Nissin Electric Co Ltd プラズマ処理方法及び装置
JP4578412B2 (ja) * 2006-01-20 2010-11-10 日本碍子株式会社 放電プラズマ発生方法
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8742668B2 (en) 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
WO2015020792A1 (en) * 2013-08-09 2015-02-12 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9053908B2 (en) * 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
JP6529973B2 (ja) * 2013-11-26 2019-06-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated バッチ処理用傾斜プレート及びその使用方法
CN103632927B (zh) * 2013-12-19 2016-03-16 中微半导体设备(上海)有限公司 等离子体刻蚀系统的阻抗匹配方法
CN111430224B (zh) * 2014-01-13 2023-07-28 应用材料公司 具有空间原子层沉积的自对准式双图案化
US9695503B2 (en) * 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
TWI676709B (zh) * 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
US9691625B2 (en) * 2015-11-04 2017-06-27 Lam Research Corporation Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level
TWI677009B (zh) * 2016-01-24 2019-11-11 美商應用材料股份有限公司 雙端饋電可調諧電漿源

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009071292A (ja) * 2007-08-17 2009-04-02 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP2017503079A (ja) * 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積

Also Published As

Publication number Publication date
KR102404119B1 (ko) 2022-05-31
WO2019118601A1 (en) 2019-06-20
JP7041269B2 (ja) 2022-03-23
US20190180985A1 (en) 2019-06-13
CN111433887A (zh) 2020-07-17
KR20200088503A (ko) 2020-07-22
CN111433887B (zh) 2023-09-29
TWI753223B (zh) 2022-01-21
TW201936979A (zh) 2019-09-16
US10854428B2 (en) 2020-12-01

Similar Documents

Publication Publication Date Title
TWI726043B (zh) 用於產生派形加工的電漿源組件及處理腔室
US9443716B2 (en) Precise critical dimension control using bilayer ALD
US20150147889A1 (en) Tilted Plate For Batch Processing And Methods Of Use
JP7041269B2 (ja) 電荷損傷を防止するためのパルス状プラズマによる空間的原子層堆積チャンバ
WO2015106261A1 (en) Self-aligned double patterning with spatial atomic layer deposition
TWI733021B (zh) 電漿源組件、處理腔室與處理基板的方法
US11081318B2 (en) Geometrically selective deposition of dielectric films utilizing low frequency bias
WO2019118841A1 (en) Selective atomic layer deposition of ruthenium
JP2017224824A (ja) ラテラルプラズマ/ラジカル源
WO2018102519A1 (en) Integrated atomic layer deposition tool
JP2021507453A (ja) 垂直プラズマ源からの改良されたプラズマ暴露のために成形された電極
KR20180129970A (ko) 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
TW202106920A (zh) 具有入口混合器的噴頭
US11133205B2 (en) Wafer out of pocket detection
US11581213B2 (en) Susceptor wafer chucks for bowed wafers
US11798825B2 (en) In-situ wafer rotation for carousel processing chambers
US20190017165A1 (en) Methods And Apparatus For Depositing Tungsten Nucleation Layers

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200812

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220208

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220310

R150 Certificate of patent or registration of utility model

Ref document number: 7041269

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150