KR20200088503A - 전하 손상을 방지하기 위해 플라즈마 펄싱을 이용하는 공간적 원자 층 증착 챔버 - Google Patents

전하 손상을 방지하기 위해 플라즈마 펄싱을 이용하는 공간적 원자 층 증착 챔버 Download PDF

Info

Publication number
KR20200088503A
KR20200088503A KR1020207020148A KR20207020148A KR20200088503A KR 20200088503 A KR20200088503 A KR 20200088503A KR 1020207020148 A KR1020207020148 A KR 1020207020148A KR 20207020148 A KR20207020148 A KR 20207020148A KR 20200088503 A KR20200088503 A KR 20200088503A
Authority
KR
South Korea
Prior art keywords
substrate
plasma
processing
time
gas
Prior art date
Application number
KR1020207020148A
Other languages
English (en)
Other versions
KR102404119B1 (ko
Inventor
즈또무 다나까
드미트리 에이. 드질노
알렉산더 브이. 가라츠트첸코
게이이찌 다나까
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200088503A publication Critical patent/KR20200088503A/ko
Application granted granted Critical
Publication of KR102404119B1 publication Critical patent/KR102404119B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32788Means for moving the material to be treated for extracting the material from the process chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20214Rotation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20278Motorised movement
    • H01J2237/20285Motorised movement computer-controlled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 강화 공간적 원자 층 증착 챔버에서 기판을 처리하는 장치 및 방법들이 제공된다. 기판은 하나 이상의 플라즈마 처리 구역 및 하나 이상의 비-플라즈마 처리 구역을 통해 이동되는 한편, 플라즈마 전력은 기판 상의 전압차가 기판 또는 기판 상에 형성되고 있는 디바이스의 항복 전압을 초과하는 것을 방지하도록 펄싱된다.

Description

전하 손상을 방지하기 위해 플라즈마 펄싱을 이용하는 공간적 원자 층 증착 챔버
본 개시내용은 일반적으로, 박막들을 증착하기 위한 장치 및 방법들에 관한 것이다. 특히, 본 개시내용은, 전하 손상을 방지하기 위해 펄스형 무선 주파수(RF) 플라즈마를 이용한 플라즈마 강화 공간적 원자 층 증착을 사용하여 박막을 증착하기 위한 장치 및 방법들에 관한 것이다.
반도체 디바이스들은, 불균일한 플라즈마 노출에 의해 야기되는 전하 축적으로 인해 공간적 플라즈마 강화 원자 층 증착(PEALD) 프로세스들 동안 손상을 입는다. 공간적 PEALD 프로세스에서, 하나 이상의 반도체 웨이퍼는 처리 챔버의 다양한 처리 구역 사이에서 이동된다. 처리 구역들 중 일부는 에너지 종을 갖는 플라즈마를 포함할 것인 한편, 다른 구역들은 순수하게 화학적 구역들이다(즉, 플라즈마 활성화가 없음).
처리량 및 실면적(real estate) 우려들 때문에, 플라즈마는 플라즈마 구역들에 지속적으로 존재하는 한편, 웨이퍼들은 플라즈마 구역들 안팎으로 이동한다. 이는, 플라즈마가 웨이퍼의 일부에만 적용되는 조건을 생성하여, 웨이퍼에 걸친 불균일한 전위를 유발한다. 이러한 전위 불균일성은, 프로세스 하의 디바이스를 손상시킬 수 있는 전하 축적을 야기한다.
항복 전압을 초과하는 전위 구배가 생성되지 않도록 RF 전력이 감소될 수 있다. 그러나, 이러한 접근법은, 프로세스 속도를 둔화시켜 처리 툴의 전체 처리량을 감소시킨다.
다른 접근법은, 플라즈마 영역의 크기를 플라즈마 하의 전체 웨이퍼가 적용받도록 증가시키는 것이다. 플라즈마 처리 전에 웨이퍼가 완전히 플라즈마 구역 내에 있음을 보장하기 위해 연속적 웨이퍼 움직임 대신 단속적(stop-and-go) 처리 접근법이 사용될 것이다. 이는, 처리 챔버의 풋프린트를 증가시키고/거나 프로세스 챔버의 처리량을 감소시킬 것이다.
따라서, 관련 기술분야에서는, 웨이퍼 상의 전하 축적을 감소시키거나 제거하는 공간적 PEALD를 위한 장치 및 방법들에 대한 필요성이 존재한다.
본 개시내용의 하나 이상의 실시예는, 배치(batch) 처리 챔버 내에 기판을 위치시키는 단계를 포함하는 처리 방법들에 관한 것이다. 배치 처리 챔버는, 가스 커튼들에 의해 분리되는 복수의 프로세스 구역들을 포함한다. 기판은 항복 전압을 갖는다. 기판은, 플라즈마가 없는 제1 처리 구역으로부터 플라즈마가 있는 제2 처리 구역으로 이동된다. 제2 처리 구역 내의 플라즈마의 전력은, 기판 상의 전압차가 항복 전압을 초과하는 것을 방지하도록 펄싱된다.
본 개시내용의 부가적인 실시예들은, 서셉터 조립체, 가스 분배 조립체, 및 제어기를 포함하는 처리 챔버들에 관한 것이다. 서셉터 조립체는, 복수의 기판들을 지지하고 서셉터 조립체의 중심 축을 중심으로 복수의 기판들을 회전시킨다. 서셉터 조립체는, 기판들을 유지하도록 크기가 정해진 복수의 함몰부들을 갖는 최상부 표면을 갖는다. 가스 분배 조립체는, 갭을 형성하도록 서셉터 조립체의 최상부 표면으로부터 이격되는 전방 표면을 갖는다. 가스 분배 조립체는, 갭 내로의 복수의 가스 유동들 및 갭으로부터 가스들을 제거하기 위한 복수의 진공 유동들을 제공하기 위한 복수의 가스 포트들 및 진공 포트들을 포함한다. 복수의 가스 포트들 및 진공 포트들은 복수의 프로세스 구역들을 형성하도록 배열되며, 각각의 프로세스 구역은 가스 커튼에 의해 인접 프로세스 구역들로부터 분리된다. 처리 구역들 중 적어도 하나는 플라즈마 처리 구역이고, 처리 구역들 중 적어도 하나는 비-플라즈마 처리 구역이다. 제어기는, 서셉터 조립체 및 가스 분배 조립체에 결합된다. 제어기는, 중심 축을 중심으로 서셉터 조립체를 회전시키기 위한 제1 구성, 비-플라즈마 처리 구역 내로의 가스의 유동을 제공하기 위한 제2 구성, 플라즈마 처리 구역 내로의 가스의 유동을 제공하기 위한 제3 구성, 플라즈마를 점화하기 위해 플라즈마 처리 구역에 전력을 제공하기 위한 제4 구성, 및/또는 플라즈마 처리 구역에 대한 온(ON) 시간 및 오프(OFF) 시간을 생성하기 위해 플라즈마 처리 구역에 대한 전력을 펄싱하기 위한 제5 구성으로부터 선택되는 하나 이상의 구성을 갖는다.
본 개시내용의 상기 언급된 특징들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 유의되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 단면도를 도시한다.
도 2는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 부분 사시도를 도시한다.
도 3은 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 개략도를 도시한다.
도 4는 본 개시내용의 하나 이상의 실시예에 따른, 배치 처리 챔버에서 사용하기 위한 쐐기-형상 가스 분배 조립체의 일부분의 개략도를 도시한다.
도 5는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 개략도를 도시한다.
본 발명의 몇몇 예시적인 실시예들을 설명하기 전에, 본 발명은 하기의 설명에서 기술되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않음이 이해되어야 한다. 본 발명은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행되는 것이 가능하다.
본원에서 사용되는 바와 같은 "기판"은, 제조 프로세스 동안 막 처리가 수행되는, 임의의 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예컨대, 처리가 수행될 수 있는 기판 표면은, 응용에 따라, 규소, 산화규소, 응력가해진 규소(strained silicon), 실리콘 온 인슐레이터(SOI; silicon on insulator), 탄소 도핑된 규소 산화물들, 비정질 규소, 도핑된 규소, 게르마늄, 갈륨 비소화물, 유리, 사파이어와 같은 물질들, 및 임의의 다른 물질들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들을 포함한다. 기판들은, 비제한적으로, 반도체 웨이퍼들을 포함한다. 기판들은, 기판 표면을 연마, 식각, 환원, 산화, 히드록실화, 어닐링, 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 발명에서, 기판 자체의 표면 상에 직접적으로 막 처리를 하는 것에 부가하여, 개시되는 막 처리 단계들 중 임의의 막 처리 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이, 기판 상에 형성된 하부층 상에 수행될 수 있으며, "기판 표면"이라는 용어는 맥락이 나타내는 바에 따라 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
하나 이상의 실시예에 따르면, 방법은 원자 층 증착(ALD) 프로세스를 사용한다. 그러한 실시예들에서, 기판 표면은 순차적으로 또는 실질적으로 순차적으로 전구체들(또는 반응성 가스들)에 노출된다. 본 명세서 전반에 걸쳐 본원에서 사용되는 바와 같이, "실질적으로 순차적"은, 전구체 노출의 지속기간의 대부분이 공-시약에 대한 노출과 겹치지 않지만 약간의 겹침이 존재할 수도 있다는 것을 의미한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등의 용어들은, 기판 표면과 반응할 수 있는 임의의 가스상 종을 지칭하기 위해 상호교환가능하게 사용된다.
본 개시내용의 하나 이상의 실시예는 유리하게, 공간적 PEALD 처리 동안 기판 상의 전하 축적을 감소시킨다. 일부 실시예들은 유리하게, 트랜지스터들의 일부로서 형성되는 커패시터들에 대한 손상을 감소시키는 방법들을 제공한다. 본 개시내용의 하나 이상의 실시예는, 플라즈마 소스에 대한 RF 전력을 펄싱함으로써 기판 상의 전하 축적을 감소시킨다.
일부 실시예들에서, 기판은 상부에 형성되거나 형성되고 있는 하나 이상의 트랜지스터를 갖는다. 전하 축적에 의해 손상되는 기판 상의 트랜지스터 게이트들은 커패시터들을 포함하고, 플라즈마 시스는 유한한 저항을 가지며, 이러한 디바이스들을 충전하기 위해서는 일정 시간이 소요된다. 디바이스들을 충전하는 시간은 대략 RC 시상수이다. 본 발명자들은, RF 펄스가 충분히 짧은 경우, 전하가 전압 항복을 야기하는 수준에 도달하지 않을 것이라는 것을 알게 되었다. RF 펄싱은, 오프 기간들 동안 전하가 소산될 수 있게 한다.
공간적 PEALD 처리 챔버에서, 웨이퍼는, 웨이퍼의 크기(즉, 직경)보다 작은 플라즈마 구역을 통해 이동한다. 본질적으로 불균일한 플라즈마 조건(웨이퍼는 플라즈마가 없는 것으로부터 100 %의 피크 플라즈마 밀도로 이동함)으로 인해, 웨이퍼 표면은 불균일한 부동 전위를 경험한다. 웨이퍼 상의 2개의 지점 사이의 부동 전위차는, 디바이스(예컨대, 기판 상의 트랜지스터들)의 충전을 초래할 것이다. 게이트 유전체들에 걸친 전압이 항복 전압을 초과하는 전압에 도달하는 경우, 디바이스에 대한 손상이 발생할 것이다. 웨이퍼 상의 각각의 트랜지스터의 게이트가 커패시터이기 때문에, 그리고 플라즈마가 유한한 시스 저항을 가지므로, 디바이스를 충전하는 데 유한한 시간이 소요된다. 디바이스를 충전하는 유한한 시간은 RC 시상수에 의해 결정된다.
임의의 특정 동작 이론에 의해 얽매임이 없이, 본 개시내용의 일부 실시예들은, 웨이퍼 또는 형성되고 있는 디바이스가 높은 부동 전위 구배에 노출되는 시간을 감소시키는 것으로 여겨진다. 본 발명자들은, RF 전력을 사이클링함으로써 플라즈마 노출 시간을 감소시키는 것은, 전위 구배를 게이트에 걸친 항복 전압을 초과하지 않는 수준으로 낮출 수 있다는 것을 알게 되었다. 플라즈마 노출 시간 후에, 플라즈마가 큰 전압 구배를 갖지 않고 디바이스 상에 축적된 전하를 계속 증가시키지 않으면서 전하가 방전될 수 있게 하는 다른 기간이 후속될 수 있다. 디바이스가 이 기간 동안 완전히 방전되는 경우, 사이클을 반복하는 것은, 게이트에 걸친 전압을 누적하여 증가시키지 않을 것이고, 손상이 발생하지 않을 것이다. 일부 실시예들에서, RF 전력을 펄싱하는 것 ― RF 전력을 반복적으로 켜고 끄는 것 ― 은 손상을 야기하지 않으면서 디바이스가 충전/방전될 수 있게 한다. 온/오프 시간은 대략 수 마이크로초 내지 수십 마이크로초일 수 있다. 온 기간의 처음 수 마이크로초 동안 플라즈마가 요동하는 경우, 플라즈마의 과도적 거동은, RC 시상수보다는 게이트가 경험할 전하량(또는 전압량)을 좌우할 수 있다.
본 개시내용의 일부 실시예들은, 공간적 처리 챔버로 또한 지칭되는 배치 처리 챔버를 사용하는 막 증착 프로세스들에 관한 것이다. 도 1은, 주입기들 또는 주입기 조립체로 또한 지칭되는 가스 분배 조립체(120) 및 서셉터 조립체(140)를 포함하는 처리 챔버(100)의 단면을 도시한다. 가스 분배 조립체(120)는, 처리 챔버에서 사용되는 임의의 유형의 가스 전달 디바이스이다. 가스 분배 조립체(120)는, 서셉터 조립체(140)에 대면하는 전방 표면(121)을 포함한다. 전방 표면(121)은, 서셉터 조립체(140)를 향해 가스들의 유동을 전달하기 위해 임의의 수의 또는 다양한 개구들을 가질 수 있다. 가스 분배 조립체(120)는 또한, 도시된 실시예들에서는 실질적으로 원형인 외측 가장자리(124)를 포함한다.
사용되는 가스 분배 조립체(120)의 특정 유형은, 사용되는 특정 프로세스에 따라 다를 수 있다. 본 개시내용의 실시예들은, 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 유형의 처리 시스템과 함께 사용될 수 있다. 이원 반응에서, 복수의 가스 채널들은, 적어도 하나의 제1 반응성 가스(A) 채널, 적어도 하나의 제2 반응성 가스(B) 채널, 적어도 하나의 퍼지 가스(P) 채널 및/또는 적어도 하나의 진공(V) 채널을 포함할 수 있다. 제1 반응성 가스(A) 채널(들), 제2 반응성 가스(B) 채널(들) 및 퍼지 가스(P) 채널(들)로부터 유동하는 가스들은 웨이퍼의 최상부 표면을 향해 지향된다. 가스 유동 중 일부는, 웨이퍼의 표면을 거쳐 수평으로 이동하여, 퍼지 가스(P) 채널(들)을 통해 처리 구역 밖으로 이동한다.
일부 실시예들에서, 가스 분배 조립체(120)는, 단일 주입기 유닛으로 구성되는 강성의 고정식 몸체이다. 하나 이상의 실시예에서, 도 2에 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 개별 구획들(예컨대, 주입기 유닛들(122))로 구성된다. 설명되는 본 개시내용의 다양한 실시예들과 함께 단일 조각 몸체 또는 다중-구획 몸체가 사용될 수 있다.
서셉터 조립체(140)는 가스 분배 조립체(120) 아래에 위치된다. 서셉터 조립체(140)는, 최상부 표면(141), 및 최상부 표면(141)에 있는 적어도 하나의 함몰부(142)를 포함한다. 서셉터 조립체(140)는 또한 최하부 표면(143) 및 가장자리(144)를 갖는다. 함몰부(142)는, 처리되는 기판들(60)의 형상 및 크기에 따라 임의의 적합한 형상 및 크기일 수 있다. 도 1에 도시된 실시예에서, 함몰부(142)는 웨이퍼의 최하부를 지지하기 위한 평평한 최하부를 갖지만, 함몰부의 최하부는 다를 수 있다. 일부 실시예들에서, 함몰부는 함몰부의 외측 둘레 가장자리 주위에 계단 구역들을 가지며, 이 계단 구역들은 웨이퍼의 외측 둘레 가장자리를 지지하도록 크기가 정해진다. 계단들에 의해 지지되는 웨이퍼의 외측 둘레 가장자리의 양은, 예컨대, 웨이퍼의 두께 및 웨이퍼의 후면측 상에 이미 존재하는 피쳐들의 존재에 따라 다를 수 있다.
일부 실시예들에서, 도 1에 도시된 바와 같이, 서셉터 조립체(140)의 최상부 표면(141)에 있는 함몰부(142)는, 함몰부(142)에서 지지되는 기판(60)이 서셉터(140)의 최상부 표면(141)과 실질적으로 동일 평면 상에 있는 최상부 표면(61)을 갖도록 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상에 있는"이라는 용어는, 웨이퍼의 최상부 표면과 서셉터 조립체의 최상부 표면이 ±0.2 mm 내에서 동일 평면 상에 있다는 것을 의미한다. 일부 실시예들에서, 최상부 표면들은, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
도 1의 서셉터 조립체(140)는, 서셉터 조립체(140)를 상승, 하강, 및 회전시킬 수 있는 지지 포스트(160)를 포함한다. 서셉터 조립체는, 지지 포스트(160)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 구성요소들을 포함할 수 있다. 지지 포스트(160)는, 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 갭을 증가시키거나 감소시켜 서셉터 조립체(140)를 적절한 위치로 이동시키는 주요 수단일 수 있다. 서셉터 조립체(140)는 또한, 서셉터 조립체(140)와 가스 분배 조립체(120) 사이에 미리 결정된 갭(170)을 생성하기 위해 서셉터 조립체(140)에 대해 미세-조정들을 행할 수 있는 정밀 조정 액추에이터들(162)을 포함할 수 있다.
일부 실시예들에서, 갭(170) 거리는, 약 0.1 mm 내지 약 5.0 mm의 범위, 또는 약 0.1 mm 내지 약 3.0 mm의 범위, 또는 약 0.1 mm 내지 약 2.0 mm의 범위, 또는 약 0.2 mm 내지 약 1.8 mm의 범위, 또는 약 0.3 mm 내지 약 1.7 mm의 범위, 또는 약 0.4 mm 내지 약 1.6 mm의 범위, 또는 약 0.5 mm 내지 약 1.5 mm의 범위, 또는 약 0.6 mm 내지 약 1.4 mm의 범위, 또는 약 0.7 mm 내지 약 1.3 mm의 범위, 또는 약 0.8 mm 내지 약 1.2 mm의 범위, 또는 약 0.9 mm 내지 약 1.1 mm의 범위 내에 있거나, 약 1 mm이다.
도면들에 도시된 처리 챔버(100)는, 서셉터 조립체(140)가 복수의 기판들(60)을 유지할 수 있는 캐러셀-유형 챔버이다. 도 2에 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 별개의 주입기 유닛들(122)을 포함할 수 있고, 각각의 주입기 유닛(122)은, 웨이퍼가 주입기 유닛 아래로 이동됨에 따라, 웨이퍼 상에 막을 증착할 수 있다. 2개의 파이-형상 주입기 유닛(122)이, 서셉터 조립체(140) 위에서 서셉터 조립체(140)의 대략적으로 대향하는 측들 상에 위치된 것으로 도시된다. 이러한 수의 주입기 유닛들(122)은 단지 예시적인 목적들을 위해 도시된다. 더 많거나 더 적은 주입기 유닛(122)이 포함될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 조립체(140)의 형상을 추종하는 형상을 형성하기 위한 충분한 수의 파이-형상 주입기 유닛(122)이 존재한다. 일부 실시예들에서, 개별 파이-형상 주입기 유닛들(122) 각각은, 다른 주입기 유닛들(122) 중 어느 것에도 영향을 미치지 않으면서 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예컨대, 로봇이 기판들(60)을 적재/하적하기 위해서 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 구역에 접근하는 것을 허용하기 위해, 하나의 세그먼트가 상승될 수 있다.
웨이퍼들이 동일한 프로세스 흐름을 경험하도록 다수의 웨이퍼들을 동시에 처리하기 위해, 다수의 가스 주입기들을 갖는 처리 챔버들이 사용될 수 있다. 예컨대, 도 3에 도시된 바와 같이, 처리 챔버(100)는 4개의 가스 주입기 조립체 및 4개의 기판(60)을 갖는다. 처리의 착수 시에, 기판들(60)은 주입기 조립체들(30) 사이에 위치될 수 있다. 서셉터 조립체(140)를 45°만큼 회전시키는 것(17)은, 가스 분배 조립체들(120) 아래의 점선 원에 의해 예시된 바와 같이, 가스 분배 조립체들(120) 사이에 있는 각각의 기판(60)이, 막 증착을 위해 가스 분배 조립체(120)로 이동되는 것을 초래할 것이다. 부가적인 45° 회전은 기판들(60)을 주입기 조립체들(30)로부터 멀어지게 이동시킬 것이다. 기판들(60) 및 가스 분배 조립체들(120)의 수는 동일하거나 상이할 수 있다. 일부 실시예들에서, 존재하는 가스 분배 조립체들과 동일한 수의 처리되는 웨이퍼들이 존재한다. 하나 이상의 실시예에서, 처리되는 웨이퍼들의 수는, 가스 분배 조립체들의 수의 분율 또는 정수배이다. 예컨대, 4개의 가스 분배 조립체가 존재하는 경우, 처리되는 4x개의 웨이퍼가 존재하며, 여기서, x는 1 이상의 정수 값이다. 예시적인 실시예에서, 가스 분배 조립체(120)는 가스 커튼들에 의해 분리되는 8개의 처리 구역을 포함하며, 서셉터 조립체(140)는 6개의 웨이퍼를 유지할 수 있다.
도 3에 도시된 처리 챔버(100)는 단지 하나의 가능한 구성을 나타낼 뿐이며, 본 개시내용의 범위를 제한하는 것으로 고려되지 않아야 한다. 여기서, 처리 챔버(100)는 복수의 가스 분배 조립체들(120)을 포함한다. 도시된 실시예에서, 처리 챔버(100) 둘레에 균등하게 이격된 4개의 가스 분배 조립체(주입기 조립체(30)로 또한 지칭됨)가 존재한다. 도시된 처리 챔버(100)는 팔각형이지만, 이는 하나의 가능한 형상이고, 본 개시내용의 범위를 제한하는 것으로 고려되지 않아야 한다는 것을 관련 기술분야의 통상의 기술자들은 이해할 것이다. 도시된 가스 분배 조립체들(120)은 사다리꼴이지만, 단일 원형 구성요소이거나 또는 도 2에 도시된 것과 같이 복수의 파이-형상 세그먼트들로 구성될 수 있다.
도 3에 도시된 실시예는 로드 록 챔버(180), 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이러한 챔버(180)는 처리 챔버(100)의 측부에 연결되어, 예컨대, 기판들(기판들(60)로 또한 지칭됨)이 챔버(100)에 적재되는 것/챔버(100)로부터 하적되는 것을 허용한다. 기판을 서셉터 상으로 이동시키기 위해, 웨이퍼 로봇이 챔버(180)에 위치될 수 있다.
캐러셀(예컨대, 서셉터 조립체(140))의 회전은 연속적이거나 단속적(불연속적)일 수 있다. 연속적인 처리에서, 웨이퍼들은, 웨이퍼들이 주입기들 각각에 차례로 노출되도록 끊임없이 회전한다. 불연속적인 처리에서, 웨이퍼들은 주입기 구역으로 이동되어 정지될 수 있으며, 그런 다음, 주입기들 사이의 구역(84)으로 이동되어 정지될 수 있다. 예컨대, 캐러셀은, 웨이퍼들이 주입기를 거쳐 주입기-간 구역으로부터 이동하고(또는, 주입기에 인접해서 정지함) 캐러셀이 다시 일시정지될 수 있는 다음 주입기-간 구역으로 이동하도록 회전할 수 있다. 주입기들 사이에서 일시정지되는 것은, 각각의 층 증착 사이의 부가적인 처리(예컨대, 플라즈마에 대한 노출)를 위한 시간을 제공할 수 있다.
도 4는, 주입기 유닛(122)으로 지칭될 수 있는 가스 분배 조립체(220)의 일 구획 또는 일부분을 도시한다. 주입기 유닛들(122)은 개별적으로 또는 다른 주입기 유닛들과 조합되어 사용될 수 있다. 예컨대, 도 5에 도시된 바와 같이, 도 4의 주입기 유닛(122)들 4개가 조합되어, 단일 가스 분배 조립체(220)를 형성한다. (명확성을 위해, 4개의 주입기 유닛을 분리하는 선들은 도시되지 않는다.) 도 4의 주입기 유닛(122)이, 퍼지 가스 포트들(155) 및 진공 포트들(145)에 부가하여, 제1 반응성 가스 포트(125) 및 제2 가스 포트(135) 둘 모두를 갖지만, 주입기 유닛(122)이 이러한 구성요소들 전부를 필요로 하지는 않는다.
도 4 및 도 5 둘 모두를 참조하면, 하나 이상의 실시예에 따른 가스 분배 조립체(220)는, 복수의 구획들(또는 주입기 유닛들(122))을 포함할 수 있고, 각각의 구획은 동일하거나 상이하다. 가스 분배 조립체(220)는 처리 챔버 내에 위치되며, 가스 분배 조립체(220)의 전방 표면(121)에 있는 복수의 세장형 가스 포트들(125, 135, 155) 및 세장형 진공 포트들(145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 155) 및 세장형 진공 포트들(145)은, 가스 분배 조립체(220)의 내측 둘레 가장자리(123)에 인접 영역으로부터 외측 둘레 가장자리(124)에 인접 영역을 향해 연장된다. 도시된 복수의 가스 포트들은, 제1 반응성 가스 포트(125), 제2 가스 포트(135), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(145), 및 퍼지 가스 포트(155)를 포함한다.
도 4 또는 도 5에 도시된 실시예들을 참조하면, 포트들이 적어도 내측 둘레 구역 주위로부터 적어도 외측 둘레 구역 주위로 연장되는 것으로 언급되지만, 그 때, 포트들은 내측 구역으로부터 외측 구역으로 단지 방사상으로 연장되는 것 이상으로 연장될 수 있다. 포트들은, 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러쌈에 따라, 접선방향으로 연장될 수 있다. 도 4 및 도 5에 도시된 실시예에서, 쐐기-형상 반응성 가스 포트들(125, 135)은, 내측 둘레 구역 및 외측 둘레 구역에 인접해 있는 것을 포함하는 모든 가장자리들 상에서 진공 포트(145)에 의해 둘러싸인다.
도 4를 참조하면, 기판이 경로(127)를 따라 이동함에 따라, 기판 표면의 각각의 부분은 다양한 반응성 가스들에 노출된다. 경로(127)를 따르기 위해, 기판은, 퍼지 가스 포트(155), 진공 포트(145), 제1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제2 가스 포트(135) 및 진공 포트(145)에 노출되거나 또는 이들을 "겪을(see)" 것이다. 따라서, 도 4에 도시된 경로(127)의 종단에서, 기판은 제1 가스 포트(125) 및 제2 가스 포트(135)에 노출되어 층을 형성한다. 도시된 주입기 유닛(122)은 사분원을 구성하지만, 더 크거나 더 작을 수 있다. 도 5에 도시된 가스 분배 조립체(220)는, 도 4의 주입기 유닛(122) 4개가 연속해서 연결되어 조합된 것으로 고려될 수 있다.
도 4의 주입기 유닛(122)은, 반응성 가스들을 분리하는 가스 커튼(150)을 도시한다. "가스 커튼"이라는 용어는, 반응성 가스들이 혼합되는 것을 분리하는, 가스 유동들 또는 진공의 임의의 조합을 설명하기 위해 사용된다. 도 4에 도시된 가스 커튼(150)은, 제1 반응성 가스 포트(125) 옆의 진공 포트(145)의 부분, 중간의 퍼지 가스 포트(155), 및 제2 가스 포트(135) 옆의 진공 포트(145)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은, 제1 반응성 가스와 제2 반응성 가스의 가스 상 반응들을 방지하거나 최소화하는 데 사용될 수 있다.
도 5를 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들 및 진공의 조합은, 복수의 처리 구역들(250)로의 분리를 형성한다. 처리 구역들은 개별 가스 포트들(125, 135) 주위에 개략적으로 정의되며, 250 사이에 가스 커튼(150)이 있다. 도 5에 도시된 실시예는 8개의 별개의 처리 구역(250)을 구성하며, 이들 사이에 8개의 별개의 가스 커튼(150)이 있다. 처리 챔버는 적어도 2개의 처리 구역을 가질 수 있다. 일부 실시예들에서, 적어도 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개, 11개 또는 12개의 처리 구역이 존재한다.
처리 동안, 기판은 임의의 주어진 시간에 하나 초과의 처리 구역(250)에 노출될 수 있다. 그러나, 상이한 처리 구역들에 노출되는 부분들은 그 둘을 분리하는 가스 커튼을 가질 것이다. 예컨대, 기판의 선단 가장자리가, 제2 가스 포트(135)를 포함하는 처리 구역에 진입하는 경우, 기판의 중간 부분은 가스 커튼(150) 아래에 있을 것이고, 기판의 후단 가장자리는 제1 반응성 가스 포트(125)를 포함하는 처리 구역 내에 있을 것이다.
예컨대 로드 록 챔버일 수 있는 팩토리 인터페이스(280)가 처리 챔버(100)에 연결된 것으로 도시된다. 기판(60)은, 기준 프레임을 제공하기 위해 가스 분배 조립체(220) 위에 겹쳐져 있는 것으로 도시된다. 기판(60)은 종종, 가스 분배 조립체(120)의 전방 표면(121) 근처에 유지되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은, 팩토리 인터페이스(280)를 통해, 처리 챔버(100) 내로, 기판 지지부 또는 서셉터 조립체(도 3 참조) 상에 적재된다. 기판(60)은 처리 구역 내에 위치되는 것으로 도시될 수 있는데, 그 이유는, 기판이 제1 반응성 가스 포트(125)에 인접하게 그리고 2개의 가스 커튼(150a, 150b) 사이에 위치되기 때문이다. 경로(127)를 따라 기판(60)을 회전시키는 것은, 기판을 처리 챔버(100) 주위로 반시계방향으로 이동시킬 것이다. 따라서, 기판(60)은 제1 처리 구역(250a) 내지 제8 처리 구역(250h)(이들 사이의 모든 처리 구역들을 포함함)에 노출될 것이다.
본 개시내용의 실시예들은, 복수의 처리 구역들(250a 내지 250h)을 갖는 처리 챔버(100)를 포함하는 처리 방법들에 관한 것이며, 각각의 처리 구역은 가스 커튼(150)에 의해 인접 구역으로부터 분리된다. 예컨대, 처리 챔버는 도 5에 도시된다. 처리 챔버 내의 가스 커튼들 및 처리 구역들의 수는, 가스 유동들의 배열에 따른 임의의 적합한 수일 수 있다. 도 5에 도시된 실시예는 8개의 가스 커튼(150) 및 8개의 처리 구역(250a-250h)을 갖는다. 가스 커튼들의 수는 일반적으로, 처리 구역들의 수 이상이다. 일부 실시예들에서, 처리 구역들의 수는 가스 커튼들의 수보다 많으며, 가스 커튼에 의해 분리되지 않은 처리 구역들에 존재하는 가스들 중 하나 이상은 결합된다.
복수의 기판들(60)이 기판 지지부, 예컨대, 도 1 및 도 2에 도시된 서셉터 조립체(140) 상에 위치된다. 복수의 기판들(60)은 처리를 위해 처리 구역들 주위로 회전된다. 일반적으로, 가스 커튼들(150)은, 챔버 내로 어떠한 반응성 가스도 유동하지 않는 기간들을 포함하여 처리 전반에 걸쳐 관여된다(가스가 유동하고 진공이 온됨).
제1 반응성 가스(A)는 처리 구역들(250) 중 하나 이상 내로 유동되는 한편, 불활성 가스는, 제1 반응성 가스(A)가 그 내부로 유동되지 않는 임의의 처리 구역(250) 내로 유동된다. 예컨대, 제1 반응성 가스가 처리 구역들(250b) 내지 처리 구역(250h) 내로 유동하는 경우, 불활성 가스는 처리 구역(250a) 내로 유동할 것이다. 불활성 가스는 제1 반응성 가스 포트(125) 또는 제2 가스 포트(135)를 통해 유동될 수 있다.
처리 구역들 내에서의 불활성 가스 유동은 일정하거나 또는 변할 수 있다. 일부 실시예들에서, 반응성 가스는 불활성 가스와 공동-유동된다. 불활성 가스는 캐리어 및 희석제로서 작용할 것이다. 캐리어 가스에 비해 반응성 가스의 양이 적으므로, 공동-유동은 인접 구역들 간의 압력 차이들을 감소시킴으로써 처리 구역들 간의 가스 압력들을 균형화하는 것을 더 용이하게 할 수 있다.
따라서, 본 개시내용의 하나 이상의 실시예는, 도 5에 도시된 것과 같은 배치 처리 챔버를 활용하는 처리 방법들에 관한 것이다. 기판(60)은 복수의 구획들(250)을 갖는 처리 챔버 내에 배치되며, 각각의 구획은 가스 커튼(150)에 의해 인접 구획으로부터 분리된다. 기판 표면의 적어도 일부분은 처리 챔버의 제1 구획(250a)에서 제1 프로세스 조건에 노출된다.
기판 표면은, 가스 커튼(150)을 통해 처리 챔버의 제2 구획(250b)으로 측방향으로 이동된다. 기판 표면은 제2 구획(250b)에서 제2 프로세스 조건에 노출된다.
기판 표면은, 가스 커튼(150)을 통해 처리 챔버의 제3 구획(250c)으로 측방향으로 이동된다. 그런 다음, 기판 표면은 제3 구획(250c)에서 제3 프로세스 조건에 노출될 수 있다. 일부 실시예들에서, 제3 구획(250c)은, 제1 구획(250a) 또는 제2 구획(250b) 중 하나 이상과 동일한 프로세스 조건을 포함한다.
기판 표면은, 가스 커튼(150)을 통해 처리 챔버의 제4 구획(250d)으로 측방향으로 이동된다. 그런 다음, 기판 표면은 제4 구획(250d)에서 제4 프로세스 조건에 노출될 수 있다. 일부 실시예들에서, 제4 구획(250d)은, 제1 구획(250a), 제2 구획(250b), 또는 제3 구획(250c) 중 하나 이상과 동일한 프로세스 조건을 포함한다.
제5 구획(250e), 제6 구획(250f), 제7 구획(250g), 및/또는 제8 구획(250h) 각각은 독립적으로 제1 내지 제4 프로세스 조건들 중 하나 이상을 가질 수 있거나, 상이한 프로세스 조건들을 가질 수 있다. 일부 실시예들에서, 제1, 제3, 제5, 및 제7 구획들은 동일한 프로세스 조건들을 갖고, 제2, 제4, 제6, 및 제8 구획들은 동일한 프로세스 조건들을 가지며, 따라서, 처리 챔버 주위에서 한 사이클을 이루는 웨이퍼는 제1 프로세스 조건 및 제2 프로세스 조건의 네 번의 반복하는 노출에 노출될 것이다. 예컨대, 웨이퍼는, 각각 제1 프로세스 조건 및 제2 프로세스 조건의 A 프로세스 및 B 프로세스에 대한 네 번의 반복되는 노출에 직면하여 네 번의 AB 반복을 이룰 수 있다.
일부 실시예들에서, 제1 및 제5 구획들은 제1 프로세스 조건을 갖고, 제2 및 제6 구획들은 제2 프로세스 조건을 갖고, 제3 및 제7 구획들은 제3 프로세스 조건을 갖고, 제4 및 제8 구획들은 제4 프로세스 조건을 갖는다. 이러한 구성의 처리 챔버 주위에서 완전한 사이클을 이루는 웨이퍼는, 4개의 순차적인 프로세스 조건들에 대한 두 번의 반복되는 노출들을 가질 것이다. 예컨대, 웨이퍼는, 각각 제1 프로세스 조건, 제2 프로세스 조건, 제3 프로세스 조건, 및 제4 프로세스 조건의 A 프로세스, B 프로세스, C 프로세스, 및 D 프로세스에 대한 두 번의 반복되는 노출에 직면하여 두 번의 ABCD 반복을 이룰 수 있다.
일부 실시예들에서, 처리 구역들 중 적어도 하나는 플라즈마가 생성되는 플라즈마 처리 구역이고, 처리 구역들 중 적어도 하나는 플라즈마가 생성되지 않는 비-플라즈마 처리 구역이다. 플라즈마 처리 구역은, 서셉터 조립체 또는 기판이 전극으로서 작용하는 직접 플라즈마 처리 구역, 또는 서셉터 조립체 또는 기판이 전극으로서 작용함이 없이 플라즈마가 생성되는 원격 플라즈마 처리 구역일 수 있다. 통상의 기술자는, 직접이든 아니면 원격이든 플라즈마 처리 구역은 RF 핫(hot) 전극에 연결되는 적합한 전원을 가질 것임을 인지할 것이다. 전원은, 미리 결정된 주파수의 전력을 RF 핫 전극에 공급한다. 전력을 공급받는 전극은, 플라즈마 소스 내의 가스를 이온화하여 플라즈마를 형성한다.
본 개시내용의 일부 실시예들은, 플라즈마가 없는 제1 처리 구역과 플라즈마가 있는 제2 처리 구역 사이에서 기판을 이동시키는 단계를 포함하는 처리 방법들에 관한 것이다. 제1 처리 구역은 또한 비-플라즈마 처리 구역으로 지칭된다. 제2 처리 구역은 또한 플라즈마 처리 구역으로 지칭된다. 기판은 항복 전압을 갖는다. 통상의 기술자는, 기판이 기판의 임의의 부분 또는 기판 상에 형성되고 있는 디바이스(예컨대, 트랜지스터)를 지칭한다는 것을 인지할 것이다.
일부 실시예들의 기판은 처리 구역보다 더 크며, 따라서, 기판 전부가 임의의 주어진 시간에 처리 구역 내에 맞춰질 수 있는 것은 아니다. 플라즈마 처리 구역과 비-플라즈마 처리 구역 사이에서의 기판의 이동 동안, 기판의 일부는 플라즈마에 노출되고 기판의 일부는 플라즈마에 노출되지 않는다. 이러한 불균일한 플라즈마 노출은 기판 상의 전하 축적 또는 전압(전위)차를 초래한다.
일부 실시예들에서, 서셉터 조립체의 중심 축을 중심으로 한 기판의 회전은, 기판 상의 임의의 주어진 지점이 약 100 밀리초 내지 약 500 밀리초의 범위 내의 시간 동안 특정 처리 구역(예컨대, 제2 처리 구역 또는 플라즈마 처리 구역) 내에 있게 하기에 충분하다. 일부 실시예들에서, 회전 속도는, 기판 상의 임의의 주어진 지점이 약 150 밀리초 내지 약 300 밀리초의 범위 내의 시간 또는 약 200 밀리초 동안 특정 처리 구역에 노출되게 하기에 충분한다.
플라즈마 처리 구역 또는 플라즈마 소스에 대한 전력은, RF 핫 전극에 불연속적인 방식으로 전력이 인가되도록 펄싱된다. 제2 처리 구역 내의 플라즈마의 전력을 펄싱하는 것은, 기판 또는 기판 상에 형성된(또는 형성되고 있는) 디바이스의 항복 전압을 초과하는 전압차가 기판 상에 형성되는 것을 방지한다.
플라즈마 소스에 대한 전력의 펄스는 온 시간 및 오프 시간을 포함한다. 온 시간은, 전력이 플라즈마 소스에 공급되는 시간 기간으로서 정의되고, 오프 시간은, 전력이 꺼지거나 플라즈마 소스에 공급되지 않는 시간 기간으로서 정의된다. 온 시간 대 오프 시간의 비는 변할 수 있고, 평균 전력 및 기판 상의 전하 축적에 영향을 미칠 수 있다. 일부 실시예들에서, 펄스의 듀티 사이클은, 약 30 % 내지 약 70 %의 범위, 또는 약 35 % 내지 약 65 %의 범위, 또는 약 40 % 내지 약 60 %의 범위, 또는 약 45 % 내지 약 55 %의 범위 내에 있거나 약 50 %이다. 일부 실시예들에서, 온 시간:오프 시간은, 약 3:7 내지 약 7:3의 범위, 또는 약 3.5:6.5 내지 약 6.5:3.5의 범위, 또는 약 4:6 내지 약 6:4의 범위, 또는 약 4.5:5.5 내지 약 5.5:4.5의 범위 내에 있거나 약 1:1이다.
온 시간 및 오프 시간 각각은 변할 수 있다. 일부 실시예들에서, 온 시간 및 오프 시간 각각은 약 1 μsec 내지 약 50 μsec의 범위로부터 독립적으로 선택된다. 일부 실시예들에서, 그 시간은, 약 2 μsec 내지 약 40 μsec의 범위, 또는 약 3 μsec 내지 약 30 μsec의 범위, 또는 약 4 μsec 내지 약 15 μsec의 범위 내에 있거나 약 5 μsec이다.
일부 실시예들에서, 온 시간은, 기판 또는 기판 상의 디바이스의 항복 전압(Vb)보다 작은 전압차를 기판 상에 축적하는 데 요구되는 시간량으로서 측정된다. 일부 실시예들에서, 온 시간 동안, 기판은, 항복 전압의 약 95 % 이하, 또는 항복 전압의 약 90 % 이하, 또는 항복 전압의 약 85 % 이하, 또는 항복 전압의 약 80 % 이하의 전압차를 축적한다.
일부 실시예들에서, 오프 시간은, 기판 상의 전압차가 항복 전압의 약 10 % 이하, 또는 항복 전압의 약 5 % 이하, 또는 항복 전압의 약 4 % 이하, 또는 항복 전압의 약 3 % 이하, 또는 항복 전압의 약 2 % 이하, 또는 항복 전압의 약 1 % 이하로 방전될 수 있게 하기에 충분하다.
일부 실시예들에서, 플라즈마는 오프 시간 동안 소멸되지 않는다. 온 시간 동안 생성된 플라즈마의 관성은, 듀티 사이클의 오프 시간 동안 플라즈마가 점화된 채 유지됨을 보장할 만큼 충분히 크다.
도 1에 도시된 바와 같이, 제어기(395)는 처리 챔버(100)의 다양한 구성요소들에 제공되고 결합되어 그들의 동작을 제어할 수 있다. 제어기(195)는, 전체 처리 챔버(100)를 제어하는 단일 제어기, 또는 처리 챔버(100)의 개별 부분들을 제어하는 다수의 제어기들일 수 있다. 일부 실시예들에서, 제어기(195)는, 중앙 처리 유닛(CPU)(196), 지원 회로들(197), 메모리(198), 및 입력/출력부(I/O)(199)를 포함한다. 제어기(195)는 처리 챔버(100)를 직접, 또는 특정 프로세스 챔버 및/또는 지원 시스템 구성요소들과 연관된 컴퓨터들(또는 제어기들)을 통해 제어할 수 있다. 제어기(195)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 제어기(195)의 메모리(198) 또는 컴퓨터 판독가능 매체는 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 광학 저장 매체(예컨대, 컴팩트 디스크 또는 디지털 비디오 디스크), 플래시 드라이브, 또는 로컬 또는 원격의, 임의의 다른 형태의 디지털 저장소와 같은, 용이하게 이용가능한 메모리 중 하나 이상일 수 있다. 종래의 방식으로 프로세서를 지원하기 위해 지원 회로들(197)이 CPU(196)에 결합된다. 이러한 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로 및 서브시스템들 등을 포함한다. 하나 이상의 프로세스는, 본원에 설명된 방식으로 처리 챔버(100) 또는 개별 처리 챔버들의 동작을 제어하도록 실행 또는 호출될 수 있는 소프트웨어 루틴으로서 메모리(198)에 저장될 수 있다. 소프트웨어 루틴은 또한, CPU(196)에 의해 제어되는 하드웨어로부터 원격으로 위치되는 제2 CPU(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다. 입력/출력부(199)는, 키보드들, 컴퓨터 마우스들, 디스플레이들, 및/또는 프린터들을 포함할 수 있다.
일부 실시예들에서, 제어기는 서셉터 조립체 및 가스 분배 조립체에 결합된다. 제어기는, 다양한 기능들 및 프로세스들을 제어하기 위한 하나 이상의 구성을 갖는다. 일부 실시예들에서, 구성들은, 중심 축을 중심으로 서셉터 조립체를 회전시키기 위한 제1 구성, 비-플라즈마 처리 구역 내로의 가스의 유동을 제공하기 위한 제2 구성, 플라즈마 처리 구역 내로의 가스의 유동을 제공하기 위한 제3 구성, 플라즈마를 점화하기 위해 플라즈마 처리 구역에 전력을 제공하기 위한 제4 구성, 및/또는 플라즈마 처리 구역에 대한 온 시간 및 오프 시간을 생성하기 위해 플라즈마 처리 구역에 대한 전력을 펄싱하기 위한 제5 구성으로부터 선택된다.
하나 이상의 실시예에 따르면, 기판은, 층을 형성하기 전에 그리고/또는 층을 형성한 후에, 처리를 받는다. 이러한 처리는, 동일한 챔버에서 또는 하나 이상의 별개의 처리 챔버에서 수행될 수 있다. 일부 실시예들에서, 기판은, 추가적인 처리를 위해, 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은, 제1 챔버로부터 별개의 처리 챔버로 직접적으로 이동될 수 있거나, 또는 기판은, 제1 챔버로부터 하나 이상의 이송 챔버로 이동되고, 그런 다음, 별개의 처리 챔버로 이동될 수 있다. 따라서, 처리 장치는 이송 스테이션과 통신하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등으로 지칭될 수 있다.
일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기, 어닐링, 증착, 및/또는 식각을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 이상의 실시예에 따르면, 클러스터 툴은, 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 록 챔버들과 처리 챔버들 사이에서 그리고 이들 간에서 기판들을 왕복시킬 수 있는 로봇을 수납할 수 있다. 이송 챔버는 전형적으로, 진공 조건으로 유지되고, 기판들을, 하나의 챔버로부터 다른 챔버로 그리고/또는 클러스터 툴의 전단부에 위치된 로드 록 챔버로 왕복시키기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 적응될 수 있는 2개의 잘 알려진 클러스터 툴은 센추라(Centura®) 및 엔듀라(Endura®)이고, 이들 둘 모두는, 캘리포니아 주 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능하다. 그러나, 챔버들의 정확한 배열 및 조합은, 본원에 설명된 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 처리 챔버들은, 주기적 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 식각, 사전-세정, 화학 세정, RTP와 같은 열 처리, 플라즈마 질화, 탈기, 배향, 히드록실화, 및 다른 기판 프로세스들을 포함하지만 이에 제한되지 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염을, 후속 막을 증착하기 전의 산화 없이, 피할 수 있다.
하나 이상의 실시예에 따르면, 기판은 지속적으로 진공 또는 "로드 록" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동될 때 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pumped down)"된다. 불활성 가스들이 처리 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 불활성 가스는, 반응물들의 일부 또는 전부를 제거하기 위해 퍼지 가스로서 사용된다. 하나 이상의 실시예에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 처리 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 불활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
기판은, 단일 기판이 적재되고, 처리되고, 다른 기판이 처리되기 전에 하적되는, 단일 기판 증착 챔버들에서 처리될 수 있다. 기판은 또한, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 적재되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 하적되는, 컨베이어 시스템과 유사한 연속적인 방식으로 처리될 수 있다. 챔버 및 연관된 컨베이어 시스템의 형상은 직선 경로 또는 곡선 경로를 형성할 수 있다. 부가적으로, 처리 챔버는, 다수의 기판들이 중심 축을 중심으로 이동되고 캐러셀 경로 전반에 걸쳐 증착, 식각, 어닐링, 세정 등의 프로세스들에 노출되는 캐러셀일 수 있다.
처리 동안, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하지만 이에 제한되지 않는 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 기판 온도를 전도식으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 실시예에서, 이용되는 가스들(반응성 가스들 또는 불활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 가열기/냉각기는, 기판 온도를 대류식으로 변화시키기 위해, 챔버 내에서 기판 표면에 인접하게 위치된다.
기판은 또한, 처리 동안, 정지상태이거나 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 불연속적인 단계들로 (기판 축을 중심으로) 회전될 수 있다. 예컨대, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은, 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 작은 양만큼 회전될 수 있다. (연속적으로 또는 단계들로) 처리 동안 기판을 회전시키는 것은, 예컨대, 가스 유동 기하학적 구조들의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 식각을 생성하는 것을 도울 수 있다.
본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명되는 특정 특징, 구조, 물질, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서의 "하나 이상의 실시예에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들이 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 특징들, 구조들, 물질들, 또는 특성들은 하나 이상의 실시예에서 임의의 적합한 방식으로 조합될 수 있다.
본원에서의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 단지 본 개시내용의 원리들 및 응용들을 예시하는 것임이 이해되어야 한다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있다는 것이 관련 기술분야의 통상의 기술자들에게 명백할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (15)

  1. 처리 방법으로서,
    가스 커튼들에 의해 분리되는 복수의 프로세스 구역들을 포함하는 배치(batch) 처리 챔버 내에 기판을 위치시키는 단계 ― 상기 기판은 항복 전압을 가짐 ―;
    플라즈마가 없는 제1 처리 구역으로부터 플라즈마가 있는 제2 처리 구역으로 상기 기판을 이동시키는 단계; 및
    상기 기판 상의 전압차가 상기 항복 전압을 초과하는 것을 방지하도록 상기 제2 처리 구역 내의 플라즈마의 전력을 펄싱하는 단계를 포함하는, 처리 방법.
  2. 제1항에 있어서,
    상기 처리 구역들은 상기 기판의 크기보다 작은 크기를 갖는, 처리 방법.
  3. 제1항에 있어서,
    상기 기판은, 상기 기판 상의 임의의 주어진 지점이 약 100 밀리초 내지 약 500 밀리초의 범위 내의 시간 동안 상기 제2 처리 구역에 노출되게 하기에 충분한 속도로 이동되는, 처리 방법.
  4. 제2항에 있어서,
    상기 시간은 약 200 밀리초인, 처리 방법.
  5. 제1항에 있어서,
    상기 전력을 펄싱하는 단계는, 온(ON) 시간 동안 플라즈마에 전력을 공급하고 오프(OFF) 시간 동안 전력을 공급하지 않는 단계를 포함하는, 처리 방법.
  6. 제5항에 있어서,
    상기 온 시간:상기 오프 시간은 약 4:6 내지 약 6:4의 범위 내에 있는, 처리 방법.
  7. 제5항에 있어서,
    상기 온 시간:상기 오프 시간은 약 1:1인, 처리 방법.
  8. 제5항에 있어서,
    상기 온 시간은 약 1 μsec 내지 약 50 μsec의 범위 내에 있는, 처리 방법.
  9. 제5항에 있어서,
    상기 오프 시간은 약 1 μsec 내지 약 50 μsec의 범위 내에 있는, 처리 방법.
  10. 제5항에 있어서,
    상기 온 시간 동안, 상기 기판은 상기 항복 전압의 약 95 % 이하의 전압차를 축적하는, 처리 방법.
  11. 제10항에 있어서,
    상기 기판은 상기 항복 전압의 약 80 % 이하의 전압차를 축적하는, 처리 방법.
  12. 제5항에 있어서,
    상기 오프 시간은, 상기 기판 상의 전압차가 상기 항복 전압의 약 5 % 이하로 방전될 수 있게 하기에 충분한, 처리 방법.
  13. 제12항에 있어서,
    상기 오프 시간은, 상기 기판 상의 전압차가 상기 항복 전압의 약 1 % 이하로 방전될 수 있게 하기에 충분한, 처리 방법.
  14. 제12항에 있어서,
    상기 오프 시간 동안 플라즈마가 소멸되지 않는, 처리 방법.
  15. 제1항에 있어서,
    상기 처리 구역들 각각은 파이-형상이고, 상기 기판은 서셉터 조립체의 중심 축을 중심으로 회전되는, 처리 방법.
KR1020207020148A 2017-12-13 2018-12-12 전하 손상을 방지하기 위해 플라즈마 펄싱을 이용하는 공간적 원자 층 증착 챔버 KR102404119B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762598447P 2017-12-13 2017-12-13
US62/598,447 2017-12-13
PCT/US2018/065211 WO2019118601A1 (en) 2017-12-13 2018-12-12 Spatial atomic layer deposition chamber with plasma pulsing to prevent charge damage

Publications (2)

Publication Number Publication Date
KR20200088503A true KR20200088503A (ko) 2020-07-22
KR102404119B1 KR102404119B1 (ko) 2022-05-31

Family

ID=66697270

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207020148A KR102404119B1 (ko) 2017-12-13 2018-12-12 전하 손상을 방지하기 위해 플라즈마 펄싱을 이용하는 공간적 원자 층 증착 챔버

Country Status (6)

Country Link
US (1) US10854428B2 (ko)
JP (1) JP7041269B2 (ko)
KR (1) KR102404119B1 (ko)
CN (1) CN111433887B (ko)
TW (1) TWI753223B (ko)
WO (1) WO2019118601A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021180215A (ja) * 2020-05-11 2021-11-18 東京エレクトロン株式会社 プラズマ処理方法、プラズマ処理装置及び制御装置
TW202203301A (zh) * 2020-06-16 2022-01-16 美商應用材料股份有限公司 利用電漿脈衝之薄層沉積
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090018582A (ko) * 2007-08-17 2009-02-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 플라즈마 처리 방법 및 기억 매체
KR20160089508A (ko) * 2013-11-26 2016-07-27 어플라이드 머티어리얼스, 인코포레이티드 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
KR20160090758A (ko) * 2015-01-22 2016-08-01 어플라이드 머티어리얼스, 인코포레이티드 공간적으로 분리된 인젝터 챔버를 사용하는 막들의 원자 층 증착
KR20160105497A (ko) * 2014-01-05 2016-09-06 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착 또는 펄스형 화학 기상 증착을 사용하는 필름 증착
KR20160111426A (ko) * 2014-01-13 2016-09-26 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
KR20170107935A (ko) * 2016-01-24 2017-09-26 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06318552A (ja) * 1993-05-10 1994-11-15 Nissin Electric Co Ltd プラズマ処理方法及び装置
JP4578412B2 (ja) * 2006-01-20 2010-11-10 日本碍子株式会社 放電プラズマ発生方法
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8742668B2 (en) * 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
KR102245729B1 (ko) * 2013-08-09 2021-04-28 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
US9053908B2 (en) * 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
CN103632927B (zh) * 2013-12-19 2016-03-16 中微半导体设备(上海)有限公司 等离子体刻蚀系统的阻抗匹配方法
US9695503B2 (en) * 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
US9691625B2 (en) * 2015-11-04 2017-06-27 Lam Research Corporation Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090018582A (ko) * 2007-08-17 2009-02-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 플라즈마 처리 방법 및 기억 매체
KR20160089508A (ko) * 2013-11-26 2016-07-27 어플라이드 머티어리얼스, 인코포레이티드 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
KR20160105497A (ko) * 2014-01-05 2016-09-06 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착 또는 펄스형 화학 기상 증착을 사용하는 필름 증착
KR20160111426A (ko) * 2014-01-13 2016-09-26 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
KR20160090758A (ko) * 2015-01-22 2016-08-01 어플라이드 머티어리얼스, 인코포레이티드 공간적으로 분리된 인젝터 챔버를 사용하는 막들의 원자 층 증착
KR20170107935A (ko) * 2016-01-24 2017-09-26 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스

Also Published As

Publication number Publication date
KR102404119B1 (ko) 2022-05-31
WO2019118601A1 (en) 2019-06-20
CN111433887B (zh) 2023-09-29
US10854428B2 (en) 2020-12-01
CN111433887A (zh) 2020-07-17
JP2021507518A (ja) 2021-02-22
JP7041269B2 (ja) 2022-03-23
TW201936979A (zh) 2019-09-16
TWI753223B (zh) 2022-01-21
US20190180985A1 (en) 2019-06-13

Similar Documents

Publication Publication Date Title
US20150147889A1 (en) Tilted Plate For Batch Processing And Methods Of Use
US9443716B2 (en) Precise critical dimension control using bilayer ALD
WO2015106261A1 (en) Self-aligned double patterning with spatial atomic layer deposition
KR102404119B1 (ko) 전하 손상을 방지하기 위해 플라즈마 펄싱을 이용하는 공간적 원자 층 증착 챔버
US20180155834A1 (en) Integrated Atomic Layer Deposition Tool
WO2019118841A1 (en) Selective atomic layer deposition of ruthenium
JP2019503555A (ja) 処理チャンバのための高温ヒータ
US11085129B2 (en) Device to increase deposition uniformity in spatial ALD processing chamber
US10658223B2 (en) Apparatus for prevention of backside deposition in a spatial ALD process chamber
CN107743529B (zh) 用于批处理的注射器及使用方法
US11158489B2 (en) Methods and systems to modulate film stress
CN113891957A (zh) 具有入口混合器的喷头
US11581213B2 (en) Susceptor wafer chucks for bowed wafers
US11133205B2 (en) Wafer out of pocket detection
US11332828B2 (en) Gas distribution assembly mounting for fragile plates to prevent breakage
US11798825B2 (en) In-situ wafer rotation for carousel processing chambers

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant