KR101840759B1 - 공간적인 원자 층 증착 또는 펄스형 화학 기상 증착을 사용하는 필름 증착 - Google Patents

공간적인 원자 층 증착 또는 펄스형 화학 기상 증착을 사용하는 필름 증착 Download PDF

Info

Publication number
KR101840759B1
KR101840759B1 KR1020167020988A KR20167020988A KR101840759B1 KR 101840759 B1 KR101840759 B1 KR 101840759B1 KR 1020167020988 A KR1020167020988 A KR 1020167020988A KR 20167020988 A KR20167020988 A KR 20167020988A KR 101840759 B1 KR101840759 B1 KR 101840759B1
Authority
KR
South Korea
Prior art keywords
substrate
section
gas
processing chamber
film
Prior art date
Application number
KR1020167020988A
Other languages
English (en)
Other versions
KR20160105497A (ko
Inventor
유 레이
스리니바스 간디코타
세스하드리 간구리
보 청
라즈쿠마르 작카라주
마틴 제프 살리나스
벤자민 슈미엣지
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160105497A publication Critical patent/KR20160105497A/ko
Application granted granted Critical
Publication of KR101840759B1 publication Critical patent/KR101840759B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

복수의 섹션들이 가스 커튼들에 의해 분리되어, 각각의 섹션이 독립적으로 프로세스 조건을 갖는 원형의 배치 프로세싱 챔버를 사용하여, 필름을 증착하기 위한 원자 층 증착 방법들이 제공된다.

Description

공간적인 원자 층 증착 또는 펄스형 화학 기상 증착을 사용하는 필름 증착{FILM DEPOSITION USING SPATIAL ATOMIC LAYER DEPOSITION OR PULSED CHEMICAL VAPOR DEPOSITION}
[0001] 본 개시내용의 실시예들은 일반적으로, 기판들을 프로세싱하기 위한 장치에 관한 것이다. 보다 특정하게는, 본 개시내용의 실시예들은 기판들 상에서 원자 층 증착(ALD) 및 화학 기상 증착(CVD)을 수행하기 위한 배치 프로세싱 플랫폼(batch processing platform)에 관한 것이다.
[0002] 반도체 디바이스들을 형성하는 프로세스는 일반적으로, 다수의 챔버들을 포함하는 기판 프로세싱 플랫폼들에서 수행된다. 몇몇 경우들에서, 다중-챔버 프로세싱 플랫폼 또는 클러스터 툴의 목적은, 제어되는 환경에서 순차적으로 기판에 대해 2개 또는 그 초과의 프로세스들을 수행하는 것이다. 하지만, 다른 경우들에서, 다중 챔버 프로세싱 플랫폼은 기판들에 대해 단일 프로세싱 단계만을 수행할 수 있으며, 부가적인 챔버들은, 기판들이 플랫폼에 의해 프로세싱되는 레이트를 최대화하도록 의도된다. 후자의 경우에서, 기판들에 대해 수행되는 프로세스는 전형적으로, 배치(batch) 프로세스이고, 그러한 배치 프로세스에서, 예를 들어 25개 또는 50개와 같은 비교적 많은 수의 기판들이, 주어진 챔버에서, 동시에 프로세싱된다. 배치 프로세싱은, 경제적으로 실용적인 방식으로 개별적인 기판들에 대해 수행되기에 너무 시간-소모적인 프로세스들에 대해, 이를 테면, ALD 프로세스들 및 몇몇 화학 기상 증착(CVD) 프로세스들에 대해, 특히 유익하다.
[0003] 기판 프로세싱 플랫폼 또는 시스템의 유효성(effectiveness)은 종종, COO(cost of ownership)에 의해 정량화된다(quantified). COO는, 많은 요인(factor)들에 의해 영향을 받기는 하지만, 시스템 풋프린트, 즉 제조 플랜트(fabrication plant)에서 시스템을 동작시키는 데에 요구되는 총 바닥 면적(floor space), 및 시스템 처리량, 즉 시간당 프로세싱되는 기판들의 개수에 의해 주로 영향을 받는다. 풋프린트는 전형적으로, 유지보수(maintenance)를 위해 요구되는, 시스템에 인접한 액세스 구역(access area)들을 포함한다. 따라서, 기판 프로세싱 플랫폼이 비교적 작을 수 있다고 하더라도, 동작 및 유지보수를 위해 모든 측(side)들로부터의 액세스를 필요로 한다면, 시스템의 실질적인 풋프린트는 여전히 엄청나게 클 수 있다.
[0004] 반도체 디바이스들의 크기가 축소됨에 따라, 프로세스 가변성(process variability)에 대한 반도체 산업의 허용오차(tolerance)는 계속해서 감소하고 있다. 이러한 더 엄격한(tighter) 프로세스 요건들을 충족시키기 위해, 산업은 더 엄격한 프로세스 윈도우 요건들을 충족시키는 다수의 새로운 프로세스들을 개발하였지만, 이러한 프로세스들은 종종, 완료하는 데에 더 긴 시간이 걸린다. 예를 들어, 높은 종횡비의 65 nm 또는 그 보다 더 작은 배선 피처(interconnect feature)의 표면 상에 등각적으로(conformally) 구리 확산 배리어 층을 형성하기 위해서는, ALD 프로세스를 사용하는 것이 필요할 수 있다. ALD는, CVD와 비교하여 더 우수한 스텝 커버리지를 나타내는, CVD의 변형이다. ALD는, 본래 전자 발광 디스플레이(electroluminescent display)들을 제조하는 데에 이용되었던 원자 층 에피택시(ALE)에 기초한다. ALD는, 기판 표면 상에 반응성 전구체 분자들의 포화된 모노레이어(monolayer)를 증착하기 위해 화학 흡착(chemisorption)을 이용한다. 이는, 증착 챔버 내로 적절한 반응성 전구체들의 펄싱(pulsing)을 주기적으로 교번(alternating)시킴으로써 달성된다. 반응성 전구체의 각각의 주입은 전형적으로, 기판의 표면 상에 균일한 재료 층을 형성하기 위해, 이전에 증착된 층들에 새로운 원자 층을 제공하도록 비활성 가스 퍼지에 의해 분리된다. 재료 층을 요구되는 두께로 형성하기 위해, 반응성 전구체 및 비활성 퍼지 가스들의 주기(cycle)들이 반복된다. ALD 기법들에 대한 가장 큰 결점은, 전형적인 CVD 기법들 보다 증착 레이트가 적어도 10배 만큼 훨씬 더 낮다는 것이다. 예를 들어, 몇몇 ALD 프로세스들은, 기판의 표면 상에 높은 품질 층을 증착하기 위해, 약 10 내지 약 200 분의 챔버 프로세싱 시간을 필요로 할 수 있다. 더 우수한 디바이스 성능을 위해 이러한 ALD 및 에피택시 프로세스들을 선택함에 있어서, 통상의 단일의 기판 프로세싱 챔버에서 디바이스들을 제조하기 위한 비용은, 매우 낮은 기판 프로세싱 처리량으로 인해, 증가할 것이다. 따라서, 그러한 프로세스들을 구현할 때, 연속적인 기판 프로세싱 접근법이 경제적으로 실현가능해질 필요가 있다.
[0005] 능률적이고 비용 효율적인 방식으로 기판 상에 필름(film)을 균일하게 증착하기 위한 장치 및 방법들에 대한 계속적인 필요성이 당업계에 존재한다.
[0006] 본 개시내용의 실시예들은 프로세싱 방법들에 관한 것이며, 프로세싱 방법들은, 표면을 갖는 기판을 복수의 섹션들을 포함하는 프로세싱 챔버 내에 배치하는 단계 ― 각각의 섹션은, 가스 커튼(gas curtain)에 의해, 인접하는 섹션들로부터 분리됨 ― ; 프로세싱 챔버의 제 1 섹션에서 표면 상에 제 1 필름을 증착하기 위해, 제 1 반응성 가스 또는 온도 변화 중 하나 또는 그 초과를 포함하는 제 1 프로세스 조건(process condition)들에 상기 표면의 적어도 일부를 노출시키는 단계; 기판 표면을 가스 커튼을 통해 프로세싱 챔버의 제 2 섹션으로 측방향으로 이동시키는 단계; 및 프로세싱 챔버의 제 2 섹션에서 제 2 필름을 형성하기 위해, 제 2 반응성 가스 또는 온도 변화 중 하나 또는 그 초과를 포함하는 제 2 프로세스 조건들에 제 1 필름을 노출시키는 단계를 포함하며, 표면의 제 1 부분이 제 1 프로세스 조건들에 노출됨과 동시에, 표면의 제 2 부분은 제 2 프로세스 조건들에 노출되며 그리고 기판의 중간 부분은 가스 커튼에 노출된다.
[0007] 본 개시내용의 부가적인 실시예들은 프로세싱 방법들에 관한 것이며, 프로세싱 방법들은, 표면을 갖는 기판을, 중심 축을 중심으로 원형으로(circularly) 배열된 복수의 섹션들을 포함하는 프로세싱 챔버 내에 배치하는 단계 ― 각각의 섹션은, 가스 커튼에 의해, 인접하는 섹션들로부터 분리됨 ― ; 및 기판 표면의 적어도 일부를, 표면 상에 제 1 필름을 증착하기 위해 제 1 반응성 가스 또는 온도 변화 중 하나 또는 그 초과를 포함하는 제 1 프로세스 조건들에 노출시키고, 그리고 프로세싱 챔버의 제 2 섹션에서 제 2 필름을 형성하도록 제 1 필름과 반응하기 위해 제 2 반응성 가스 또는 온도 변화 중 하나 또는 그 초과를 포함하는 제 2 프로세스 조건들에 노출시키기 위해, 중심 축을 중심으로 기판을 회전시키는 단계를 포함하며, 표면의 제 1 부분이 제 1 프로세스 조건들에 노출됨과 동시에, 표면의 제 2 부분은 제 2 프로세스 조건들에 노출되며 그리고 기판의 중간 부분은 가스 커튼에 노출된다.
[0008] 본 개시내용의 추가적인 실시예들은 프로세싱 방법들에 관한 것이며, 프로세싱 방법들은, 표면을 갖는 기판을, 중심 축을 중심으로 원형으로 배열된 복수의 섹션들을 포함하는 프로세싱 챔버 내에 배치하는 단계 ― 각각의 섹션은, 가스 커튼에 의해, 인접하는 섹션들로부터 분리됨 ― ; 제 1 필름을 형성하기 위해, 프로세싱 챔버의 제 1 섹션에서 기판을 제 1 프로세스 조건에 노출시키는 단계 ― 제 1 프로세스 조건은 온도 변화 또는 제 1 반응성 가스 중 하나 또는 그 초과를 포함함 ― ; 기판을 제 1 섹션으로부터 가스 커튼을 통해, 제 2 프로세스 조건을 갖는, 프로세싱 챔버의 제 2 섹션으로 이동시키기 위해, 프로세싱 챔버의 중심 축을 중심으로 기판을 회전시키고, 그에 따라, 이동 중의 어떠한 시점(some point)에서, 기판의 적어도 하나의 부분이 제 1 프로세스 조건에 노출되는 동안, 기판의 적어도 하나의 부분은 제 2 프로세스 조건에 노출되며 그리고 기판의 중간 부분은 가스 커튼에 노출되게 하는 단계; 제 2 필름을 형성하기 위해, 프로세싱 챔버의 제 2 섹션에서 기판을 제 2 프로세스 조건에 노출시키는 단계 ― 제 2 프로세스 조건은 온도 변화 또는 제 2 반응성 가스 중 하나 또는 그 초과를 포함함 ― ; 기판을 제 2 섹션으로부터 가스 커튼을 통해 프로세싱 챔버의 제 3 섹션으로 이동시키기 위해, 프로세싱 챔버의 중심 축을 중심으로 기판을 회전시키고, 그에 따라, 이동 중의 어떠한 시점에서, 기판의 적어도 하나의 부분이 제 2 프로세스 조건에 노출되는 동안, 기판의 적어도 하나의 부분은 제 3 프로세스 조건에 노출되며 그리고 기판의 중간 부분은 가스 커튼에 노출되게 하는 단계; 제 3 필름을 형성하기 위해, 프로세싱 챔버의 제 3 섹션에서 기판을 제 3 프로세스 조건에 노출시키는 단계 ― 제 3 프로세스 조건은 온도 변화 또는 제 3 반응성 가스 중 하나 또는 그 초과를 포함함 ― ; 기판을 제 3 섹션으로부터 가스 커튼을 통해 프로세싱 챔버의 제 4 섹션으로 이동시키기 위해, 프로세싱 챔버의 중심 축을 중심으로 기판을 회전시키고, 그에 따라, 이동 중의 어떠한 시점에서, 기판의 적어도 하나의 부분이 제 3 프로세스 조건에 노출되는 동안, 기판의 적어도 하나의 부분은 제 4 프로세스 조건에 노출되며 그리고 기판의 중간 부분은 가스 커튼에 노출되게 하는 단계; 제 4 필름을 형성하기 위해, 프로세싱 챔버의 제 4 섹션에서 기판을 제 4 프로세스 조건에 노출시키는 단계 ― 제 4 프로세스 조건은 온도 변화 또는 제 4 반응성 가스 중 하나 또는 그 초과를 포함함 ― ; 및 제 2 섹션 또는 제 3 섹션을 통과하지 않으면서, 기판을 제 4 섹션으로부터 가스 커튼을 통해 제 1 섹션으로 이동시키기 위해, 프로세싱 챔버의 중심 축을 중심으로 기판을 회전키는 단계를 포함하며, 제 1 반응성 가스, 제 2 반응성 가스, 제 3 반응성 가스 또는 제 4 반응성 가스 중 하나 또는 그 초과는 실란(silane)을 포함하고, 반응성 가스 노출들 간에 프로세스 챔버의 퍼징을 요구하는 프로세스와 비교하여, 제 4 필름에서의 결함 형성이 상당히 감소된다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간단히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 하지만, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 공간적인(spatial) 원자 층 증착 챔버의 측단면도이다.
[0011] 도 2는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 서셉터의 투시도를 도시한다.
[0012] 도 3은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 파이-형상(pie-shaped) 가스 분배 어셈블리의 개략도를 도시한다.
[0013] 도 4는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른, 로딩 스테이션과 4개의 가스 분배 어셈블리 유닛들로 구성된 기판 프로세싱 시스템의 개략적인 평면도이다.
[0014] 도 5는 3개의 가스 분배 어셈블리 유닛들로 구성된 기판 프로세싱 시스템의 개략적인 평면도이다.
[0015] 도 6은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 단면도를 도시한다.
[0016] 도 7은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른, 서셉터 어셈블리 및 가스 분배 어셈블리 유닛들의 투시도를 도시한다.
[0017] 도 8은 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 단면도를 도시한다.
[0018] 도 9는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 파이-형상 가스 분배 어셈블리의 개략도를 도시한다.
[0019] 본 개시내용의 실시예들은, 처리량을 최대화하고, 프로세싱 효율 및 균일성을 개선하기 위해, 연속적인 기판 증착을 위한 기판 프로세싱 시스템을 제공한다. 기판 프로세싱 시스템은 또한, 증착-전 및 증착-후 기판 처리들에 대해 사용될 수 있다. 본 개시내용의 실시예들은, 배치 프로세서에서 증착 균일성을 증가시키기 위한 장치 및 방법들에 관련된다.
[0020] 설명되는 실시예들에 따른 배치 프로세서를 사용하여, 본 발명자들은 ALD TiN 증착에 대해 높은 처리량을 나타낼 수 있음을 발견하였다. 예를 들어 ALD TiO2, TiSiN, TiAlN, AlN, W, WN, Ta2O5, TaN 과 같은 다른 프로세스들에 대해서도 유사한 우수한 결과들이 발견될 수 있다. 다양한 주입기 구성들이 또한, 플라즈마 처리를 필요로 하는 금속 또는 전도성 필름들, 이를 테면, 플라즈마 처리를 필요로 하는 펄스형(pulsed) CVD Co, PECVD 및 PEALD TiN을 제조하는 데에 사용될 수 있다. 비제한적으로, 수소 플라즈마에 의한 PEALD TaN, 수소 플라즈마에 의한 PEALD 구리 등을 포함하는, 플라즈마를 필요로 하는 다른 프로세스들이 사용될 수 있다. 플라즈마 성능에 의해, NF3 원격 플라즈마에 의한 인시츄 세정(in situ cleaning)이 TiN, Co, TaN, TiSiN, W, WN, 등을 에칭하기 위해 사용될 수 있다. AlN, TiAlN 및 Ta2O5에 대해, 인시츄 세정은 BCl3 및 Cl2 플라즈마에 의해 행해질 수 있다. TiO2에 대해, 헬륨 환경(helium ambient)에서의 NF3 및 NH3의 다이렉트 플라즈마(direct plasma)가 사용될 수 있다. 이전의 필름들 및 화학물질(chemical)들은 사용될 수 있는 증착 및 에칭 프로세스들 중 단지 일부를 나타낸다.
[0021] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판" 및 "웨이퍼"라는 용어는 교환가능하게 사용되고, 이들 양자 모두는, 프로세스가 작용하는, 표면, 또는 표면의 일부를 지칭한다. 기판에 대한 언급이 또한, 문맥상 명확히 다르게 지시되지 않는 한, 기판의 일부만을 언급할 수 있다는 것이 당업자에 의해 또한 이해될 것이다. 예를 들어, 도 1에 대하여 설명되는 공간적으로 분리된 ALD에서, 각각의 전구체가 기판에 전달되지만, 임의의 개별적인 전구체 스트림이, 임의의 주어진 시간에서, 기판의 일부에만 전달된다. 부가적으로, 기판 상의 증착에 대한 언급은, 하나 또는 그 초과의 필름들 또는 피처(feature)들이 위에 증착 또는 형성된 기판, 및 베어(bare) 기판 양자 모두를 의미할 수 있다.
[0022] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 가스", "전구체", "반응물(reactant)" 등과 같은 용어들은, 원자 층 증착 프로세스에서 반응적인 종(species)을 포함하는 가스를 의미하기 위해, 교환가능하게 사용된다. 예를 들어, 제 1 "반응성 가스"는 단순히, 기판의 표면 상에 흡착될 수 있고, 제 2 반응성 가스와의 추가적인 화학 반응을 위해 이용가능할 수 있다.
[0023] 도 1은, 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버(100)의 일부의 개략적인 단면도이다. 프로세싱 챔버(100)는 일반적으로, 진공 또는 적어도 저압 조건들 하에서 동작되는 밀봉가능한 인클로저(sealable enclosure)이다. 프로세싱 챔버(100)는, 기판(60)의 상단 표면(61)에 걸쳐 하나 또는 그 초과의 가스들을 분배할 수 있는 가스 분배 어셈블리(30)를 포함한다. 가스 분배 어셈블리(30)는 당업자에게 알려져 있는 임의의 적합한 어셈블리일 수 있고, 설명되는 특정 가스 분배 어셈블리들은, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 가스 분배 어셈블리(30)의 출력 면(output face)은 기판(60)의 제 1 표면(61)을 향한다.
[0024] 본 개시내용의 실시예들에 대해 사용하기 위한 기판들은 임의의 적합한 기판일 수 있다. 몇몇 실시예들에서, 기판은, 강성(rigid)이고 불연속적(discrete)이며 대체로 평탄한 기판이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 기판에 대해 언급하는 경우에, "불연속적인"이라는 용어는, 기판이 고정된 치수를 갖는 것을 의미한다. 하나 또는 그 초과의 실시예들의 기판은, 200 mm 또는 300 mm 직경의 실리콘 기판과 같은 반도체 기판이다. 몇몇 실시예들에서, 기판은, 실리콘, 실리콘 게르마늄, 갈륨 비소, 질화 갈륨, 게르마늄, 인화 갈륨, 인화 인듐, 사파이어, 또는 실리콘 탄화물 중 하나 또는 그 초과이다.
[0025] 가스 분배 어셈블리(30)는, 기판(60)에 하나 또는 그 초과의 가스 스트림들을 전달하기 위한 복수의 가스 포트들, 및 프로세싱 챔버(100) 밖으로 가스 스트림들을 전달하기 위해 각각의 가스 포트 사이에 배치된 복수의 진공 포트들을 포함한다. 도 1의 실시예에서, 가스 분배 어셈블리(30)는 제 1 전구체 주입기(120), 제 2 전구체 주입기(130), 및 퍼지 가스 주입기(140)를 포함한다. 주입기들(120, 130, 140)은, 메인프레임과 같은 시스템 컴퓨터(미도시)에 의해, 또는 프로그램가능한 논리 제어기와 같은 챔버-특정 제어기에 의해 제어될 수 있다. 전구체 주입기(120)는, 복수의 가스 포트들(125)을 통해, 프로세싱 챔버(100) 내로, 화합물 A의 반응성 전구체의 연속적인(또는 펄스) 스트림을 주입(inject)한다. 전구체 주입기(130)는, 복수의 가스 포트들(135)을 통해, 프로세싱 챔버(100) 내로, 화합물 B의 반응성 전구체의 연속적인(또는 펄스) 스트림을 주입한다. 퍼지 가스 주입기(140)는, 복수의 가스 포트들(145)을 통해, 프로세싱 챔버(100) 내로, 비-반응성 또는 퍼지 가스의 연속적인(또는 펄스) 스트림을 주입한다. 퍼지 가스는 프로세싱 챔버(100)로부터 반응성 재료 및 반응성 부산물들을 제거한다. 퍼지 가스는 전형적으로, 질소, 아르곤, 및 헬륨과 같은 비활성 가스이다. 가스 포트들(145)은, 화합물 B의 전구체로부터 화합물 A의 전구체를 분리시키고, 그에 의해 전구체들 사이의 교차-오염(cross-contamination)을 피하기 위해, 가스 포트들(125)과 가스 포트들(135) 사이에 배치된다.
[0026] 다른 양상에서, 프로세싱 챔버(100) 내로 전구체들을 주입하기 전에, 원격 플라즈마 소스(미도시)가 전구체 주입기(120) 및 전구체 주입기(130)에 연결될 수 있다. 반응성 종의 플라즈마는, 원격 플라즈마 소스 내의 화합물에 전기장을 인가함으로써 생성될 수 있다. 의도된 화합물들을 활성화시킬 수 있는 임의의 전력 소스가 사용될 수 있다. 예를 들어, DC, 무선 주파수(RF), 및 마이크로파(MW) 기반 방전 기법들을 사용하는 전력 소스들이 사용될 수 있다. RF 전력 소스가 사용되는 경우, 이는 용량성으로 또는 유도성으로 커플링될 수 있다. 활성화는 또한, 열 기반 기법, 가스 브레이크다운(gas breakdown) 기법, 고 에너지 광 소스(예를 들어, UV 에너지), 또는 x-레이 소스에 대한 노출에 의해 생성될 수 있다. 예시적인 원격 플라즈마 소스들은, MKS Instruments, Inc. 및 Advanced Energy Industries, Inc.와 같은 벤더(vendor)들로부터 입수가능하다.
[0027] 프로세싱 챔버(100)는 프로세싱 챔버(100)에 연결된 펌핑 시스템(150)을 더 포함한다. 펌핑 시스템(150)은 일반적으로, 하나 또는 그 초과의 진공 포트들(155)을 통해 프로세싱 챔버(100) 밖으로 가스 스트림들을 진공배기시키도록 구성된다. 진공 포트들(155)은, 가스 스트림들이 기판 표면과 반응한 후에, 프로세싱 챔버(100) 밖으로 가스 스트림들을 진공배기시키고, 전구체들 사이의 교차-오염을 추가로 제한하기 위해, 각각의 가스 포트 사이에 배치된다.
[0028] 프로세싱 챔버(100)는, 각각의 포트 사이에서 프로세싱 챔버(100) 상에 배치된 복수의 파티션(partition)들(160)을 포함한다. 각각의 파티션의 하부 부분은, 기판(60)의 제 1 표면(61) 가까이로 연장되고, 예를 들어, 제 1 표면(61)으로부터 약 0.5 mm 또는 그 초과로 연장된다. 이러한 방식으로, 파티션들(160)의 하부 부분들은, 가스 스트림들이 기판 표면과 반응한 후에, 가스 스트림들이 진공 포트들(155)을 향하여 하부 부분들 주위에서 유동하게 허용하기에 충분한 거리 만큼, 기판 표면으로부터 분리된다. 화살표들(198)은 가스 스트림들의 방향을 표시한다. 파티션들(160)이 가스 스트림들에 대한 물리적인 배리어로서 동작하기 때문에, 파티션들(160)은 또한, 전구체들 사이의 교차-오염을 제한한다. 도시된 배열은 단지 예시적인 것일 뿐이고, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 도시된 가스 분배 시스템이 단지 하나의 가능한 분배 시스템일 뿐이고, 다른 타입들의 샤워헤드들 및 가스 분배 어셈블리들이 채용될 수 있다는 것이 당업자에 의해 이해될 것이다.
[0029] 이러한 종류의 (즉, 다수의 가스들이 동시에 기판을 향하여 별개로 유동되는) 원자 층 증착 시스템들은 공간적인 ALD라고 지칭된다. 동작에 있어서, 기판(60)은, 프로세싱 챔버(100)에 (예를 들어, 로봇에 의해) 전달되고, 프로세싱 챔버 내로의 진입 전에 또는 그 후에, 셔틀(65) 상에 배치될 수 있다. 셔틀(65)은, 가스 분배 어셈블리(30) 아래를(또는 위를) 통과하면서, 프로세싱 챔버(100)를 통해, 트랙(70) 또는 어떤 다른 적합한 이동 메커니즘을 따라 이동된다. 도 1에서 도시된 실시예에서, 셔틀(65)은 챔버를 통해 선형 경로로 이동된다. 하기에서 더 설명되는 바와 같이, 도 3은 웨이퍼들이 캐러셀(carousel) 프로세싱 시스템을 통해 원형 경로로 이동되는 실시예를 도시한다.
[0030] 도 1을 다시 참조하면, 기판(60)이 프로세싱 챔버(100)를 통해 이동함에 따라, 기판(60)의 제 1 표면(61)은, 가스 포트들(125)로부터 유래하는 반응성 가스 A, 및 가스 포트들(135)로부터 유래하는 반응성 가스 B, 및 그 사이의 가스 포트들(145)로부터 유래하는 퍼지 가스에 반복적으로 노출된다. 퍼지 가스의 주입은, 다음의 전구체에 기판 표면(61)을 노출시키기 전에, 이전의 전구체로부터의 반응되지 않은 재료를 제거하도록 설계된다. 다양한 가스 스트림들(예를 들어, 반응성 가스들 또는 퍼지 가스)에 대한 각각의 노출 후에, 가스 스트림들은, 펌핑 시스템(150)에 의해 진공 포트들(155)을 통해 진공배기된다. 진공 포트가 각각의 가스 포트의 양 측들 상에 배치될 수 있기 때문에, 가스 스트림들은 양 측들 상에서 진공 포트들(155)을 통해 진공배기된다. 따라서, 가스 스트림들은, 각각의 가스 포트들로부터, 기판(60)의 제 1 표면(61)을 향하여 수직으로 하방으로 유동하고, 기판 표면(61)에 걸쳐 그리고 파티션들(160)의 하부 부분들 주위에서 유동하고, 마지막으로, 진공 포트들(155)을 향하여 상방으로 유동한다. 이러한 방식으로, 각각의 가스는 기판 표면(61)에 걸쳐 균일하게 분배될 수 있다. 화살표들(198)은 가스 유동의 방향을 표시한다. 기판(60)은 또한, 다양한 가스 스트림들에 노출되면서, 회전될 수 있다. 기판의 회전은 형성된 층들에서의 스트립들의 형성을 방지하는데 유용할 수 있다. 기판의 회전은, 연속적일 수 있거나 또는 불연속적인 단계들로 이루어질 수 있고, 기판이 가스 분배 어셈블리(30) 아래를 통과하고 있는 동안에, 또는 기판이 가스 분배 어셈블리(30) 전의 및/또는 후의 영역에 있는 경우에 발생할 수 있다.
[0031] 마지막 가스 포트에 대한 완전한 노출을 보장하기 위해, 가스 분배 어셈블리(30) 후에, 충분한 공간이 일반적으로 제공된다. 기판(60)이 가스 분배 어셈블리(30) 아래를 완전히 통과하였다면, 제 1 표면(61)은 프로세싱 챔버(100)에서의 모든 각각의 가스 포트에 완전히 노출된 것이다. 그 후에, 기판은 반대 방향으로 다시 운반될 수 있거나, 또는 앞으로(forward) 운반될 수 있다. 기판(60)이 반대 방향으로 이동하는 경우에, 기판 표면은, 제 1 노출과 역순으로, 반응성 가스 A, 퍼지 가스, 및 반응성 가스 B에 다시 노출될 수 있다.
[0032] 기판 표면(61)이 각각의 가스에 노출되는 정도는, 예를 들어, 가스 포트로부터 유래하는 각각의 가스의 유량들, 및 기판(60)의 이동의 레이트에 의해 결정될 수 있다. 일 실시예에서, 각각의 가스의 유량들은, 기판 표면(61)으로부터, 흡착된 전구체들을 제거하지 않도록 제어된다. 각각의 파티션 사이의 폭, 프로세싱 챔버(100) 상에 배치된 가스 포트들의 수, 및 기판이 가스 분배 어셈블리를 횡단하여 통과되는 횟수가 또한, 기판 표면(61)이 다양한 가스들에 노출되는 정도를 결정할 수 있다. 결과적으로, 증착된 필름의 양 및 품질은 위에서-참조된 요인들을 변화시킴으로써 최적화될 수 있다.
[0033] 프로세스의 설명이, 가스 분배 어셈블리 아래에 포지셔닝된 기판을 향하여 하방으로 가스의 유동을 지향시키는 가스 분배 어셈블리(30)에 대해 이루어졌지만, 이러한 배향(orientation)은 상이하게 될 수 있음이 이해될 것이다. 몇몇 실시예들에서, 가스 분배 어셈블리(30)는 기판 표면을 향하여 상방으로 가스의 유동을 지향시킨다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "횡단하여 통과된(passed across)"이라는 용어는, 기판의 전체 표면이 가스 분배 플레이트로부터의 각각의 가스 스트림에 노출되도록, 기판이 가스 분배 어셈블리의 하나의 측으로부터 다른 측으로 이동된 것을 의미한다. 부가적인 설명의 부재 시에, "횡단하여 통과된"이라는 용어는, 가스 분배 어셈블리들, 가스 유동들, 또는 기판 위치들의 임의의 특정한 배향을 암시하지 않는다.
[0034] 몇몇 실시예들에서, 셔틀(65)은 기판(60)을 운반하기 위한 서셉터(66)이다. 일반적으로, 서셉터(66)는, 기판에 걸쳐 균일한 온도를 형성하는 것을 돕는 캐리어이다. 서셉터(66)는, (도 1의 배열에 관하여, 좌측에서 우측으로의 그리고 우측에서 좌측으로의) 양 방향들로 이동가능하거나, 또는 (도 3에 관하여) 원형 방향으로 이동가능하다. 서셉터(66)는 기판(60)을 운반하기 위한 상단 표면(67)을 갖는다. 서셉터(66)는, 기판(60)이 프로세싱을 위해 가열될 수 있도록, 가열형 서셉터일 수 있다. 예로서, 서셉터(66)는, 서셉터(66) 아래에 배치된, 복사 열 램프들(90), 가열 플레이트, 저항성 코일들, 또는 다른 가열 디바이스들에 의해 가열될 수 있다.
[0035] 또 다른 실시예에서, 도 2에 도시된 바와 같이, 서셉터(66)의 상단 표면(67)은 기판(60)을 수용하기 위한 오목부(recess)(68)를 포함한다. 일반적으로, 서셉터(66)는 기판의 두께 보다 더 두꺼우며, 그에 따라 기판의 아래에 서셉터 재료가 존재하게 된다. 몇몇 실시예들에서, 기판(60)이 오목부(68) 내에 배치될 때, 기판(60)의 제 1 표면(61)이 서셉터(66)의 상단 표면(67)과 같은 높이가 되도록 또는 실질적으로 동일 평면 상에 있도록, 오목부(68)의 크기가 정해진다(sized). 다르게 설명하면, 기판(60)이 내부에 배치될 때, 기판(60)의 제 1 표면(61)이 서셉터(66)의 상단 표면(67) 위로 돌출하지 않도록, 몇몇 실시예들의 오목부(68)의 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는, 웨이퍼의 상단 표면과 서셉터 어셈블리의 상단 표면이 ±0.2 mm 내에서 동일 평면 상에 있는 것을 의미한다. 몇몇 실시예들에서, 상단 표면들은, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
[0036] 도 1은, 개별적인 가스 포트들이 도시된, 프로세싱 챔버의 단면도를 도시한다. 이러한 실시예는, 개별적인 가스 포트들의 폭이 가스 분배 플레이트의 전체 폭에 걸쳐 실질적으로 동일한 선형 프로세싱 시스템, 또는 개별적인 가스 포트들이, 파이 형상과 일치하기 위해 폭을 변화시키는 파이-형상 세그먼트일 수 있다. 도 3은, 파이-형상 가스 분배 어셈블리(30)의 일부를 도시한다. 기판은 이러한 가스 분배 어셈블리(30)를 가로질러서 호(arc) 형상 경로(32)로 통과될 것이다. 각각의 개별적인 가스 포트들(125, 135, 145, 155)은 가스 분배 어셈블리(30)의 내측 주변 에지(33) 근처에서 더 좁은 폭을 가지며, 가스 분배 어셈블리(30)의 외측 주변 에지(34) 근처에서 더 큰 폭을 갖는다. 개별적인 포트들의 형상 또는 종횡비는, 가스 분배 어셈블리(30) 세그먼트의 형상 또는 종횡비에 비례할 수 있거나, 또는 가스 분배 어셈블리(30) 세그먼트의 형상 또는 종횡비와 상이할 수 있다. 몇몇 실시예들에서, 개별적인 포트들은, 경로(32)를 따라 가스 분배 어셈블리(30)를 횡단하여 통과하는 웨이퍼의 각각의 지점이, 각각의 가스 포트 아래에서 대략 동일한 체류 시간을 갖게 되도록, 형상화된다(shaped). 기판들의 경로는 가스 포트들에 대해 수직적일 수 있다. 몇몇 실시예들에서, 가스 분배 어셈블리들 각각은, 기판에 의해 횡단되는 경로에 대해 실질적으로 수직적인 방향으로 연장되는 복수의 세장형 가스 포트들을 포함한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직적인"이라는 용어는, 이동의 대략적인 방향이, 가스 포트들의 축에 대해 대략적으로 수직적인 것을 의미한다. 파이-형상 가스 포트의 경우에, 가스 포트의 축은, 포트의 폭의 중간-지점이 포트의 길이를 따라 연장되는 것으로서 정의되는 라인인 것으로 고려될 수 있다. 아래에서 추가로 설명되는 바와 같이, 개별적인 파이-형상 세그먼트들 각각은, 단일 반응성 가스를 전달하거나, 또는 다수의 반응성 가스들을 공간적으로 분리시켜서 또는 조합하여(예를 들어, 전형적인 CVD 프로세스에서와 같이) 전달하도록 구성될 수 있다.
[0037] 다수의 가스 주입기들을 갖는 프로세싱 챔버들은, 웨이퍼들이 동일한 프로세스 플로우를 겪도록, 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 예를 들어, 도 4에서 도시된 바와 같이, 프로세싱 챔버(100)는 4개의 가스 분배 어셈블리들(30) 및 4개의 기판들(60)을 갖는다. 프로세싱의 초기에, 기판들(60)은 가스 분배 어셈블리들(30) 사이에 포지셔닝될 수 있다. 45°만큼 캐러셀의 서셉터(66)를 회전시키는 것은, 각각의 기판(60)이, 필름 증착을 위해 가스 분배 어셈블리(30)(또한 주입기 어셈블리라고도 지칭됨)로 이동되도록 초래할 것이다. 이는 도 4에서 도시된 위치이다. 부가적인 45°회전은 기판들(60)을 가스 분배 어셈블리들(30)로부터 벗어나게 이동시킬 것이다. 공간적인 ALD 주입기들의 경우, 주입기 어셈블리에 관한 웨이퍼의 이동 동안에, 웨이퍼 상에 필름이 증착된다. 몇몇 실시예들에서, 서셉터(66)는, 기판들(60)이 가스 분배 어셈블리들(30) 아래에서 정지하지 않도록 회전된다. 기판들(60) 및 가스 분배 어셈블리들(30)의 수는 동일할 수 있거나 또는 상이할 수 있다. 몇몇 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는 가스 분배 어셈블리들의 수와 동일하다. 하나 또는 그 초과의 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는, 가스 분배 어셈블리들의 수의 정수 배수이다. 예를 들어, 4개의 가스 분배 어셈블리들이 존재하는 경우에, 프로세싱되고 있는 4x개의 웨이퍼들이 존재하고, 여기에서, x는 1과 동등한 또는 그 초과의 정수 값이다.
[0038] 도 4에서 도시된 프로세싱 챔버(100)는 단지, 하나의 가능한 구성을 표현하는 것일 뿐이고, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 여기에서, 프로세싱 챔버(100)는 복수의 가스 분배 어셈블리들(30)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(100) 둘레에 균등하게 이격된 4개의 가스 분배 어셈블리들(30)이 존재한다. 도시된 프로세싱 챔버(100)는 팔각형이지만, 이는 하나의 가능한 형상이고, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다는 것이 당업자에 의해 이해될 것이다. 도시된 가스 분배 어셈블리들(30)은 직사각형이지만, 가스 분배 어셈블리들이 도 3에 도시된 것과 같은 파이-형상 세그먼트들일 수 있다는 것이 당업자에 의해 이해될 것이다. 부가적으로, 각각의 세그먼트는, 동일한 세그먼트로부터 다수의 상이한 반응성 가스들이 유동하면서, 공간적인 타입 배열로 가스들을 전달하도록 구성될 수 있거나, 또는 단일 반응성 가스, 또는 반응성 가스들의 혼합물을 전달하도록 구성될 수 있다.
[0039] 프로세싱 챔버(100)는, 둥근 서셉터(66) 또는 서셉터 어셈블리로서 도시된 기판 지지 장치를 포함한다. 기판 지지 장치 또는 서셉터(66)는, 가스 분배 어셈블리들(30) 각각 아래에서 복수의 기판들(60)을 이동시킬 수 있다. 로드 락(82)은, 기판들(60)이 챔버(100) 내로 로딩되도록/챔버(100)로부터 언로딩되도록 허용하기 위해, 프로세싱 챔버(100)의 측면에 연결될 수 있다.
[0040] 프로세싱 챔버(100)는, 복수의 가스 분배 어셈블리들(30) 각각 또는 복수의 가스 분배 어셈블리들(30) 중 임의의 것 사이에 포지셔닝된, 복수의 제 1 처리 스테이션들(80), 또는 제 1 처리 스테이션들(80)의 세트를 포함할 수 있다. 몇몇 실시예들에서, 제 1 처리 스테이션들(80) 각각은 기판(60)에 동일한 처리를 제공한다.
[0041] 처리 스테이션들의 수, 및 상이한 타입들의 처리 스테이션들의 수는, 프로세스에 따라 변화될 수 있다. 예를 들어, 가스 분배 어셈블리들(30) 사이에 포지셔닝된, 1개, 2개, 3개, 4개, 5개, 6개, 7개, 또는 그 초과의 처리 스테이션들이 존재할 수 있다. 각각의 처리 스테이션들은 독립적으로, 처리 스테이션의 하나 걸러의 세트마다 상이한 처리를 제공할 수 있거나, 또는 동일한 타입 및 상이한 타입들의 처리들의 혼합이 존재할 수 있다. 몇몇 실시예들에서, 개별적인 처리 스테이션들 중 하나 또는 그 초과는, 다른 개별적인 처리 스테이션들 중 하나 또는 그 초과와 상이한 처리를 제공한다. 도 4에 도시된 실시예는, 공간들을 중간에 갖는 4개의 가스 분배 어셈블리들을 도시하며, 이러한 공간들은 몇몇 타입의 처리 스테이션을 포함할 수 있다. 하지만, 이러한 도면으로부터, 프로세싱 챔버가, 중간에 가스 커튼들을 갖는 8개의 가스 분배 어셈블리들을 갖도록 용이하게 구체화될(incorporated) 수 있음을 용이하게 구상할 수 있다.
[0042] 도 5에 도시된 실시예에서, 제 2 처리 스테이션들(85)의 세트가 제 1 처리 스테이션들(80)과 가스 분배 어셈블리들(30) 사이에 포지셔닝되며, 그에 따라, 프로세싱 챔버(100)를 통해 회전되는 기판(60)은, 기판(60)이 어디에서 시작하느냐에 따라서, 가스 분배 어셈블리(30), 제 1 처리 스테이션(80) 및 제 2 처리 스테이션(85) 중 임의의 것의 두 번째 것을 마주치기(encounter) 전에, 가스 분배 어셈블리(30), 제 1 처리 스테이션(80) 및 제 2 처리 스테이션(85)을 마주치게 될 것이다. 예를 들어, 도 5에 도시된 바와 같이, 기판이 제 1 처리 스테이션(80)에서 시작되는 경우, 이는 순서대로, 두번째의 제 1 처리 스테이션(80)을 마주치기 전에, 제 1 처리 스테이션(80), 가스 분배 어셈블리(30) 및 제 2 처리 스테이션(85)을 만날(see) 것이다.
[0043] 처리 스테이션들은, 기판, 기판 상의 필름, 또는 서셉터 어셈블리에 임의의 적합한 타입의 처리를 제공할 수 있다. 예를 들어, 이는, UV 램프들, 플래시 램프들, 플라즈마 소스들, 및 가열기들이다. 그 후에, 웨이퍼들은, 가스 분배 어셈블리들(30)에 대한 위치들과, 예를 들어 웨이퍼에 플라즈마를 전달하는 샤워헤드에 대한 위치 사이에서 이동된다. 플라즈마 스테이션은 처리 스테이션(80)이라고 지칭된다. 하나 또는 그 초과의 예에서, 실리콘 질화물 필름들은, 각각의 증착 층 후에, 플라즈마 처리로 형성될 수 있다. 이론적으로, ALD 반응은, 표면이 포화되는 한, 자기-제한적(self-limiting)이므로, 증착 가스에 대한 부가적인 노출은 필름을 손상시키지 않을 것이다.
[0044] 캐러셀의 회전은 연속적일 수 있거나 또는 불연속적일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은, 이들이 주입기들 각각에 차례로 노출되도록, 계속 회전한다. 불연속적인 프로세싱에서, 웨이퍼들은, 주입기 영역으로 이동되고 정지될 수 있고, 그 후에, 주입기들 사이의 영역(84)으로 이동되고 정지될 수 있다. 예를 들어, 캐러셀은, 웨이퍼들이 주입기-간(inter-injector) 영역으로부터 주입기를 횡단하고(또는, 주입기 근처에서 정지하고), 기판이 다시 멈출 수 있는 다음 주입기-간 영역으로 이동하도록, 회전할 수 있다. 주입기들 사이에서 멈추는 것은, 각각의 층 증착 사이의 부가적인 프로세싱 단계들(예를 들어, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0045] 몇몇 실시예들에서, 프로세싱 챔버는 복수의 가스 커튼들(40)을 포함한다. 각각의 가스 커튼(40)은, 가스 분배 어셈블리들(30)로부터의 프로세싱 가스들의 이동이 가스 분배 어셈블리 영역들로부터 이동하는 것, 및 처리 스테이션들(80)로부터의 가스들이 처리 스테이션 영역들로부터 이동하는 것을 방지하거나 또는 최소화하기 위한 배리어를 생성한다. 가스 커튼(40)은, 개별적인 프로세싱 섹션들을 인접한 섹션들로부터 격리시킬 수 있는, 가스 및 진공 스트림들의 임의의 적합한 조합을 포함할 수 있다. 몇몇 실시예들에서, 가스 커튼(40)은 퍼지(또는 비활성) 가스 스트림이다. 하나 또는 그 초과의 실시예들에서, 가스 커튼(40)은 프로세싱 챔버로부터 가스들을 제거하는 진공 스트림이다. 몇몇 실시예들에서, 가스 커튼(40)은, 순서대로, 퍼지 가스 스트림, 진공 스트림, 및 퍼지 가스 스트림이 존재하도록 하는, 퍼지 가스 및 진공 스트림들의 조합이다. 하나 또는 그 초과의 실시예들에서, 가스 커튼(40)은, 순서대로, 진공 스트림, 퍼지 가스 스트림, 및 진공 스트림이 존재하도록 하는, 진공 스트림들 및 퍼지 가스 스트림들의 조합이다. 도 4에서 도시된 가스 커튼들(40)은 처리 스테이션들(80)과 가스 분배 어셈블리들(30) 각각 사이에 포지셔닝되지만, 커튼들은, 프로세싱 경로를 따르는 임의의 지점 또는 지점들에 포지셔닝될 수 있다는 것이 이해될 것이다.
[0046] 도 6은, 주입기들이라고 또한 지칭되는 가스 분배 어셈블리(220), 및 서셉터 어셈블리(230)를 포함하는 프로세싱 챔버(200)의 실시예를 도시한다. 이러한 실시예에서, 서셉터 어셈블리(230)는 강성 바디(body)이다. 몇몇 실시예들의 강성 바디는 0.05 mm 이하의 드룹(droop) 허용오차를 갖는다. 액추에이터들(232)은, 예를 들어, 서셉터 어셈블리(230)의 외측 직경 영역에서의 3개의 위치들에 배치된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "외측 직경" 및 "내측 직경"이라는 용어들은, 외측 주변 에지 및 내측 에지 각각 근처의 영역들을 지칭한다. 외측 직경은 서셉터 어셈블리(230)의 말단 외측 에지(예를 들어, 샤프트(240) 근처)에서의 특정 위치에 대한 것이 아니고, 서셉터 어셈블리(230)의 외측 에지(231) 근처의 영역에 대한 것이다. 이는, 도 6에서, 액추에이터들(232)의 배치로부터 볼 수 있다. 액추에이터들(232)의 수는, 1개로부터, 이용가능한 물리적인 공간 내에서 적합할 임의의 수까지 변화될 수 있다. 몇몇 실시예들은, 외측 직경 영역(231)에 포지셔닝된 액추에이터들(232)의 2개, 3개, 4개, 또는 5개의 세트들을 갖는다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "액추에이터"라는 용어는, 서셉터 어셈블리(230), 또는 서셉터 어셈블리(230)의 일부를 가스 분배 어셈블리(220)를 향하여, 또는 가스 분배 어셈블리(220)로부터 멀어지게 이동시킬 수 있는 임의의 단일 또는 다중-컴포넌트 메커니즘을 지칭한다. 예를 들어, 액추에이터들(232)은, 서셉터 어셈블리(230)가 주입기 어셈블리(220)에 대해 실질적으로 평행하도록 보장하기 위해 사용될 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로 평행한"이라는 용어는, 컴포넌트들의 평행성이 컴포넌트들 사이의 거리에 관하여 5 % 초과만큼 변화되지 않는 것을 의미한다.
[0047] 액추에이터들(232)로부터 서셉터 어셈블리(230)에 압력이 가해지면, 서셉터 어셈블리(230)는 레벨링될(levelled) 수 있다. 액추에이터들(232)에 의해 압력이 가해지면, 갭(210)의 거리는, 약 0.1 mm 내지 약 2.0 mm의 범위 내에 있도록, 또는 약 0.2 mm 내지 약 1.8 mm의 범위에 있도록, 또는 약 0.3 mm 내지 약 1.7 mm의 범위에 있도록, 또는 약 0.4 mm 내지 약 1.6 mm의 범위에 있도록, 또는 약 0.5 mm 내지 약 1.5 mm의 범위에 있도록, 또는 약 0.6 mm 내지 약 1.4 mm의 범위에 있도록, 또는 약 0.7 mm 내지 약 1.3 mm의 범위에 있도록, 또는 약 0.8 mm 내지 약 1.2 mm의 범위에 있도록, 또는 약 0.9 mm 내지 약 1.1 mm의 범위에 있도록, 또는 약 1 mm이도록, 설정될 수 있다.
[0048] 서셉터 어셈블리(230)는 가스 분배 어셈블리(220) 아래에 포지셔닝된다. 서셉터 어셈블리(230)는, 상단 표면(241), 및 선택적으로, 상단 표면(241) 내의 적어도 하나의 오목부(243)를 포함한다. 오목부(243)는, 프로세싱되고 있는 웨이퍼들(260)의 형상 및 크기에 따라, 임의의 적합한 형상 및 크기일 수 있다. 도시된 실시예에서, 오목부(243)는 오목부(243)의 외측 주변 에지 주위에 스텝(step) 영역을 갖는다. 스텝들은 웨이퍼(260)의 외측 주변 에지를 지지하도록 크기가 정해질 수 있다. 스텝들에 의해 지지되는, 웨이퍼(260)의 외측 주변 에지의 정도(amount)는, 예를 들어, 웨이퍼의 배면 상에 이미 존재하는 피처들의 존재, 및 웨이퍼의 두께에 따라, 변화될 수 있다.
[0049] 몇몇 실시예들에서, 도 6에서 도시된 바와 같이, 서셉터 어셈블리(230)의 상단 표면(241) 내의 오목부(243)는, 오목부(243) 내에 지지되는 웨이퍼(260)가 서셉터 어셈블리(230)의 상단 표면(241)과 실질적으로 동일 평면 상에 있는 상단 표면(261)을 갖도록, 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는, 웨이퍼의 상단 표면과 서셉터 어셈블리의 상단 표면이 ±0.2 mm 내에서 동일 평면 상에 있음을 의미한다. 몇몇 실시예들에서, 상단 표면들은, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
[0050] 도 6의 서셉터 어셈블리(230)는, 서셉터 어셈블리(230)를 리프팅할 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 지지 포스트(240)를 포함한다. 서셉터 어셈블리(230)는, 지지 포스트(240)의 중심부 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 포스트(240)는, 서셉터 어셈블리(230)를 대략적인 위치로 이동시켜서, 서셉터 어셈블리(230)와 가스 분배 어셈블리(220) 사이의 갭을 증가시키거나 또는 감소시키는 주된 수단일 수 있다. 그 후에, 액추에이터들(232)은, 요구되는 갭을 생성하기 위해, 서셉터 어셈블리의 위치에 대한 마이크로-조정들을 행할 수 있다.
[0051] 도 6에서 도시된 프로세싱 챔버(200)는, 서셉터 어셈블리(230)가 복수의 웨이퍼들(260)을 홀딩(hold)할 수 있는 캐러셀-타입 챔버이다. 가스 분배 어셈블리(220)는 복수의 별개의 주입기 유닛들(221)을 포함할 수 있고, 각각의 주입기 유닛(221)은, 웨이퍼가 주입기 유닛(221) 아래로 이동됨에 따라, 웨이퍼(260) 상에 필름, 또는 필름의 파트(part)를 증착할 수 있다. 도 7은, 캐러셀-타입 프로세싱 챔버(200)의 투시도를 도시한다. 2개의 파이-형상 주입기 유닛들(221)이, 서셉터 어셈블리(230) 위에 그리고 서셉터 어셈블리(230)의 대략적으로 대향하는 측들 상에 포지셔닝된 것으로 도시된다. 이러한 수의 주입기 유닛들(221)은 단지 예시적인 목적들을 위해 도시된다. 더 많거나 또는 더 적은 주입기 유닛들(221)이 포함될 수 있다는 것이 이해될 것이다. 몇몇 실시예들에서, 서셉터 어셈블리(230)의 형상과 일치하는 형상을 형성하기에 충분한 수의 파이-형상 주입기 유닛들(221)이 존재한다. 몇몇 실시예들에서, 개별적인 파이-형상 주입기 유닛들(221) 각각은, 다른 주입기 유닛들(221) 중 어느 것에도 영향을 미치지 않으면서, 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예를 들어, 로봇이 웨이퍼들(260)을 로딩/언로딩하기 위해 서셉터 어셈블리(230)와 가스 분배 어셈블리(220) 사이의 영역을 액세스하게 허용하도록, 하나의 세그먼트가 상승될 수 있다.
[0052] 도 8은, 서셉터 어셈블리(230)가 강성 바디가 아닌, 본 개시내용의 다른 실시예를 도시한다. 몇몇 실시예들에서, 서셉터 어셈블리(230)는, 약 0.1 mm 이하, 또는 약 0.05 mm 이하, 또는 약 0.025 mm 이하, 또는 약 0.01 mm 이하의 드룹 허용오차를 갖는다. 여기서, 서셉터 어셈블리(230)의 내측 직경 영역(239) 및 외측 직경 영역(231)에 배치되는 액추에이터들(232)이 존재한다. 액추에이터들(232)은, 서셉터 어셈블리(230)의 내측 및 외측 주변부 주위의 임의의 적합한 수의 장소(place)들에 포지셔닝될 수 있다. 몇몇 실시예들에서, 액추에이터들(232)은, 외측 직경 영역(231) 및 내측 직경 영역(239) 양자 모두에서의 3개의 위치들에 배치된다. 외측 직경 영역(231) 및 내측 직경 영역(239) 양자 모두에서의 액추에이터들(232)은 서셉터 어셈블리(230)에 압력을 가한다.
[0053] 도 9는 다이버터(diverter) 및 서셉터 어셈블리와 함께 원형 가스 분배 어셈블리를 포함하는 프로세싱 챔버의 실시예를 도시한다. 원형 가스 분배 어셈블리(220)(그 일부를 도 9에서 볼 수 있음)는 프로세싱 챔버 내에 포지셔닝되며, 그리고 가스 분배 어셈블리(220)의 전방 면(225)에 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 145)은, 가스 분배 어셈블리(220)의 내측 주변 에지(227)에 인접한 구역으로부터, 외측 주변 에지(228)에 인접한 구역을 향하여 연장된다. 도 9에 도시된 복수의 가스 포트들은, 제 1 반응성 가스 포트(125), 제 2 반응성 가스 포트(135), 제 1 반응성 가스 포트들 및 제 2 반응성 가스 포트들 각각을 둘러싸는 퍼지 가스 포트(145), 및 진공 포트들(155)을 포함한다.
[0054] 서셉터 어셈블리(230)는, 중심 축을 중심으로 실질적으로 원형의 경로로 적어도 하나의 기판을 회전시키기 위해 프로세싱 챔버 내에 포지셔닝된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 원형"이라는 용어는, 기판이 완전한(full) 회전을 완료하는 경우, 경로가 원형이 되도록 의도됨을 의미한다. 서셉터 어셈블리는, 내측 주변 에지(229) 및 외측 주변 에지(231)에 의해 정의되는, (도 8에 도시된 바와 같은) 상단 표면(241)을 갖는다. 서셉터 어셈블리(230)는, 서셉터 어셈블리(230)의 상단 표면(241)이 가스 분배 어셈블리(220)의 전방 면(225)을 향하도록, 가스 분배 어셈블리(220) 아래에 포지셔닝된다.
[0055] 본 개시내용의 몇몇 실시예들은 기판을 프로세싱하는 방법들에 관한 것이다. 기판은 복수의 섹션들을 갖는 프로세싱 챔버 내에 배치되고, 각각의 섹션은, 가스 커튼에 의해, 인접하는 섹션들로부터 분리된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "섹션", "영역" 및 "섹터"라는 용어들은 배치 프로세싱 챔버 내의 구역을 설명하기 위해 교환가능하게 사용된다. 프로세싱 챔버에 들어가게 되면, 기판(또한 웨이퍼라고도 불림)은 개별적인 섹션들 중 임의의 섹션에 있을 수 있다. 각각의 섹션은, 인접하는 섹션들과 동일하거나 또는 상이한 프로세싱 조건들을 가질 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "프로세싱 조건"이라는 용어는 개별적인 섹션 내에서의 조건들 전체를 의미한다. 예를 들어, 프로세싱 조건들은, 비제한적으로, 가스 조성, 압력, 유량, 온도 및 플라즈마를 포함한다. 프로세싱 조건들은, 예를 들어, 증착, 에칭 및 처리(예를 들어, 치밀화(densification), 어닐링)에 대해 설정될(configured) 수 있다.
[0056] 제 1 섹션에서, 기판, 또는 기판의 일부가, 기판의 표면에 제 1 필름을 증착하기 위해, 제 1 프로세스 조건에 노출된다. 기판 표면은 베어(bare) 기판 표면일 수 있거나 또는 표면 상에 이전에 증착된 임의의 층일 수 있다. 예를 들어, 하나의 파트는 금속이고 다른 파트는 유전체인 상태로, 표면은 혼합된 조성(mixed composition)을 가질 수 있다. 개별적인 표면 조성을 달라질 수 있으며, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 제 1 섹션에서의 제 1 프로세스 조건들은, 온도 변화 또는 제 1 반응성 가스 중에서 하나 또는 그 초과를 포함한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 프로세싱 챔버의 다른 섹션들 뿐만 아니라, 제 1 프로세스 조건들에서의 제 1 반응성 가스의 사용은, 조성, 압력, 유량들, 다이렉트 플라즈마, 원격 플라즈마 및 이들의 조합들을 의미한다.
[0057] 형성되거나 증착되는 필름들 중 임의의 필름은, 금속 또는 유전체 필름과 같은 완전한(complete) 필름일 수 있거나, 또는 2-단계 반응의 첫 번째 절반에서와 같이 부분적인(partial) 필름일 수 있다. 부분적인 필름의 예는 기판 표면에 대한 화합물의 화학 흡착(chemisorption)일 것이며, 이는 이후, 최종 필름을 생성하기 위해 환원 또는 산화될 것이다. 제 1 필름은, 원자 층 증착 프로세스의 파트이거나(여기서, 제 1 필름은 부분적인 또는 완전한 필름이다), 또는 화학 기상 증착 프로세스의 파트일 수 있다. CVD 프로세스에서, 제 1 프로세스 조건들은, 이후 기판 표면 상에 증착되는 활성화된 종(activated species)을 생성하기 위해 기체상(gas phase)으로 반응하는 반응성 가스들의 혼합물을 포함할 수 있다. 몇몇 프로세스들에서, 어떠한 섹션에서 형성되는 필름은, 그 섹션에 들어오는 필름 보다 개선된 품질들을 갖는다. 예를 들어, 제 3 섹션에서 형성되는 필름은 제 4 섹션에서 치밀화 프로세스에 노출될 수 있다. 형성되는 필름은, 화학적 프로세스, 물리적 프로세스, 또는 이들의 조합으로부터 비롯될 수 있다.
[0058] 제 1 필름의 형성 이후, 기판은, 가스 커튼을 통해, 프로세싱 챔버의 제 2 섹션으로 측방향으로(laterally) 이동된다. 제 2 섹션에서, 제 1 필름은 제 2 필름을 형성하기 위해 제 2 프로세스 조건들에 노출된다. 제 2 프로세스 조건들은, 제 2 필름을 형성하기 위해 제 2 반응성 가스 또는 온도 변화 중 하나 또는 그 초과를 포함한다. 제 2 필름은, 2-파트 반응(two-part reaction)의 제 2 절반에서와 같이, 제 1 필름과 상이한 조성일 수 있거나, 또는 혼합된 필름에서와 같이, 완전히 상이한 조성을 갖는 필름일 수 있다.
[0059] 제 1 섹션으로부터 제 2 섹션으로 이동하는 동안, 기판은 제 1 프로세스 조건들, 제 2 프로세스 조건들 및 이 둘을 분리하는 가스 커튼에 노출된다. 제 1 프로세스 조건들과 제 2 프로세스 조건들 사이에, 만일 있더라도, 최소의 기체상 반응이 있도록 보장하기 위해, 가스 커튼은, 예를 들어, 진공과 비활성 가스들의 조합일 수 있다. 이동 중의 어떠한 시간에서, 표면의 파트는 제 1 프로세스 조건들에 노출되고, 표면의 다른 파트는 제 2 프로세스 조건들에 노출되며, 그리고 나머지 2개의 부분들 사이의, 기판의 중간 부분은 가스 커튼에 노출된다.
[0060] 제 1 프로세스 조건들, 제 2 프로세스 조건들 및 임의의 다른 프로세스 조건들 각각은, 제 1 반응성 가스를 포함하는 단일의 반응성 가스, 제 1 반응성 가스를 포함하는 반응성 가스들의 혼합물, 제 1 반응성 가스를 포함하는 원격 플라즈마, 제 1 반응성 가스를 포함하는 다이렉트 플라즈마, 온도 변화 및 이들의 조합들로 이루어진 그룹으로부터 선택된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "다이렉트 플라즈마"라는 용어는 프로세싱 챔버 내에서 점화되는(ignited) 플라즈마를 의미하며, 그리고 "원격 플라즈마"라는 용어는, 프로세싱 챔버 바깥쪽에서 점화되어 프로세싱 챔버 내로 유동되는 플라즈마를 의미한다.
[0061] 제 1 프로세스 조건들 및 제 2 프로세스 조건들에 대한 노출은, 요구되는 두께의 필름을 성장시키기 위해 순차적으로 반복될 수 있다. 예를 들어, 배치 프로세싱 챔버는, 제 1 프로세스 조건들을 갖는 2개의 섹션들 및 제 2 프로세스 조건들의 2개의 섹션들을 교번하는 패턴(alternating pattern)으로 포함할 수 있으며, 그에 따라, 프로세싱 챔버의 중심 축을 중심으로 한 기판의 회전은 표면으로 하여금 제 1 및 제 2 프로세스 조건들에 순차적으로 그리고 반복적으로 노출되게 하고, 각각의 노출은 (증착들을 위한) 필름 두께가 성장되게 한다.
[0062] 몇몇 실시예들에서, 기판은 제 2 섹션으로부터 가스 커튼을 통해 프로세싱 챔버의 제 3 섹션으로 측방향으로 이동된다. 제 3 섹션은 그 내에서 제 3 프로세스 조건들을 가지며, 제 3 프로세스 조건들은 제 1 또는 제 2 프로세스 조건들과 동일하거나 또는 상이할 수 있다. 제 3 프로세스 조건들은, 프로세싱 챔버의 제 3 섹션에서의 온도 변화 또는 제 3 반응성 가스 중 하나 또는 그 초과를 포함한다. 제 3 프로세스 조건들은 기판 표면 상에 제 3 필름을 형성한다. 제 3 필름은, 예를 들어, 제 1 또는 제 2 필름과 상이한 조성이거나 또는 제 1 또는 제 2 필름에 대한 처리일 수 있다. 이송 동안, 표면의 제 1 부분이 제 2 프로세스 조건들에 노출됨과 동시에, 표면의 제 2 부분은 제 3 프로세스 조건들에 노출되며 그리고 기판의 중간 부분은 가스 커튼에 노출된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "중간 부분"이라는 용어는, 하나의 프로세스 조건에 노출되는 제 1 부분과 다른 프로세스 조건에 노출되는 제 2 부분 사이의, 기판의 부분을 의미한다.
[0063] 예시적인 프로세스에서, 제 1 프로세스 조건들은 표면 상에 부분적인 필름을 증착한다. 부분적인 필름은 제 2 프로세스 조건들에서 완성된다. 예를 들어, 2-단계 원자 층 증착 프로세스의 제 1 파트 및 제 2 파트. 제 3 프로세스 조건들은, 예를 들어, (치밀화를 위한) 처리, 또는 화학 기상 증착에 의해 증착될 수 있는 다른 필름일 수 있다. 처리 조건은, 제 2 섹션에서 형성된 필름을 개선하거나 또는 제 2 섹션에서 형성된 필름의 조성을 변화시키기 위해 사용될 수 있다.
[0064] 추가의 실시예들에서, 기판은 제 3 섹션으로부터 가스 커튼을 통해 프로세싱 챔버의 제 4 섹션으로 측방향으로 이동된다. 제 3 필름은, 제 4 필름을 형성하기 위해, 제 4 프로세스 조건들에 노출된다. 제 4 필름은, 이전(earlier) 필름과 상이한 조성이거나 또는 이전 필름의 개선물(improvement)일 수 있다. 제 4 프로세스 조건들은, 프로세싱 챔버의 제 4 섹션에서의 온도 변화 또는 제 4 반응성 가스 중 하나 또는 그 초과를 포함한다. 이동 동안, 표면의 제 1 부분이 제 3 프로세스 조건들에 노출됨과 동시에, 표면의 제 2 부분은 제 4 프로세스 조건들에 노출되며 그리고 표면의 중간 부분은 가스 커튼에 노출된다.
[0065] 기판의 움직임(motion)의 방향은 단일 방향(unidirectional)이거나 상호 방향(reciprocal)일 수 있다. 본 문맥에서 사용되는 바와 같이, 단일 방향이라는 것은, 기판이 거시적 규모(macro scale)로 하나의 방향으로 이동됨을 의미한다. 예를 들어, 기판은 프로세싱 챔버를 중심으로 시계 방향으로 회전될 수 있지만, 반시계 방향인 작은 부분들을 갖는다. 움직임의 전체적인 방향이 시계 방향이라면, 이동은 단일 방향이다. 주기적인 시계 방향 회전을 하면서, 움직임이 반시계 방향인 경우에도, 마찬가지일 것이다. 이러한 종류의 실시예에서, 기판은, 제 2 섹션 또는 제 3 섹션 중 어느 하나에 대한 노출없이, 프로세싱 챔버의 제 4 섹션으로부터 프로세싱 챔버의 제 1 섹션으로 측방향으로 이동될 수 있다. 하나 또는 그 초과의 실시예들에서, 기판은 제 4 섹션으로부터 제 1 섹션으로 직접적으로 이동되며, 제 1 프로세스 조건, 제 2 프로세스 조건, 제 3 프로세스 조건 및 제 4 프로세스 조건에 대한 노출이 반복된다. 이는, 요구되는 두께의 필름을 증착하기 위해 임의의 횟수(number of time) 만큼 행해질 수 있다.
[0066] 몇몇 실시예들에서, 회전의 방향은 거시적인 규모로 상호 방향이다. 이는, 전체적인 움직임이 모든 섹션들을 통해 시계 방향일 것이며, 그런 다음, 프로세싱 챔버의 모든 섹션들을 통해 반시계 방향으로 거꾸로 될 것임을 의미한다. 하나 또는 그 초과의 실시예들에서, 움직임은 단일 방향과 상호 방향의 조합이다. 예를 들어, 기판은, 프로세싱 챔버의 제 1 섹션을 통해 단일 방향으로 이동한 다음, 제 2 섹션에서, 또는 예를 들어, 제 4 섹션으로 이동하기 전에 제 2 섹션과 제 3 섹션 사이에서 앞뒤로(back and forth) 상호 방향 움직임(reciprocal motion)으로 이동될 수 있다. 당업자는 이용가능한 임의의 개수의 개별적인 회전/움직임 패턴들이 있다는 것을 이해할 것이다.
[0067] 제 1 섹션, 제 2 섹션, 제 3 섹션 및 제 4 섹션에서 일어나는 프로세스들은, 요구되는 필름에 따라 유사하거나 상이할 수 있다. 예를 들어, 제 1 및 제 3 섹션들은 모두 기판 표면에 화합물 A를 전달할 것이며, 제 2 및 제 4 섹션들은 표면에 화합물 B를 전달한다. A가 기판 표면에 화학 흡착되고(또는 다른 프로세스) B가 A와 반응하는 전형적인 ALD 타입 반응에서, 이는 2개 층들의 증착을 초래할 것이다. 다른 실시예에서, 제 1 및 제 3 섹션들이 동일한 종 A를 전달할 수 있는 한편, 제 2 섹션은 종 B를 전달하고, 제 3 섹션은 종 C를 전달한다. 이는, 혼합된 필름의 형성을 초래할 것이다. 예를 들어, 산질화물 필름의 형성은 동일한 종 A와, 그리고 B로서 산소 및 C로서 질소를 포함할 것이다. 몇몇 실시예들에서, 첫 번째 2개의 섹션들은 필름을 증착하고, 제 3 및 제 4 섹션들 중 적어도 하나는 필름을 에칭한다. 예를 들어, 제 1 및 제 2 섹션들은, 혼합된 표면(예를 들어, 일부 금속 및 일부 유전체)(하나의 표면이 선호됨) 상의 질화물 필름의 증착을 초래한다. 제 3 및 제 4 섹션들은 이후, 선택적으로 증착된 필름을 남기기 위해, 표면 부분들 중 하나로부터 질화물을 선택적으로 에칭하기 위해 사용될 수 있다.
[0068] 제 1 필름, 제 2 필름, 제 3 필름 및 제 4 필름 중 임의의 필름은 금속, 질화물, 실리사이드(silicide), 산화물, 실리콘 질화물, 이들의 합금 및 조합들일 수 있다. 몇몇 실시예들에서, 증착되는 필름들 중 적어도 하나는, Al, Co, Mn, W, Ta, Ga, Ge, Ti, Hf, Cu 및 Si; Al, Co, Mn, W, Ta, Ga, Ge, Ti, Hf, Cu 및 Si의 질화물; Al, Co, Mn, W, Ta, Ga, Ge, Ti, Hf, Cu 및 Si의 산화물; Al, Co, Mn, W, Ta, Ga, Ge, Ti, Hf, Cu 및 Si의 실리사이드; 또는 Al, Co, Mn, W, Ta, Ga, Ge, Ti, Hf, Cu 및 Si의 실리콘 질화물을 포함한다.
[0069] 몇몇 실시예들에서, 제 2 필름은 TiN, Co, TaN, TiSiN, W 또는 WN 중 하나 또는 그 초과를 포함하며, 그리고 제 2 필름을 에칭하는 것은, 원격 NF3 플라즈마, 다이렉트 NF3 플라즈마, 암모니아, 원격 암모니아 플라즈마 또는 다이렉트 암모니아 플라즈마 중 하나 또는 그 초과에 대한 노출을 포함한다. 몇몇 실시예들에서, 제 2 필름은 TiN, Co, TaN, TiSiN, W 또는 WN 중 하나 또는 그 초과를 포함하며, 그리고 제 2 필름을 에칭하는 것은, 원격 NF3 플라즈마 또는 원격 암모니아 플라즈마 중 하나 또는 그 초과에 대한 노출을 포함한다. 몇몇 실시예들에서, 에칭은 원격 NF3 플라즈마 및/또는 원격 암모니아 플라즈마에 대한 노출을 포함한다.
[0070] 하나 또는 그 초과의 실시예들에서, 제 2 필름은 AlN, TiAlN 또는 Ta2O5 중 하나 또는 그 초과를 포함하며, 그리고 제 2 필름을 에칭하는 것은 BCl3 및 Cl2에 대한 노출을 포함한다.
[0071] 몇몇 실시예들에서, 제 2 필름은 TiO2를 포함하며, 그리고 제 2 필름을 에칭하는 것은 다이렉트 NF3 플라즈마, 원격 NF3 플라즈마, 암모니아, 다이렉트 암모니아 플라즈마 및 원격 암모니아 플라즈마 중 하나 또는 그 초과에 대한 노출을 포함한다. 몇몇 실시예들에서, 제 2 필름은 TiO2를 포함하며, 그리고 제 2 필름을 에칭하는 것은 다이렉트 NF3 플라즈마 또는 다이렉트 암모니아 플라즈마 중 하나 또는 그 초과에 대한 노출을 포함한다. 몇몇 실시예들에서, 에칭은 다이렉트 NF3 플라즈마 및/또는 다이렉트 암모니아 플라즈마에 대한 노출을 포함한다.
[0072] 설명되는 장치에 의해 증착되는 전형적인 필름들은, 비제한적으로, 금속 필름들 및 유전체 필름들을 포함한다. 전형적인 금속 필름들은, 비제한적으로, 탄탈륨, 티타늄, 하프늄, 알루미늄, 구리, 텅스텐, 은, 금, 망간, 크롬, 이들의 합금들 및 조합들을 포함한다. 전형적인 유전체 필름들은, 비제한적으로, 탄탈륨, 티타늄, 알루미늄, 구리, 텅스텐, 은, 크롬 및 이들의 조합들의, 산화물들, 질화물들, 실리사이드들 및 실리콘 질화물들을 포함한다. 이들은 단지, 증착될 수 있는 예시적인 필름들이며, 그리고 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다.
[0073] 예시적인 하프늄 전구체들은, 알킬아미도(alkylamidos), 시클로펜타디에닐(cyclopentadienyls), 할라이드(halides), 알킬(alkyls), 알콕시드(alkoxides) 및 이들의 조합들과 같은 리간드(ligand)들을 함유하는 하프늄 화합물을 포함한다. 하프늄 전구체들로서 사용되는 알킬아미도 하프늄 화합물(alkylamido hafnium compound)들은 (RR'N)4Hf 를 포함하며, 여기서 R 및 R'는 독립적으로 수소, 메틸, 에틸, 프로필 또는 부틸이다. 몇몇 구체적인 하프늄 전구체들은 (Et2N)4Hf, (Me2N)4Hf, (EtMeN)4Hf, (t-BuC5H4)2HfCl2, (C5H5)2HfCl2, (EtC5H4)2HfCl2, (Me5C5)2HfCl2, (Me5C5)HfCl3, (i-PrC5H4)2HfCl2, (i-PrC5H4)HfCl3, (t-BuC5H4)2HfMe2, (acac)4Hf, (hfac)4Hf, (tfac)4Hf, (thd)4Hf, Br4Hf, Cl4Hf, I4Hf, (NO3)4Hf, (t-BuO)4Hf, (i-PrO)4Hf, (EtO)4Hf 및 (MeO)4Hf를 포함한다.
[0074] 전형적인 알루미늄 전구체들은, 비제한적으로, 알루미늄 트리클로라이드(aluminum trichloride), 알루미늄 트리브로마이드(aluminum tribromide), 알루미늄 트리플루오라이드(aluminum trifluoride), 알루미늄 트리아이오다이드(aluminum triiodide), TMA(trimethylaluminium), DMAH(dimethylaluminium hydride), TDEAA(tris(diethylamino)aluminium), TMAA(trimethylamine alane), TEAA(triethyl-amine alane), DMEAA(dimethylethylamine alane), 트리이소부틸알루미늄(triisobutylaluminum), 트리에틸알루미늄(triethylaluminum), 디메틸알루미늄 하이드라이드(dimethylaluminum hydride) 및 디에틸알루미늄 클로라이드(diethylaluminum chloride)를 포함한다.
[0075] 전형적인 갈륨 전구체들은, 비제한적으로, TMG(trimethyl gallium), 갈륨 트리브로마이드(gallium tribromide), 갈륨 트리클로라이드(gallium trichloride), 트리에틸갈륨(triethylgallium), 트리이소프로필갈륨(triisopropylgallium), 트리스(디메틸아미도)갈륨(tris(dimethylamido)gallium) 및 트리-테르트-부틸갈륨(tri-tert-butylgallium)을 포함한다.
[0076] 환원제로서 사용될 전형적인 티타늄 화합물은, 비제한적으로, TiCl3, 및 TiI3와 같은 티타늄 할라이드(titanium halide)들; Ti(C5H5)3, Ti(C5H5)2Cl과 같은 시클로펜타디에닐 착화합물(cyclopentadienyl complex compound)들, 황산티타늄(titanium sulfate)(Ti2(SO4)3); 및 수산화티타늄(titanium hydroxide)(Ti(OH)3) 및 티타늄 염(titanium salt)들을 포함한다.
[0077] 적합한 탄탈륨 전구체들은, 비제한적으로, 탄탈륨계 유기-금속 전구체(tantalum based organo-metallic precursor) 또는 그 유도체들, 예를 들어, PDMAT(pentadimethylamino-tantalum)(Ta(NMe2)5), PEMAT(pentaethylmethylamino-tantalum)(Ta[N(C2H5CH3)2]5), PDEAT(pentadiethylamino-tantalum)(Ta(NEt2)5), TBTDET(Ta(NEt2)3NC4H9 또는 C16H39N4Ta) 및 탄탈륨 할라이드들, 및 상기 리스트된 화합물들의 유도체들 중 임의의 것 및 전부를 포함한다.
[0078] 적합한 실리콘 전구체들은, 비제한적으로, 실란(silane), 디실란(disilane), 트리메틸실란(trimethylsilane), 혼합된 유기실란(mixed organosilane), 실란 염(silane salt)들 및 이들의 조합들을 포함한다. 적합한 구리, 텅스텐, 은, 금, 망간, 크롬 및 다른 금속 전구체들은, 비제한적으로, 할라이드들 및 유기금속(organometallics)을 포함한다. 전형적인 게르마늄 전구체들은, 비제한적으로, 게르만(germane), 디게르만(digermane) 및 테트라메틸게르마늄(tetramethylgermanium)을 포함한다.
[0079] 텅스텐 전구체는, 비제한적으로, 할라이드계 텅스텐 전구체들 또는 유기 금속계 텅스텐 전구체(metal-organic based tungsten precursor)를 포함하는 임의의 적합한 텅스텐-함유 가스일 수 있다. 예를 들어, 몇몇 실시예들에서, 텅스텐 전구체는 오염화텅스텐(WCl5), WCl5의 실험식을 갖는 화합물들(예를 들어, W2Cl10, W3Cl15), 육염화텅스텐(WCl6), WCl6의 실험식을 갖는 화합물들(예를 들어, W2Cl12), 육불화텅스텐(WF6)을 포함할 수 있다.
[0080] 예시적인 플라즈마 또는 원격 플라즈마 에칭 프로세스들은 하나 또는 그 초과의 에천트(etchant)들, 이를 테면 사불화탄소(CF4), 트리플루오로메탄(trifluoromethane)(CHF3), 육불화황(SF6), 수소(H2) 등을 포함할 수 있으며, 그리고 가열 척(heating chuck)을 이용하여 또는 가열 척 없이 수행될 수 있다.
[0081] 본 개시내용의 몇몇 실시예들은 배치 프로세싱 챔버를 사용하여 티타늄 질화물 필름들을 증착하기 위한 방법들에 관한 것이다. 하나의 섹션이 티타늄 전구체를 전달하고, 이후의 섹션이 암모니아를 전달한다. 티타늄 전구체 및 그 이후의 암모니아 모두에 대한 각각의 노출은 티타늄 질화물의 단일 층의 증착을 초래한다. 티타늄 및 암모니아 주입기들의 2개의 세트들을 갖는 프로세싱 챔버의 경우, 각각의 회전에 대해 2개의 층들이 증착될 것이다. 몇몇 실시예들에서, 티타늄 전구체는, 질소 또는 다른 비활성 가스에서 희석된 티타늄 테트라클로라이드(titanium tetrachloride)를 포함한다. 암모니아는 질소 또는 다른 비활성 가스에서 희석될 수 있다. 몇몇 실시예들의 서셉터는 약 350 내지 약 550℃ 범위의 온도로 유지된다. 몇몇 실시예들의 두께는 약 100Å 이며, 저항률은 약 160 microohms-cm 이다.
[0082] 몇몇 실시예들에서, 하나 또는 그 초과의 층들은, 플라즈마 강화 원자 층 증착(PEALD) 프로세스 동안에 형성될 수 있다. 몇몇 프로세스들에서, 플라즈마의 사용은, 표면 반응들이 유리하게 되고 가능성이 있게 되는 여기된 상태로 종을 촉진하기에 충분한 에너지를 제공한다. 프로세스에 플라즈마를 도입하는 것은 연속적일 수 있거나 또는 펄싱될(pulsed) 수 있다. 몇몇 실시예들에서, 플라즈마 및 전구체들(또는 반응성 가스들)의 순차적인 펄스들이, 층을 프로세싱하기 위해 사용된다. 몇몇 실시예들에서, 시약(reagent)들은, 국부적으로(즉, 프로세싱 구역 내에서), 또는 원격으로(즉, 프로세싱 구역 외부에서) 이온화될 수 있다. 몇몇 실시예들에서, 원격 이온화는, 이온들 또는 다른 에너제틱(energetic) 또는 발광 종이, 증착되는 필름과 직접적으로 접촉하지 않도록, 증착 챔버의 상류에서 발생할 수 있다. 몇몇 PEALD 프로세스들에서, 플라즈마는, 프로세싱 챔버 외부에서, 이를 테면 원격 플라즈마 생성기 시스템에 의해 생성된다. 플라즈마는, 당업자에게 알려져 있는 임의의 적합한 플라즈마 생성 프로세스 또는 기법을 통해 생성될 수 있다. 예를 들어, 플라즈마는, 마이크로파(MW) 주파수 생성기 또는 무선 주파수(RF) 생성기 중 하나 또는 그 초과에 의해 생성될 수 있다. 플라즈마의 주파수는, 사용되고 있는 특정 반응성 종에 따라 튜닝될(tuned) 수 있다. 적합한 주파수들은, 비제한적으로, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz, 및 100 MHz를 포함한다. 본원에서 개시되는 증착 프로세스들 동안에 플라즈마들이 사용될 수 있지만, 플라즈마들이 요구되지 않을 수도 있음을 주목해야 한다. 실제로, 다른 실시예들은, 플라즈마를 이용하지 않는, 매우 온화한(mild) 조건들 하에서의 증착 프로세스들에 관한 것이다.
[0083] 하나 또는 그 초과의 실시예들에 따르면, 기판은, 층을 형성하기 전에, 그리고/또는 층을 형성한 후에, 프로세싱을 받는다. 이러한 프로세싱은, 동일한 챔버에서, 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들에서 수행될 수 있다. 몇몇 실시예들에서, 기판은, 추가적인 프로세싱을 위해, 제 1 챔버로부터 별개의 제 2 챔버로 이동된다. 기판은, 제 1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은, 제 1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동될 수 있고, 그 후에, 요구되는 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 소통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등이라고 지칭될 수 있다.
[0084] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기(degassing), 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은, 적어도 제 1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 락 챔버들과 프로세싱 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링할 수 있는 로봇을 하우징(house)할 수 있다. 이송 챔버는 전형적으로 진공 조건에서 유지되며, 그리고 기판들을, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전방 단부에 포지셔닝된 로드 락 챔버로 셔틀링하기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이고, 이들 양자 모두는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 그러한 하나의 스테이지형(staged)-진공 기판 프로세싱 장치의 상세사항들은, 1993년 2월 16일 발행되었으며 그 명칭이 "Staged-Vacuum Wafer Processing Apparatus and Method"인 Tepman 등의 미국 특허 제 5,186,718호에 개시되어 있다. 하지만, 챔버들의 정확한 배열 및 조합은, 본원에서 설명되는 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 비제한적으로, 순환 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학 세정, RTP와 같은 열 처리, 플라즈마 질화(nitridation), 탈기, 배향, 히드록실화(hydroxylation), 및 다른 기판 프로세스들을 포함한다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염이, 후속 필름을 증착하기 전의 산화 없이, 피해질 수 있다.
[0085] 하나 또는 그 초과의 실시예들에 따르면, 기판은 지속적으로 진공 또는 "로드 락" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우에, 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pump down)"된다. 비활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 몇몇 실시예들에서, 비활성 가스는, 기판의 표면 상에 층을 형성한 후에, 반응물들의 일부 또는 전부를 제거하기 위해, 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0086] 프로세싱 동안에, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 비제한적으로, 기판 지지부(예를 들어, 서셉터)의 온도를 변화시키는 것, 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는 임의의 적합한 수단에 의해 달성될 수 있다. 몇몇 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 채용되는 가스들(반응성 가스들 또는 비활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 몇몇 실시예들에서, 가열기/냉각기는, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에서 기판 표면 근처에 포지셔닝된다.
[0087] 기판은 또한, 프로세싱 동안에, 정지되어 있을 수 있거나 또는 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은, 상이한 반응성 또는 퍼지 가스들에 대한 노출 사이에서 소량만큼 회전될 수 있다. (연속적으로 또는 단계들로) 프로세싱 동안에 기판을 회전시키는 것은, 예를 들어, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0088] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위로부터 벗어나지 않고 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (18)

  1. 프로세싱 방법으로서,
    복수의 기판들을, 복수의 섹션들을 포함하는 프로세싱 챔버 내의 서셉터 어셈블리 내의 복수의 리세스들 내에 배치하는 단계 ― 각각의 기판은 표면을 갖고, 상기 프로세싱 챔버의 각각의 섹션은, 진공 및 퍼지 가스 스트림들을 포함하는 가스 커튼(gas curtain)에 의해, 인접하는 섹션들로부터 분리됨 ― ;
    상기 프로세싱 챔버의 제 1 섹션에서 상기 표면 상에 제 1 필름을 증착하기 위해, 제 1 반응성 가스 또는 온도 변화 중 하나 또는 그 초과를 포함하는 제 1 프로세스 조건(process condition)들에 상기 표면의 적어도 일부를 노출시키는 단계 ― 상기 제 1 반응성 가스는 티타늄 화합물을 포함함 ―;
    상기 기판 표면을 상기 가스 커튼을 통해 상기 프로세싱 챔버의 제 2 섹션으로 측방향으로(laterally) 이동시키는 단계; 및
    상기 프로세싱 챔버의 상기 제 2 섹션에서 제 2 필름을 형성하기 위해, 제 2 반응성 가스 또는 온도 변화 중 하나 또는 그 초과를 포함하는 제 2 프로세스 조건들에 상기 제 1 필름을 노출시키는 단계를 포함하며,
    상기 제 2 필름은 티타늄 산화물, 티타늄 질화물, 티타늄 실리사이드(silicide), 티타늄 실리콘 질화물, 티타늄 실리콘 산화물, 티타늄 실리콘 산질화물, 티타늄 알루미늄 또는 티타늄 알루미늄 질화물 중 하나 또는 그 초과를 포함하고, 상기 표면의 제 1 부분이 상기 제 1 프로세스 조건들에 노출됨과 동시에, 상기 표면의 제 2 부분은 상기 제 2 프로세스 조건들에 노출되며 그리고 상기 기판의 중간 부분은 상기 가스 커튼에 노출되는,
    프로세싱 방법.
  2. 제 1 항에 있어서,
    상기 제 1 프로세스 조건들은, 상기 제 1 반응성 가스를 포함하는 단일의 반응성 가스, 상기 제 1 반응성 가스를 포함하는 반응성 가스들의 혼합물, 상기 제 1 반응성 가스를 포함하는 원격 플라즈마, 상기 제 1 반응성 가스를 포함하는 다이렉트 플라즈마(direct plasma), 온도 변화 및 이들의 조합들로 이루어진 그룹으로부터 선택되는,
    프로세싱 방법.
  3. 제 1 항에 있어서,
    상기 제 2 프로세스 조건들은, 상기 제 2 반응성 가스를 포함하는 단일의 반응성 가스, 상기 제 2 반응성 가스를 포함하는 반응성 가스들의 혼합물, 상기 제 2 반응성 가스를 포함하는 원격 플라즈마, 상기 제 2 반응성 가스를 포함하는 다이렉트 플라즈마, 온도 변화 및 이들의 조합들로 이루어진 그룹으로부터 선택되는,
    프로세싱 방법.
  4. 제 1 항에 있어서,
    상기 제 1 프로세스 조건들 및 상기 제 2 프로세스 조건들에 대한 부가적인 순차적 노출을 더 포함하는,
    프로세싱 방법.
  5. 제 1 항에 있어서,
    상기 기판 표면을 상기 가스 커튼을 통해 상기 프로세싱 챔버의 제 3 섹션으로 측방향으로 이동시키는 단계, 및 상기 기판 표면을 상기 프로세싱 챔버의 상기 제 3 섹션에서의 온도 변화 또는 제 3 반응성 가스 중 하나 또는 그 초과를 포함하는 제 3 프로세스 조건들에 노출시키는 단계를 더 포함하며,
    상기 표면의 제 1 부분이 상기 제 2 프로세스 조건들에 노출됨과 동시에, 상기 표면의 제 2 부분은 상기 제 3 프로세스 조건들에 노출되며 그리고 상기 기판의 중간 부분은 상기 가스 커튼에 노출되는,
    프로세싱 방법.
  6. 제 5 항에 있어서,
    상기 제 3 프로세스 조건들은 상기 제 2 필름을 개선하기 위한 처리를 형성하는,
    프로세싱 방법.
  7. 제 5 항에 있어서,
    상기 제 3 프로세스 조건들은 상기 표면 상에 제 3 필름을 증착하는,
    프로세싱 방법.
  8. 제 7 항에 있어서,
    상기 기판 표면을 상기 가스 커튼을 통해 상기 프로세싱 챔버의 제 4 섹션으로 측방향으로 이동시키는 단계, 및 제 4 필름을 형성하기 위해 상기 표면을 제 4 프로세스 조건들에 노출시키는 단계를 더 포함하고,
    상기 제 4 프로세스 조건들은 상기 프로세싱 챔버의 상기 제 4 섹션에서의 온도 변화 또는 제 4 반응성 가스 중 하나 또는 그 초과를 포함하며,
    상기 표면의 제 1 부분이 상기 제 3 프로세스 조건들에 노출됨과 동시에, 상기 표면의 제 2 부분은 상기 제 4 프로세스 조건들에 노출되며 그리고 상기 표면의 중간 부분은 상기 가스 커튼에 노출되는,
    프로세싱 방법.
  9. 제 8 항에 있어서,
    상기 기판 표면을 상기 프로세싱 챔버의 상기 제 4 섹션으로부터 상기 프로세싱 챔버의 상기 제 1 섹션으로 측방향으로 이동시키는 단계, 및 상기 제 1 프로세스 조건들, 상기 제 2 프로세스 조건들, 상기 제 3 프로세스 조건들 및 상기 제 4 프로세스 조건들에 대한 노출을 반복하는 단계를 더 포함하는,
    프로세싱 방법.
  10. 제 9 항에 있어서,
    상기 표면을 상기 프로세싱 챔버의 상기 제 4 섹션으로부터 상기 프로세싱 챔버의 상기 제 1 섹션으로 이동시키는 단계는, 상기 제 3 섹션 또는 제 2 섹션에 대한 노출없이, 상기 가스 커튼을 통한 이동을 포함하는,
    프로세싱 방법.
  11. 제 8 항에 있어서,
    상기 제 3 프로세스 조건들 및 제 4 프로세스 조건들은 상기 제 2 필름을 에칭하는,
    프로세싱 방법.
  12. 제 11 항에 있어서,
    상기 제 2 필름은, TiN, 또는 TiSiN 중 하나 또는 그 초과를 포함하며, 그리고 상기 제 2 필름을 에칭하는 것은 원격 NF3 플라즈마 및/또는 암모니아 플라즈마에 대한 노출을 포함하는,
    프로세싱 방법.
  13. 제 11 항에 있어서,
    상기 제 2 필름은, TiAlN을 포함하며, 그리고 상기 제 2 필름을 에칭하는 것은 BCl3 및 Cl2에 대한 노출을 포함하는,
    프로세싱 방법.
  14. 제 11 항에 있어서,
    상기 제 2 필름은 TiO2를 포함하며, 그리고 상기 제 2 필름을 에칭하는 것은 다이렉트 또는 원격 NF3 플라즈마 및/또는 암모니아 플라즈마에 대한 노출을 포함하는,
    프로세싱 방법.
  15. 제 1 항에 있어서,
    상기 제 2 필름은 티타늄 금속을 포함하는,
    프로세싱 방법.
  16. 프로세싱 방법으로서,
    복수의 기판들을, 중심 축을 중심으로 원형으로(circularly) 배열된 복수의 섹션들을 포함하는 프로세싱 챔버 내에 배치하는 단계 ― 각각의 섹션은, 하나 또는 그 초과의 퍼지 가스 및 진공 스트림들을 포함하는 가스 커튼에 의해, 인접하는 섹션들로부터 분리되고, 각각의 기판은 표면을 갖고 서셉터 어셈블리 내의 리세스 내에 위치되고, 각각의 기판은 표면을 가짐 ―; 및
    상기 기판 표면의 적어도 일부를, 상기 표면 상에 제 1 필름을 증착하기 위해 온도 변화 또는 제 1 반응성 가스 중 하나 또는 그 초과를 포함하는 제 1 프로세스 조건들에 노출시키고 그리고 티타늄의 산화물, 질화물, 실리사이드 또는 실리콘 질화물로 이루어진 그룹으로부터 선택되는 티타늄 또는 유전체 물질을 포함하는 제 2 필름을 형성하도록 상기 제 1 필름과 반응하기 위해 온도 변화 또는 제 2 반응성 가스 중 하나 또는 그 초과를 포함하는 제 2 프로세스 조건들에 노출시키기 위해, 상기 중심 축을 중심으로 상기 기판을 회전시키는 단계
    를 포함하며, 상기 표면의 제 1 부분이 상기 제 1 프로세스 조건들에 노출됨과 동시에, 상기 표면의 제 2 부분은 상기 제 2 프로세스 조건들에 노출되며 그리고 상기 기판의 중간 부분은 상기 가스 커튼에 노출되는,
    프로세싱 방법.
  17. 제 16 항에 있어서,
    상기 프로세싱 챔버 내의 상기 기판의 각각의 회전은 상기 기판을 제 1 프로세스 조건들 및 제 2 프로세스 조건들에 교번(alternating)하여 노출시키는,
    프로세싱 방법.
  18. 프로세싱 방법으로서,
    복수의 기판들을, 중심 축을 중심으로 원형으로 배열된 복수의 섹션들을 포함하는 프로세싱 챔버 내의 서셉터 어셈블리 내의 복수의 리세스들 내에 배치하는 단계 ― 각각의 섹션은, 하나 또는 그 초과의 퍼지 가스 및 진공 스트림들을 포함하는 가스 커튼에 의해, 인접하는 섹션들로부터 분리되고, 각각의 기판은 표면을 가짐 ―;
    제 1 필름을 형성하기 위해 상기 프로세싱 챔버의 제 1 섹션에서 제 1 프로세스 조건에 상기 기판을 노출시키는 단계 ― 상기 제 1 프로세스 조건은 제 1 반응성 가스 또는 온도 변화 중 하나 또는 그 초과를 포함함 ―;
    상기 기판을 상기 제 1 섹션으로부터 가스 커튼을 통해, 제 2 프로세스 조건을 갖는, 상기 프로세싱 챔버의 제 2 섹션으로 이동시키기 위해, 상기 프로세싱 챔버의 중심 축을 중심으로 기판을 회전시키고, 그에 따라, 이동 중의 어떠한 시점(some point)에서, 상기 기판의 적어도 하나의 부분이 상기 제 1 프로세스 조건에 노출되는 동안, 상기 기판의 적어도 하나의 부분은 상기 제 2 프로세스 조건에 노출되며 그리고 상기 기판의 중간 부분은 상기 가스 커튼에 노출되게 하는 단계;
    제 2 필름을 형성하기 위해, 상기 프로세싱 챔버의 상기 제 2 섹션에서 상기 기판을 상기 제 2 프로세스 조건에 노출시키는 단계 ― 제 2 프로세스 조건은 온도 변화 또는 제 2 반응성 가스 중 하나 또는 그 초과를 포함함 ― ;
    상기 기판을 상기 제 2 섹션으로부터 가스 커튼을 통해 상기 프로세싱 챔버의 제 3 섹션으로 이동시키기 위해, 상기 프로세싱 챔버의 상기 중심 축을 중심으로 상기 기판을 회전시키고, 그에 따라, 이동 중의 어떠한 시점에서, 상기 기판의 적어도 하나의 부분이 상기 제 2 프로세스 조건에 노출되는 동안, 상기 기판의 적어도 하나의 부분은 제 3 프로세스 조건에 노출되며 그리고 상기 기판의 중간 부분은 상기 가스 커튼에 노출되게 하는 단계;
    제 3 필름을 형성하기 위해, 상기 프로세싱 챔버의 상기 제 3 섹션에서 상기 기판을 상기 제 3 프로세스 조건에 노출시키는 단계 ― 상기 제 3 프로세스 조건은 온도 변화 또는 제 3 반응성 가스 중 하나 또는 그 초과를 포함함 ― ;
    상기 기판을 상기 제 3 섹션으로부터 가스 커튼을 통해 상기 프로세싱 챔버의 제 4 섹션으로 이동시키기 위해, 상기 프로세싱 챔버의 상기 중심 축을 중심으로 상기 기판을 회전시키고, 그에 따라, 이동 중의 어떠한 시점에서, 상기 기판의 적어도 하나의 부분이 상기 제 3 프로세스 조건에 노출되는 동안, 상기 기판의 적어도 하나의 부분은 제 4 프로세스 조건에 노출되며 그리고 상기 기판의 중간 부분은 상기 가스 커튼에 노출되게 하는 단계;
    제 4 필름을 형성하기 위해, 상기 프로세싱 챔버의 상기 제 4 섹션에서 상기 기판을 상기 제 4 프로세스 조건에 노출시키는 단계 ― 상기 제 4 프로세스 조건은 온도 변화 또는 제 4 반응성 가스 중 하나 또는 그 초과를 포함함 ― ; 및
    상기 제 2 섹션 또는 상기 제 3 섹션을 통과하지 않으면서, 상기 기판을 상기 제 4 섹션으로부터 가스 커튼을 통해 상기 제 1 섹션으로 이동시키기 위해, 상기 프로세싱 챔버의 상기 중심 축을 중심으로 상기 기판을 회전키는 단계
    를 포함하며, 상기 제 1 반응성 가스, 상기 제 2 반응성 가스, 상기 제 3 반응성 가스 또는 상기 제 4 반응성 가스 중 하나 또는 그 초과는 실란을 포함하고, 반응성 가스 노출들 간에 상기 프로세싱 챔버의 퍼징을 요구하는 프로세스와 비교하여, 상기 제 4 필름에서의 결함 형성이 감소되는,
    프로세싱 방법.
KR1020167020988A 2014-01-05 2014-12-31 공간적인 원자 층 증착 또는 펄스형 화학 기상 증착을 사용하는 필름 증착 KR101840759B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461923731P 2014-01-05 2014-01-05
US61/923,731 2014-01-05
US14/587,131 2014-12-31
PCT/US2014/072929 WO2015103358A1 (en) 2014-01-05 2014-12-31 Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US14/587,131 US9514933B2 (en) 2014-01-05 2014-12-31 Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition

Publications (2)

Publication Number Publication Date
KR20160105497A KR20160105497A (ko) 2016-09-06
KR101840759B1 true KR101840759B1 (ko) 2018-05-04

Family

ID=53494004

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167020988A KR101840759B1 (ko) 2014-01-05 2014-12-31 공간적인 원자 층 증착 또는 펄스형 화학 기상 증착을 사용하는 필름 증착

Country Status (4)

Country Link
US (1) US9514933B2 (ko)
JP (1) JP2017503079A (ko)
KR (1) KR101840759B1 (ko)
WO (1) WO2015103358A1 (ko)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
TWI696724B (zh) 2014-09-10 2020-06-21 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
TWI676709B (zh) * 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
JP6388553B2 (ja) 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
CN108026637A (zh) 2015-09-11 2018-05-11 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US20170076917A1 (en) * 2015-09-11 2017-03-16 Applied Materials, Inc. Plasma Module With Slotted Ground Plate
US20170088952A1 (en) * 2015-09-28 2017-03-30 Ultratech, Inc. High-throughput multichamber atomic layer deposition systems and methods
CN108474114A (zh) * 2015-10-06 2018-08-31 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法
TWI715645B (zh) * 2015-10-22 2021-01-11 美商應用材料股份有限公司 正形及縫隙填充非晶矽薄膜的沉積
CN108369896A (zh) * 2015-12-10 2018-08-03 应用材料公司 利用空间原子层沉积的原位膜退火
US9831099B2 (en) * 2016-02-12 2017-11-28 Tokyo Electron Limited Method and apparatus for multi-film deposition and etching in a batch processing system
JP6946320B2 (ja) * 2016-03-13 2021-10-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スペーサ用の窒化ケイ素膜の選択的堆積
TWI732846B (zh) * 2016-04-25 2021-07-11 美商應用材料股份有限公司 透過控制前驅物混合來強化金屬的空間ald
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
KR102441431B1 (ko) 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US11158489B2 (en) * 2016-11-08 2021-10-26 Applied Materials, Inc. Methods and systems to modulate film stress
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
WO2018191484A1 (en) * 2017-04-13 2018-10-18 Applied Materials, Inc. Method and apparatus for deposition of low-k films
KR102408720B1 (ko) 2017-06-07 2022-06-14 삼성전자주식회사 상부 돔을 포함하는 반도체 공정 챔버
KR20200019766A (ko) * 2017-07-13 2020-02-24 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 핵 생성 층들을 증착하기 위한 방법들 및 장치
TWI772459B (zh) 2017-07-14 2022-08-01 荷蘭商Asm Ip控股公司 用於製備自組裝單層的方法
US20200066572A1 (en) * 2017-10-27 2020-02-27 Applied Materials, Inc. Methods Of Operating A Spatial Deposition Tool
US20200090978A1 (en) * 2017-10-27 2020-03-19 Applied Materials, Inc. Methods Of Operating A Spatial Deposition Tool
TWI802439B (zh) * 2017-10-27 2023-05-11 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
KR102108966B1 (ko) 2017-10-31 2020-05-12 (주)울텍 원자층 증착 시스템
WO2019118601A1 (en) * 2017-12-13 2019-06-20 Applied Materials, Inc. Spatial atomic layer deposition chamber with plasma pulsing to prevent charge damage
KR20190096540A (ko) 2018-02-09 2019-08-20 (주)울텍 원자층 증착 시스템
US11955331B2 (en) * 2018-02-20 2024-04-09 Applied Materials, Inc. Method of forming silicon nitride films using microwave plasma
KR20200142601A (ko) * 2018-05-16 2020-12-22 어플라이드 머티어리얼스, 인코포레이티드 원자 층 자기 정렬 기판 프로세싱 및 통합 툴셋
TW202026452A (zh) 2018-10-04 2020-07-16 日商Adeka股份有限公司 原子層堆積法用薄膜形成用原料、薄膜形成用原料、薄膜之製造方法及化合物
CN113166938A (zh) * 2018-10-29 2021-07-23 应用材料公司 操作空间沉积工具的方法
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
US20220316063A1 (en) * 2019-09-04 2022-10-06 Gallium Enterprises Pty Ltd RPCVD Apparatus and Methods for Forming a Film
KR20220161819A (ko) 2021-05-31 2022-12-07 (주)울텍 원자층 증착 시스템

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090035941A1 (en) 2007-08-01 2009-02-05 Park Jin-Ho Methods and apparatus for manufacturing a semiconductor device in a processing chamber
US20130196078A1 (en) 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6016420A (ja) 1983-07-08 1985-01-28 Mitsubishi Electric Corp 選択的エピタキシヤル成長方法
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
US5647945A (en) 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5851367A (en) 1996-10-11 1998-12-22 Sharp Microelectronics Technology, Inc. Differential copper deposition on integrated circuit surfaces and method for same
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
US6566251B2 (en) 2001-03-29 2003-05-20 Georgia Tech Research Corporation Method for selective deposition of materials in micromachined molds
US6730354B2 (en) 2001-08-08 2004-05-04 Agilent Technologies, Inc. Forming ferroelectric Pb(Zr,Ti)O3 films
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
KR100481073B1 (ko) 2002-07-09 2005-04-07 삼성전자주식회사 박막 형성 방법과 이를 이용한 게이트 전극 및 트렌지스터 형성 방법
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
KR20060012253A (ko) 2002-08-09 2006-02-07 이 아이 듀폰 디 네모아 앤드 캄파니 구리 금속 증착을 위한 구리의 피롤릴 착물
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
CN102154628B (zh) 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
KR20070089197A (ko) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
KR100596495B1 (ko) 2004-12-13 2006-07-04 삼성전자주식회사 금속 화합물의 증착 방법 및 이를 수행하기 위한 장치
US20060128127A1 (en) 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US20070264427A1 (en) 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
BRPI0709199A2 (pt) 2006-03-26 2011-06-28 Lotus Applied Technology Llc sistema e método para depositar uma pelìcula fina em um substrato flexìvel
US8287647B2 (en) 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
KR100931331B1 (ko) 2007-08-24 2009-12-15 주식회사 케이씨텍 박막 증착장치의 분사유닛
KR100920324B1 (ko) 2007-08-24 2009-10-07 주식회사 케이씨텍 박막 증착장치
KR100946159B1 (ko) 2007-08-24 2010-03-11 주식회사 케이씨텍 박막 증착장치
US7572686B2 (en) 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR100949913B1 (ko) 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
KR20090055443A (ko) 2007-11-28 2009-06-02 주식회사 케이씨텍 원자층 증착 장치
KR100949914B1 (ko) 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
KR100960958B1 (ko) 2007-12-24 2010-06-03 주식회사 케이씨텍 박막 증착 장치 및 증착 방법
KR20090069075A (ko) 2007-12-24 2009-06-29 주식회사 케이씨텍 원자층 증착 장치용 서셉터 어셈블리
KR100936695B1 (ko) 2007-12-26 2010-01-13 주식회사 케이씨텍 원자층 증착장치
KR100936694B1 (ko) 2007-12-27 2010-01-13 주식회사 케이씨텍 플라즈마 발생부를 구비하는 원자층 증착 장치
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US20090199739A1 (en) 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8283201B2 (en) 2008-06-05 2012-10-09 American Air Liquide, Inc. Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
KR101473334B1 (ko) 2008-06-30 2014-12-16 주식회사 케이씨텍 원자층 증착 장치
KR101485580B1 (ko) 2008-07-01 2015-01-22 주식회사 케이씨텍 원자층 증착 장치
TWI641292B (zh) 2008-08-04 2018-11-11 Agc北美平面玻璃公司 電漿源
JP5662334B2 (ja) 2008-12-04 2015-01-28 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長用の流入口要素及び化学気相成長方法
KR101028408B1 (ko) 2008-12-29 2011-04-13 주식회사 케이씨텍 가스분사 유닛 및 이를 구비하는 원자층 증착장치
KR101072670B1 (ko) 2009-11-09 2011-10-11 주식회사 케이씨텍 원자층 증착장치
KR101081694B1 (ko) 2009-11-10 2011-11-15 주식회사 케이씨텍 다성분 박막의 증착을 위한 원자층 증착장치
US8551248B2 (en) 2010-04-19 2013-10-08 Texas Instruments Incorporated Showerhead for CVD depositions
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
KR101134277B1 (ko) 2010-10-25 2012-04-12 주식회사 케이씨텍 원자층 증착 장치
KR101095687B1 (ko) 2010-10-25 2011-12-20 주식회사 케이씨텍 8분기 구조를 갖는 원자층 증착 장치
KR101136302B1 (ko) 2010-11-16 2012-04-19 주식회사 케이씨텍 원자층 증착 장치 및 그의 플라즈마 감지 방법
US8686138B2 (en) 2011-07-22 2014-04-01 American Air Liquide, Inc. Heteroleptic pyrrolecarbaldimine precursors
TWI551708B (zh) 2011-07-22 2016-10-01 應用材料股份有限公司 使用金屬前驅物之原子層沉積法
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US20130143415A1 (en) 2011-12-01 2013-06-06 Applied Materials, Inc. Multi-Component Film Deposition
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
US20130239889A1 (en) * 2012-03-14 2013-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Valve purge assembly for semiconductor manufacturing tools
KR20130106906A (ko) 2012-03-21 2013-10-01 주식회사 윈텔 기판 처리 장치 및 기판 처리 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090035941A1 (en) 2007-08-01 2009-02-05 Park Jin-Ho Methods and apparatus for manufacturing a semiconductor device in a processing chamber
US20130196078A1 (en) 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System

Also Published As

Publication number Publication date
WO2015103358A1 (en) 2015-07-09
JP2017503079A (ja) 2017-01-26
KR20160105497A (ko) 2016-09-06
US9514933B2 (en) 2016-12-06
US20150194298A1 (en) 2015-07-09

Similar Documents

Publication Publication Date Title
KR101840759B1 (ko) 공간적인 원자 층 증착 또는 펄스형 화학 기상 증착을 사용하는 필름 증착
US20220059362A1 (en) Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
JP6529973B2 (ja) バッチ処理用傾斜プレート及びその使用方法
US20180312966A1 (en) Methods For Spatial Metal Atomic Layer Deposition
US9716012B2 (en) Methods of selective layer deposition
TWI630281B (zh) 沉積金屬合金膜之方法
TWI643971B (zh) 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
TW201800598A (zh) 用於間隔墊應用之氮化矽薄膜的選擇性沉積
TW201732925A (zh) 用於選擇性乾式蝕刻的方法及設備
US11066743B2 (en) Selective atomic layer deposition of ruthenium
US20130210238A1 (en) Multi-Injector Spatial ALD Carousel and Methods of Use
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
US10273578B2 (en) Top lamp module for carousel deposition chamber
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
KR20150020528A (ko) 세장형 노즐을 갖는 cvd 및 ald를 위한 장치 및 사용 방법들

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right