KR20200142601A - 원자 층 자기 정렬 기판 프로세싱 및 통합 툴셋 - Google Patents

원자 층 자기 정렬 기판 프로세싱 및 통합 툴셋 Download PDF

Info

Publication number
KR20200142601A
KR20200142601A KR1020207036019A KR20207036019A KR20200142601A KR 20200142601 A KR20200142601 A KR 20200142601A KR 1020207036019 A KR1020207036019 A KR 1020207036019A KR 20207036019 A KR20207036019 A KR 20207036019A KR 20200142601 A KR20200142601 A KR 20200142601A
Authority
KR
South Korea
Prior art keywords
processing
chamber
substrate
station
film
Prior art date
Application number
KR1020207036019A
Other languages
English (en)
Inventor
스케투 아룬 파리크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200142601A publication Critical patent/KR20200142601A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • C23C16/4588Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

하나 이상의 웨이퍼들을 프로세싱하기 위한 장치 및 방법들이 설명된다. 기판은, 기판 표면 상에 필름의 자기-정렬 성장을 제공하기 위해 작은 증분들로 필름을 증착, 어닐링, 처리 및 선택적으로 에칭하기 위해, 복수의 프로세스 스테이션들에 노출된다.

Description

원자 층 자기 정렬 기판 프로세싱 및 통합 툴셋
[0001] 본 개시내용은 일반적으로, 박막(thin film)들을 증착하기 위한 장치에 관한 것이다. 특히, 본 개시내용은, 기판 상에 자기-정렬 필름(self-aligned film)을 증착하기 위해 복수의 별개의 프로세싱 스테이션들을 갖는 장치에 관한 것이다.
[0002] 현재 원자 층 증착(ALD; atomic layer deposition) 프로세스들은 다수의 잠재적인 이슈들 및 어려움들을 갖는다. 많은 ALD 화학물질들(예컨대, 전구체들 및 반응물들)은 "비공존성"을 갖는데, 이는 화학물질들이 함께 혼합될 수 없다는 것을 의미한다. 비공존성 화학물질들이 혼합되면, ALD 프로세스 대신 화학 기상 증착(CVD; chemical vapor deposition) 프로세스가 발생할 수 있다. CVD 프로세스는 일반적으로, ALD 프로세스보다 두께 제어가 덜 되고, 그리고/또는 결과 디바이스에서 결함들을 유발할 수 있는 가스상(gas phase) 입자들의 생성을 초래할 수 있다. 단일 반응성 가스가 따로따로 프로세싱 챔버 안으로 흐르는 통상적인 시간-도메인 ALD 프로세스의 경우, 화학물질들이 가스상에서 혼합되지 않도록, 긴 퍼지/펌프 아웃 시간이 발생한다. 공간 ALD 챔버는, 시간-도메인 ALD 챔버가 펌핑/퍼지할 수 있는 것보다 더 빠르게 한 환경으로부터 다른 환경으로 하나 이상의 웨이퍼(들)를 이동시켜서, 그 결과 스루풋이 높아질 수 있다.
[0003] 전자 디바이스 스케일링(scaling)(예컨대, 10 nm 미만)에 따라, 자기-정렬 피처(self-aligned feature)들을 형성하는 것은 극도로 어렵다. 임의의 오정렬이 쇼팅(shorting)을 초래하여서, 디바이스 성능이 저하된다. 부가적으로, 이를테면, 실리사이드 등의 자기-정렬 프로세스들은 큰 규모의 확산에 기인하여 측면 성장을 야기한다. 측면 성장은 또한, 쇼팅을 야기할 수 있다. 현재 최첨단 자기-정렬 방식들은 증착, 어닐링, 제거와 같은 다수의 프로세스들을 사용하여 자기-정렬 피처들을 생성한다.
[0004] 그러므로, 기술분야에서는, 필름들의 오정렬이 거의 또는 전혀 없이 자기-정렬 필름들을 형성하는 개선된 증착 장치 및 방법들이 필요하다.
[0005] 본 개시내용의 하나 이상의 실시예들은 복수의 프로세스 스테이션들을 포함하는 프로세싱 툴들에 관한 것이다. 각각의 프로세스 스테이션은, 인접한 프로세스 스테이션들의 프로세싱 구역들과는 분리된 프로세싱 구역을 제공한다. 기판 지지부는 프로세싱을 위한 웨이퍼를 지지하기 위한 지지 표면을 갖는다. 기판 지지부는 복수의 프로세스 스테이션들 중 적어도 2 개의 프로세스 스테이션들 사이에서 웨이퍼를 이동시키도록 구성된다. 제어기는 기판 지지부 및 복수의 프로세스 스테이션들에 연결된다. 제어기는, 기판 지지부를 활성화하여 스테이션들 사이에서 웨이퍼를 이동시키도록, 그리고 프로세스 스테이션들 각각에서 발생하는 프로세스를 제어하도록 구성된다. 복수의 프로세스 스테이션들은 증착 스테이션, 어닐링 스테이션 및 처리(treatment) 스테이션을 포함한다.
[0006] 본 개시내용의 부가적인 실시예들은 필름을 증착하기 위한 방법들에 관한 것이다. 기판은, 기판의 표면 상에 필름을 증착하기 위해 증착 스테이션으로 이동된다. 기판은, 기판 상의 필름을 어닐링하기 위해 어닐링 스테이션으로 이동된다. 기판은, 어닐링된 필름을 플라즈마로 처리하기 위해 처리 스테이션으로 이동된다. 증착 스테이션, 어닐링 스테이션 및 처리 스테이션 각각은, 기판을 이동시키고 필름을 증착하고 필름을 어닐링하며 이 어닐링된 필름을 처리하도록 구성된, 제어기를 갖는 통합 프로세싱 툴의 일부이다.
[0007] 본 개시내용의 추가적인 실시예들은 필름을 증착하기 위한 방법들에 관한 것이다. 제1 기판 표면 및 제2 기판 표면을 갖는 기판이 증착 스테이션에 제공된다. 제1 기판 표면은 제2 기판 표면과는 상이한 재료를 포함한다. 증착 스테이션에서 필름이 제1 기판 표면 및 제2 기판 표면 상에 증착된다. 필름은 약 20 Å 이하의 두께를 갖는다. 기판은, 필름을 어닐링하여 어닐링된 필름을 형성하기 위해, 증착 스테이션으로부터 어닐링 스테이션으로 이동된다. 기판은, 어닐링된 필름을 플라즈마로 처리하여 처리된 어닐링된 필름을 형성하기 위해, 처리 스테이션으로 이동된다. 플라즈마는 제1 기판 표면 또는 제2 기판 표면 중 적어도 하나의 기판 표면 상의 필름의 적어도 하나의 특성을 변화시킨다. 기판은, 제1 기판 표면에 비해 제2 기판 표면으로부터 필름을 선택적으로 에칭하기 위해 에칭 스테이션으로 이동된다. 제1 기판 표면 상에 약 1000 Å 이상의 두께를 갖는 필름을 선택적으로 증착하기 위해, 필름을 증착하는 것, 필름을 어닐링하는 것, 필름을 처리하는 것, 및 필름을 선택적으로 에칭하는 것이 반복된다.
[0008] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 본 개시내용의 더욱 상세한 설명이 실시예들을 참조함으로써 이루어질 수 있으며, 이 실시예들 중 일부는 첨부된 도면들에서 예시된다. 그러나, 첨부된 도면들이 본 개시내용의 통상적인 실시예들만을 예시하며 이에 따라 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 동일하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1a는 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 툴의 개략도를 도시하고;
[0010] 도 1b 내지 도 1h는 본 개시내용의 하나 이상의 실시예에 따른 증착 프로세스를 예시하고;
[0011] 도 1j는 본 개시내용의 하나 이상의 실시예에 따른, 도 1b 내지 도 1h에 예시된 증착 프로세스의 흐름도를 예시하고;
[0012] 도 2는 본 개시내용의 하나 이상의 실시예에 따른, 지지 조립체의 저면 사시도를 도시하고;
[0013] 도 3은 본 개시내용의 하나 이상의 실시예에 따른, 지지 조립체의 상면 사시도를 도시하고;
[0014] 도 4는 본 개시내용의 하나 이상의 실시예에 따른, 지지 조립체의 상면 사시도를 도시하고;
[0015] 도 5는 라인(IV-IV)을 따라 취해진, 도 4의 지지 조립체의 개략적인 단면도를 도시하고;
[0016] 도 6은 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 챔버의 단면 사시도를 도시하고;
[0017] 도 7은 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 챔버의 단면도를 도시하고;
[0018] 도 8은 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 플랫폼의 개략도를 도시하고;
[0019] 도 9a 내지 도 9i는 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 챔버 내의 프로세스 스테이션들의 개략도들을 도시하며; 그리고
[0020] 도 10a 및 도 10b는 본 개시내용의 하나 이상의 실시예에 따른, 프로세스의 개략도를 도시한다.
[0021] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에서 제시된 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하며, 다양한 방식들로 수행되거나 또는 실시될 수 있다.
[0022] 본원에서 사용된 "기판"은, 제조 프로세스 동안 필름 프로세싱이 수행되는, 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 실리콘, 실리콘 옥사이드, 스트레인드(strained) 실리콘, SOI(silicon on insulator), 탄소 도핑된 실리콘 옥사이드들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 아세나이드, 유리, 사파이어와 같은 재료들, 그리고 금속들, 금속 나이트라이드들, 금속 합금들 및 다른 전도성 재료들과 같은 임의의 다른 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함(이에 제한되지 않음)한다. 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링 및/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판 자체의 표면 상에 직접적으로 필름 프로세싱하는 것 이외에도, 본 개시내용에서, 개시된 필름 프로세싱 단계들 중 임의의 필름 프로세싱 단계는 또한, 아래에서 더욱 상세히 개시되는 바와 같이 기판 상에 형성된 하부층(under-layer)에 대해 수행될 수 있으며, "기판 표면"이란 용어는 맥락이 표시하는 그러한 하부층을 포함하는 것으로 의도된다. 따라서, 예컨대, 필름/층 또는 부분 필름/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 필름/층의 노출된 표면이 기판 표면이 된다.
[0023] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등의 용어들은, 기판 표면 또는 기판 표면 상에 형성된 필름과 반응할 수 있는 임의의 가스상 종(gaseous species)을 지칭하기 위해 상호교환가능하게 사용된다.
[0024] 본 개시내용의 일부 실시예들은, 하부 기판 재료들에 기반하여 자기-정렬 피처들의 제조를 가능하게 하는 통합 툴셋들을 제공한다. 일부 실시예들은, 상이한 피처들 또는 표면들 상의 상이한 필름들(예컨대, 금속 상의 금속 실리사이드, 및 유전체 상의 SiN)의 성장을 가능하게 한다. 일부 실시예들에서, 통합 툴은, 회전 플랫폼이 있든 없든 표면을 증착, 어닐링, 처리하기 위한 그리고 선택적인 제거 프로세스들을 위한 다수의 스테이션들을 포함한다. 이 순서는, 측면 성장(침식(encroachment))을 생성하지 않고, 피처에서의 매우 제어된 성장을 가능하게 하기 위해 반복될 수 있다. 본 개시내용의 실시예들은 평면 기판들, 피처들(예컨대, 비아들, 트렌치들, 핀들)을 갖는 기판들 및 하드마스크/패터닝 애플리케이션들에 사용될 수 있다. 평면 애플리케이션은 금속 표면 상에 금속 실리사이드 필름, 그리고 인접한 유전체 표면 상에 나이트라이드 필름을 형성할 수 있다. 표면 피처들을 사용하는 애플리케이션은, 금속 실리사이드가 금속 상에 형성되고 나이트라이드가 옥사이드 상에 형성되도록, 금속/옥사이드 표면들 위에 비아들을 형성하는 것을 포함(그러나, 이에 제한되지 않음)한다. 금속이 스페이서 재료 상에 있는 예시적인 하드마스크/패터닝 애플리케이션에서, 금속 실리사이드가 바닥 표면 및 상단 표면 상에 형성될 수 있다.
[0025] 도 1a는 자기-정렬 피처들을 형성하기 위한 통합 프로세싱 툴(10)을 예시한다. 프로세싱 툴(10)은 복수의 프로세스 스테이션들(11, 12, 13, 14)을 갖는데, 각각의 스테이션은 인접한 프로세스 스테이션들과는 분리된 프로세싱 구역(11a, 12a, 13a, 14a)을 제공한다. 예시된 예시적인 실시예는 4 개의 스테이션들을 갖지만; 당업자는 4 개보다 많거나 또는 적은 스테이션들이 있을 수 있다는 것을 인식할 것이다. 개별적인 스테이션들은 가스 커튼들 또는 물리적 장벽들에 의해 인접한 스테이션들과 분리될 수 있다.
[0026] 기판 지지부(15)(파선으로서 도시됨)는 프로세싱을 위한 기판 또는 웨이퍼를 지지하기 위한 지지 표면을 갖는다. 기판 지지부는 복수의 프로세싱 스테이션들 중 적어도 2 개의 프로세싱 스테이션들 사이에서 웨이퍼를 이동시키도록 구성된다. 일부 실시예들에서, 기판 지지부는 모든 프로세스 스테이션들 사이에서 웨이퍼를 이동시키도록 구성된다. 이러한 방식으로 사용되는 바와 같이, "사이"란 용어는 개별적인 프로세스 스테이션들의 프로세싱 구역들을 포함한다.
[0027] 제어기(16)가 기판 지지부(15) 및 복수의 프로세스 스테이션들(11, 12, 13, 14)에 연결될 수 있다. 제어기는, 기판 지지부(15)를 활성화하여 스테이션들 사이에서 웨이퍼를 이동시키도록, 그리고 프로세스 스테이션들 각각에서 발생하는 프로세스를 제어하도록 구성될 수 있다. 일부 실시예들에서, 복수의 프로세스 스테이션들(11, 12, 13, 14)은, 각각, 증착 스테이션, 어닐링 스테이션, 처리 스테이션 및 선택적인 에칭 스테이션을 포함한다.
[0028] 도 1b 내지 도 1h를 참조하면, 예시적인 프로세스는 2 개의 상이한 표면 화학물질들을 갖는 평면 기판에 대해 예시된다. 도 1j는 도 1b 내지 도 1h에 예시된 프로세스(500)의 흐름도를 예시한다. 510에서, 기판은 프로세싱을 위한 환경에 제공되거나 또는 포지셔닝된다. 예컨대, 기판은 프로세스 스테이션(11)에 포지셔닝될 수 있고, 이에 따라 프로세싱을 위해 제공된다. 도 1b에 도시된 바와 같이, 기판(21)은 제1 표면(22a)을 갖는 제1 재료(22), 그리고 제1 표면(22a) 및 제1 재료(22)와는 상이한 제2 표면(23a)을 갖는 제2 재료(23)를 갖는다. 프로세스 스테이션(11)은 필름을 형성할 수 있는 임의의 적절한 증착 챔버를 포함할 수 있다. 일부 실시예들에서, 증착 스테이션은 ALD(atomic layer deposition) 챔버, PEALD(plasma enhanced atomic layer deposition) 챔버, CVD(chemical vapor deposition) 챔버 또는 PECVD(plasma enhanced chemical vapor deposition) 챔버 중 하나 이상을 포함한다. 일부 실시예들에서, 제1 재료(22)는 금속(예컨대, 코발트, 구리, 티타늄)을 포함한다. 일부 실시예들에서, 제2 재료(23)는 유전체(예컨대, 옥사이드)를 포함한다.
[0029] 일부 실시예들에서, 프로세스 스테이션들은 증착 프로세스의 일부분에 대한 노출을 포함할 수 있다. 일부 실시예들에서, 프로세스 스테이션(11)은 기판을 제1 반응물에 노출시킬 수 있고, 프로세스 스테이션(12)은, 제1 반응물과 반응하고 필름을 증착하기 위해, 기판을 제2 반응물에 노출시킬 수 있다. 이와 관련하여, 2 개 이상의 스테이션이 단일 증착 프로세스에 사용될 수 있다.
[0030] 520에서, 프로세스 스테이션(11)의 증착 챔버에서, 도 1c에 도시된 바와 같이 필름(24)이 기판(21) 상에 형성된다. 필름(24)은, 제1 재료(22) 및 제2 재료(23) 둘 모두 상에서 실질적으로 동일한 두께로 존재하도록 컨포멀하게(conformally) 형성될 수 있거나, 또는 제2 재료(23)에 비해 제1 재료(22)에 대해 선택적일 수 있다. 선택성의 정도는 제1 재료(22):제2 재료(23)에 대해 약 1:1 내지 약 50:1의 범위일 수 있다.
[0031] 필름(24)은 임의의 적절한 두께로 형성될 수 있다. 일부 실시예들에서, 필름(24)은 증착되는 재료의 약 하나의 단층 이하의 두께를 갖는다. 일부 실시예들에서, 필름(24)의 두께는 0.1 Å를 초과하는데, 최대 약 10 Å, 15 Å, 20 Å, 25 Å, 30 Å, 35 Å 또는 40 Å이다. 일부 실시예들에서, 필름은 실리콘, 티타늄, 구리, 코발트, 텅스텐 또는 알루미늄 중 하나 이상을 포함한다.
[0032] 필름(24)의 형성 후에, 기판(21)은 프로세스 스테이션(11)으로부터 프로세스 스테이션(12)으로 이동된다. 도 1d에 그리고 530에 도시된 바와 같이, 필름(24)은, 어닐링된 필름(25)을 형성하기 위해 프로세스 스테이션(12)에서 어닐링 프로세스에 노출될 수 있다. 일부 실시예들에서, 어닐링 스테이션은 레이저 어닐링, 열 어닐링 또는 플래시 어닐링 챔버 중 하나 이상을 포함한다.
[0033] 어닐링된 필름(25)을 형성한 후에, 기판(21)은 프로세스 스테이션(12)으로부터 프로세스 스테이션(13)으로 이동된다. 도 1e에 그리고 540에 도시된 바와 같이, 어닐링된 필름(25)은, 처리된 필름(26)을 형성하도록 처리된다. 처리는 예컨대 필름 조성(composition)에 따른 임의의 적절한 처리일 수 있다. 일부 실시예들에서, 처리는 플라즈마 프로세싱 챔버를 포함한다. 플라즈마는 어닐링된 필름(25)의 적어도 하나의 특성을 변화시킨다. 일부 실시예들에서, 처리는, 처리된 필름(26a)과 처리된 필름(26b) 사이에 차이들이 있도록, 제1 표면(22a) 상의 어닐링된 필름(25)의 특성을 제2 표면(23a) 상에서와는 상이하게 변화시킨다.
[0034] 일부 실시예들에서, 도 1f에 도시된 바와 같이, 처리는 제2 표면(23a)으로부터, 어닐링된 필름을 제거한다. 이들 실시예들에서, 기판(21)은 에칭 프로세스(아래에 설명됨) 없이 프로세싱될 수 있다. 이러한 종류의 실시예에서, 프로세스는, 기판을 다시 프로세스 스테이션(11)으로 이동시킴으로써 반복될 수 있다.
[0035] 일부 실시예들에서, 프로세싱 툴(10)은 프로세스 스테이션(14)으로서 에칭 스테이션을 포함한다. 제1 표면(22a) 상의 필름의 특성들이 제2 표면(23a) 상의 필름의 특성들과는 상이한, 도 1e의 실시예와 같은 실시예에서, 기판(21)은 프로세스 스테이션(13)으로부터 프로세스 스테이션(14)으로 이동될 수 있다. 도 1g에 그리고 550에 도시된 바와 같이, 일부 실시예들에서, 기판(21)은, 제1 표면(22a)으로부터 처리된 필름(26a)에 비해, 제2 표면(23a)으로부터 처리된 필름(26b)을 선택적으로 제거할 수 있는 에칭 프로세스에 노출된다. 도 1g에 예시된 바와 같이, 처리된 필름(26a)의 두께는 에칭 프로세스의 일부로서 감소될 수 있는 반면, 처리된 필름(26b)은 실질적으로 완전히 제거된다(95 중량% 초과).
[0036] 에칭 스테이션은, 제1 표면(22a)으로부터 필름(26a)을 제거하는 것에 비해, 제2 표면(23a)으로부터 필름(26b)을 선택적으로 제거할 수 있는 임의의 적절한 에칭 챔버일 수 있다. 일부 실시예들에서, 에칭 스테이션은 화학 에칭, 반응성 이온 에칭 또는 등방성 에칭 챔버 중 하나 이상을 포함한다.
[0037] 560에서, 미리 결정된 두께의 필름(26a)이 형성되었는지 여부가 결정된다. 미리 결정된 두께의 필름(26a)이 형성되지 않았으면, 프로세스(500)는 기판 상에 필름(24)을 증착하기 위해 520으로 복귀한다. 미리 결정된 두께가 형성되었다면, 도 1h에 도시된 바와 같이, 프로세스(500)는 선택적인 추가적인 프로세싱을 위해 570으로 계속된다.
[0038] 일부 실시예들에서, 필름(26a)의 두께는 인라인 또는 외부 프로세스를 통해 측정된다. 일부 실시예들에서, 필름(26a)의 두께는 인 시튜(in situ)로 측정된다. 일부 실시예들에서, 필름(26a)의 두께는, 수직(vertical) 두께, CD(critical dimension), 스페이서 폭 및/또는 스페이서 높이 중 하나 이상을 측정함으로써 결정된다. 일부 실시예들에서, 미리 결정된 두께의 필름(26a)은 다수의 반복 사이클들을 통해 형성된다.
[0039] 일부 실시예들에서, 증착 프로세스, 어닐링 프로세스, 처리 프로세스, 및 선택적인 에칭 프로세스는, 도 1h에 도시된 바와 같이 미리 결정된 두께의 필름(26a)을 형성하기 위해 반복될 수 있다. 일부 실시예들의 미리 결정된 두께는 약 100 Å, 200 Å, 300 Å, 400 Å, 500 Å, 600 Å, 700 Å, 800 Å, 900 Å 또는 1000 Å 이상이다.
[0040] 일부 실시예들에서, 제어기(16)는 중앙 프로세싱 유닛, 메모리 및 지원 회로들을 포함한다. 제어기(16)는, 특정 프로세스 챔버 및/또는 지지 시스템 구성요소들과 연관된 컴퓨터들(또는 제어기들)을 통해 또는 직접적으로 프로세스 스테이션들 또는 프로세싱 챔버들을 제어할 수 있다. 제어기(16)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장(industrial setting)에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 제어기의 메모리 또는 컴퓨터 판독가능 매체는 RAM(random access memory), ROM(read only memory), 플로피 디스크, 하드 디스크, 광학 저장 매체(예컨대, 콤팩트 디스크 또는 디지털 비디오 디스크), 플래시 드라이브, 또는 임의의 다른 형태의 디지털 저장부(로컬 또는 원격)와 같은 용이하게 이용가능한 메모리 중 하나 이상일 수 있다. 지원 회로들은 종래의 방식으로 프로세서를 지원하기 위해 CPU에 커플링된다. 이들 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로 및 서브시스템들 등을 포함한다. 하나 이상의 프로세스들은, 본원에서 설명된 방식으로 장치 또는 개별적인 구성요소들의 동작을 제어하기 위해 실행되거나 또는 호출될 수 있는 소프트웨어 루틴으로서 메모리에 저장될 수 있다. 제어기(16)는, 다양한 구성들을 수행하기 위해 유량들, 가스 밸브들, 가스 소스들 또는 다른 프로세스들을 제어하기 위한 임의의 커맨드들 또는 기능들을 포함할 수 있는 하나 이상의 구성들을 포함할 수 있다. 제어기의 다양한 구성들은, 제어기가 구성을 실행하는 것을 가능하게 하기 위해 하나 이상의 모터들, 액추에이터들, 밸브들, 흐름 제어기들 및/또는 히터들을 통해 기판 지지부의 이동들 및 프로세스 스테이션들의 제어를 가능하게 할 수 있다.
[0041] 일부 실시예들에서, 제어기(16)는 프로세스 스테이션들(11, 12, 13, 14) 및 기판 지지부(15)를 포함하는 프로세싱 툴(10)을 동작시키기 위한 하나 이상의 구성들을 갖는다. 일부 실시예들에서, 제어기는, 증착 챔버로부터 어닐링 챔버로, 처리 챔버로 순차적으로 웨이퍼를 이동시키기 위한 제1 구성; 증착 챔버에서 기판 상에 층을 증착하기 위한 제2 구성; 어닐링 챔버에서 기판 상의 층을 어닐링하기 위한 제3 구성; 및 처리 챔버에서 어닐링된 층을 플라즈마 처리하기 위한 제4 구성 중 하나 이상을 포함한다. 일부 실시예들에서, 프로세스 스테이션들은 에칭 스테이션을 포함하고, 제1 구성은 증착 챔버로부터 어닐링 챔버로, 처리 챔버로, 에칭 챔버로 순차적으로 웨이퍼를 이동시키며, 제어기는, 에칭 챔버에서 웨이퍼에 대해 에칭 프로세스를 수행하기 위한 제5 구성을 갖는다.
[0042] 일부 실시예들에서, 제어기는, 증착 챔버에서 (예컨대, 약 15 Å 이하의) 두께로 필름을 증착하고, 필름을 어닐링하기 위해 어닐링 챔버로 기판을 이동시키고, 플라즈마에 필름을 노출시키기 위해 처리 챔버로 기판을 이동시키며, 기판의 일부 부분들로부터 필름을 선택적으로 에칭하기 위해 에칭 챔버로 기판을 이동시키도록 구성된다.
[0043] 일부 실시예들에서, 제어기는, 미리 결정된 두께의 필름을 형성하기 위해 증착 프로세스, 어닐링 프로세스, 처리 프로세스 및 에칭 프로세스를 반복하도록 구성된다.
[0044] 본 개시내용의 하나 이상의 실시예들은 2 개 이상의 프로세싱 환경들 사이의 공간 분리를 사용한다. 일부 실시예들은 유리하게는, 비공존성 가스들의 분리를 유지하기 위한 장치 및 방법들을 제공한다. 일부 실시예들은 유리하게는, 최적화가능 플라즈마 프로세싱을 포함하는 장치 및 방법들을 제공한다. 일부 실시예들은 유리하게는, 차별화된 열 도징(thermal dosing) 환경, 차별화된 플라즈마 처리 환경 및 다른 환경들을 가능하게 하는 장치 및 방법들을 제공한다.
[0045] 본 개시내용의 하나 이상의 실시예들은 4 개의 프로세싱 환경들을 갖는 프로세싱 챔버들에 관한 것이다. 일부 실시예들은 4 개를 초과하는 프로세싱 환경들을 갖고, 일부 실시예들은 4 개 미만의 프로세싱 환경들을 갖는다. 프로세싱 환경들은, 수평면에서 이동하고 있는 웨이퍼(들)에 대해 동일 평면에 장착될 수 있다. 프로세스 환경들은 원형 어레인지먼트로 배치된다. 1 개 내지 4 개(또는 그 초과)의 개별적인 웨이퍼 히터들이 상부에 장착되어 있는 회전가능 구조가, 프로세스 환경들과 유사한 직경을 갖는 원형 경로로 웨이퍼들을 이동시킨다. 각각의 히터는 온도 제어될 수 있으며, 하나의 또는 다수의 동심원 존들을 가질 수 있다. 웨이퍼 로딩(loading)의 경우, 진공 로봇이 완성된 웨이퍼들을 집어 내고 프로세싱되지 않은 웨이퍼들을, 각각의 웨이퍼 히터 위에 위치된 핀들 상에 배치할 수 있도록, 회전가능 구조는 (더 낮은 Z 포지션으로) 낮춰질 수 있다. 동작 중에, 각각의 웨이퍼는 프로세스가 완료될 때까지 독립적인 환경에 있을 수 있으며, 그런 다음, 회전가능 구조는 프로세싱을 위해 히터들 상의 웨이퍼들을 다음 차례의 환경으로 이동시키도록 회전할 수 있다(4 개 스테이션들의 경우 90° 회전, 3 개 스테이션들의 경우 120° 회전).
[0046] 본 개시내용의 일부 실시예들은 유리하게는, 비공존성 가스들을 이용한 ALD를 위한 공간 분리를 제공한다. 일부 실시예들은 통상적인 시간-도메인 또는 공간 프로세스 챔버보다 더 높은 스루풋 및 툴 자원 활용을 가능하게 한다. 각각의 프로세스 환경은 상이한 압력에서 동작할 수 있다. 히터 회전은 Z 방향 모션을 가지며, 따라서 각각의 히터는 챔버 안에 밀봉될 수 있다.
[0047] 일부 실시예들은 유리하게는, 마이크로파, ICP, 평행 판 CCP 또는 3 전극 CCP 중 하나 이상을 포함할 수 있는 플라즈마 환경들을 제공한다. 전체 웨이퍼가 플라즈마에 담궈져서(immersed), 웨이퍼에 걸쳐 균일하지 않은 플라즈마로 인한 플라즈마 손상이 제거될 수 있다.
[0048] 일부 실시예들에서, 샤워헤드와 웨이퍼 사이의 작은 갭이, 도즈(dose) 가스 활용 및 사이클 시간 속력(speed)을 증가시키기 위해 사용될 수 있다. 정밀한 샤워헤드 온도 제어 및 높은 동작 범위(최대 230 ℃). 이론에 얽매이지 않고, 샤워헤드 온도가 웨이퍼 온도에 가까울수록 웨이퍼 온도 균일성이 더 우수하다고 여겨진다.
[0049] 샤워헤드들은, 속력을 증가시키기 위해 작은 분배 볼륨을 사용하는 샤워헤드 내부에 재귀적 피딩 가스 분배(recursively fed gas distribution), 작은 가스 홀들(200 ㎛ 미만) 및 많은 수의 가스 홀들(수천 내지 천만 개 초과)을 포함할 수 있다. 레이저 드릴링 또는 건식 에칭에 의해, 작은 사이즈 및 많은 수의 가스 홀들이 생성될 수 있다. 웨이퍼가 샤워헤드에 가까울 때, 수직 홀들을 통해 웨이퍼를 향해 가는 가스로 인해 난류가 경험된다. 일부 실시예들은, 웨이퍼 표면에 균일한 분배를 달성하기 위해 함께 가깝게 이격된 다수의 홀들을 사용하는 샤워헤드를 통해 더 느린 속도(velocity)의 가스를 가능하게 한다.
[0050] 일부 실시예들은 단일 툴 상의 복수의 챔버들을 사용하는 통합 프로세싱 플랫폼들에 관한 것이다. 프로세싱 플랫폼은 상이한 프로세스들을 수행할 수 있는 다양한 챔버들을 가질 수 있다.
[0051] 본 개시내용의 일부 실시예들은, 웨이퍼 히터(들)에 부착된 웨이퍼(들)를 하나의 환경으로부터 다른 환경으로 이동시키기 위한 장치 및 방법들에 관한 것이다. 신속한 이동은, 웨이퍼(들)를 히터(들)에 정전식으로 척킹(또는 클램핑)함으로써 가능하게 될 수 있다. 웨이퍼들의 이동은 선형 또는 원형 모션일 수 있다.
[0052] 본 개시내용의 일부 실시예들은, 하나 이상의 기판들을 프로세싱하는 방법들에 관한 것이다. 예들은, 하나의 히터 상의 하나의 웨이퍼를, 공간적으로 분리된 복수의 상이한 순차적 환경들로 운행(running)시키는 것; 2 개의 웨이퍼 히터들 상의 2 개의 웨이퍼들을 3 개의 환경들로 운행시키는 것(2 개의 환경들은 동일하고, 2 개의 유사한 환경들 사이에 하나의 상이한 환경이 있음); 웨이퍼 1이 환경 A, 그런 다음 환경 B를 겪고 반복되는 반면, 웨이퍼 2는 B, 그런 다음 A를 겪고 반복되는 것; (웨이퍼 없이) 유휴 상태로 남아있는 하나의 환경; 2 개의 웨이퍼들을 2 개의 제1 환경들 및 2 개의 제2 환경들에서 운행시키는 것 ―여기서, 웨이퍼들 둘 모두가 동시에 동일한 환경들을 겪음(즉, 웨이퍼들 둘 모두가 A에 있고, 그런 다음 둘 모두가 B로 감)―; 4 개의 웨이퍼들(2 개의 웨이퍼들은 A 환경에 관련되고, 2 개의 웨이퍼들은 B 환경에 관련됨); 그리고 2 개의 웨이퍼들이 A의 환경에서 프로세싱되는 반면, 다른 2 개의 웨이퍼들은 B의 환경에서 프로세싱되는 것을 포함(그러나, 이에 제한되지 않음)한다. 일부 실시예들에서, 웨이퍼들은 환경 A 및 환경 B에 반복적으로 노출되고, 그런 다음, 동일한 챔버에 위치된 제3 환경에 노출된다.
[0053] 일부 실시예들에서, 웨이퍼들은 프로세싱을 위한 복수의 챔버들을 통과하고, 여기서, 챔버들 중 적어도 하나는, 동일한 챔버 내에서 공간적으로 분리된 복수의 환경들을 이용하여 순차적 프로세싱을 수행한다.
[0054] 일부 실시예들은, 동일한 챔버 내에서 공간적으로 분리된 프로세싱 환경들을 갖는 장치에 관한 것이고, 이 동일한 챔버에서, 환경들은 상당히 상이한 압력들에 있다(예컨대, 하나의 압력은 100 mT 미만이고, 다른 압력은 3 T 초과임). 일부 실시예들에서, 히터 회전 로봇은 각각의 웨이퍼/히터를 공간적으로 분리된 환경들 안에 밀봉하기 위해 z-축에서 이동한다.
[0055] 일부 실시예들은, 챔버 리드(lid)의 중심에 대해 위쪽으로 힘을 가하여 상단측에 있는 대기압의 압력 및 다른 측에 있는 진공에 의해 유발되는 편향을 제거하는 수직 구조적 부재(member)를 갖는, 챔버 위에 형성된 구조를 포함한다. 위의 구조의 힘의 크기는, 상판(top plate)의 편향에 기반하여 기계적으로 조정될 수 있다. 힘 조정은 피드백 회로 및 힘 변환기를 사용하여 자동으로 수행되거나, 또는 예컨대 오퍼레이터가 돌릴 수 있는 나사를 사용하여 수동으로 수행될 수 있다.
[0056] 도 2 내지 도 6은 본 개시내용의 하나 이상의 실시예들에 따른 지지 조립체들(100)을 예시한다. 지지 조립체(100)는 회전가능 중앙 베이스(110)를 포함한다. 회전가능 중앙 베이스(110)는 대칭 또는 비대칭 형상을 가질 수 있고, 회전 축(111)을 정의한다. 도 5에서 알 수 있는 바와 같이, 회전 축(111)은 제1 방향으로 연장된다. 제1 방향은 수직 방향으로 지칭될 수 있지만, 이러한 방식으로의 "수직"이란 용어의 사용이 중력 작용방향(the pull of gravity)에 법선(normal)인 방향으로 제한되지 않는다는 것이 이해될 것이다.
[0057] 지지 조립체(100)는, 중앙 베이스(110)에 연결되고 이 중앙 베이스(110)로부터 연장되는 적어도 2 개의 지지 아암들(120)을 포함한다. 지지 아암들(120)은 내부 단부(121) 및 외부 단부(122)를 갖는다. 내부 단부(121)는, 중앙 베이스(110)가 회전 축(111)을 중심으로 회전할 때 지지 아암들(120)도 또한 회전하도록 중앙 베이스(110)와 접촉한다. 지지 아암들(120)은, 패스너들(예컨대, 볼트들)에 의해 또는 중앙 베이스(110)와 일체로 형성됨으로써 내부 단부(121)에서 중앙 베이스(110)에 연결될 수 있다.
[0058] 일부 실시예들에서, 지지 아암들(120)은, 내부 단부들(121) 또는 외부 단부들(122) 중 하나가 동일한 지지 아암(120)의 내부 단부들(121) 및 외부 단부들(122) 중 다른 하나보다 회전 축(111)으로부터 더 멀리 있도록, 회전 축(111)에 직교(orthogonal)하게 연장된다. 일부 실시예들에서, 지지 아암(120)의 내부 단부(121)는 동일한 지지 아암(120)의 외부 단부(122)보다 회전 축(111)에 더 가깝다.
[0059] 지지 조립체(100)에 있는 지지 아암들(120)의 수는 변할 수 있다. 일부 실시예들에서, 적어도 2 개의 지지 아암들(120)이 있다. 일부 실시예들에서, 3 개의 지지 아암들(120)이 있다. 일부 실시예들에서, 4 개의 지지 아암들(120)이 있다. 일부 실시예들에서, 5 개의 지지 아암들(120)이 있다. 일부 실시예들에서, 6 개의 지지 아암들(120)이 있다.
[0060] 지지 아암들(120)은 중앙 베이스(110)를 중심으로 대칭적으로 배열될 수 있다. 예컨대, 4 개의 지지 아암들(120)을 갖는 지지 조립체(100)에서, 지지 아암들(120) 각각은 중앙 베이스(110)를 중심으로 90° 간격들로 포지셔닝된다. 3 개의 지지 아암들(120)을 갖는 지지 조립체(100)에서, 지지 아암들(120)은 중앙 베이스(110)를 중심으로 120° 간격들로 포지셔닝된다.
[0061] 히터(130)는 지지 아암들(120)의 외부 단부(122)에 포지셔닝된다. 일부 실시예들에서, 각각의 지지 아암(120)은 히터(130)를 갖는다. 중앙 베이스(110)의 회전 시 히터들(130)이 원형 경로로 이동하도록, 히터들(130)의 중심은 회전 축(111)으로부터 거리를 두고 위치된다.
[0062] 히터들(130)은 웨이퍼를 지지할 수 있는 지지 표면(131)을 갖는다. 일부 실시예들에서, 히터(130)의 지지 표면들(131)은 실질적으로 동일 평면이다. 이러한 방식으로 사용되는 바와 같이, "실질적으로 동일 평면"이란 용어는, 개별적인 지지 표면들(131)에 의해 형성된 평면들이 다른 지지 표면들(131)에 의해 형성된 평면들의 ±5°, ±4°, ±3°, ±2° 또는 ±1° 내에 있다는 것을 의미한다.
[0063] 일부 실시예들에서, 히터들(130)은 지지 아암들(120)의 외부 단부(122) 상에 직접적으로 포지셔닝된다. 일부 실시예들에서, 도면들에 예시된 바와 같이, 히터들(130)은 히터 스탠드오프(134)에 의해 지지 아암들(120)의 외부 단부(122) 위로 상승된다. 히터 스탠드오프들(134)은 히터들(130)의 높이를 증가시키기 위한 임의의 사이즈 및 길이일 수 있다.
[0064] 일부 실시예들에서, 채널(136)이 중앙 베이스(110), 지지 아암들(120) 및/또는 히터 스탠드오프들(134) 중 하나 이상에 형성된다. 채널(136)은, 전기 연결들을 라우팅하기 위해 또는 가스 흐름을 제공하기 위해 사용될 수 있다.
[0065] 히터들은 당업자에게 알려진 임의의 적절한 타입의 히터일 수 있다. 일부 실시예들에서, 히터는 히터 본체 내에 하나 이상의 가열 요소들을 갖는 저항성 히터이다.
[0066] 일부 실시예들의 히터들(130)은 부가적인 구성요소들을 포함한다. 예컨대, 히터들은 정전 척을 포함할 수 있다. 정전 척은, 히터가 이동되는 동안 히터 지지 표면(131) 상에 포지셔닝된 웨이퍼가 제자리에(in place) 유지될 수 있도록, 다양한 와이어들 및 전극들을 포함할 수 있다. 이는, 웨이퍼가 프로세스의 시작 시 히터 상에 척킹될 수 있게 하고, 상이한 프로세스 구역들로 이동하는 동안 해당하는 동일한 히터 상의 해당하는 동일한 포지션에 남아있을 수 있게 한다.
[0067] 히터(130) 및 지지 표면(131)은 배면 가스의 흐름을 제공하기 위한 하나 이상의 가스 출구들을 포함할 수 있다. 이는, 지지 표면(131)으로부터 웨이퍼의 제거를 보조할 수 있다. 도 2 및 도 3에 도시된 바와 같이, 지지 표면(131)은 복수의 개구들(137) 및 가스 채널(138)을 포함한다. 개구들(137) 및/또는 가스 채널(138)은 진공 소스 또는 가스 소스(예컨대, 퍼지 가스) 중 하나 이상과 유체 연통할 수 있다.
[0068] 지지 조립체(100)의 일부 실시예들은 밀봉 플랫폼(140)을 포함한다. 밀봉 플랫폼은 상단 표면(141), 바닥 표면 및 두께를 갖는다. 밀봉 플랫폼(140)은, 지지 조립체(100) 아래의 구역으로 흐르는 가스를 최소화하기 위해 밀봉부 또는 장벽을 제공하는 것을 돕기 위해 히터들(130) 주위에 포지셔닝될 수 있다. 일부 실시예들에서, 도 3에 도시된 바와 같이, 밀봉 플랫폼들(140)은 링 형상이고, 각각의 히터(130) 주위에 포지셔닝된다. 예시된 실시예에서, 밀봉 플랫폼들(140)은, 밀봉 플랫폼(140)의 상단 표면(141)이 히터의 지지 표면(131) 아래에 있도록, 히터(130) 아래에 위치된다. 일부 실시예들에서, 도 4 및 도 5에 도시된 바와 같이, 밀봉 플랫폼(140)은, 히터들(130)의 지지 표면(131)에 대한 접근을 가능하게 하기 위해 복수의 개구들(142)로 히터들(130) 전부를 둘러싸는 단일 구성요소이다. 개구들(142)은 히터들이 밀봉 플랫폼(140)을 통과할 수 있게 할 수 있다. 일부 실시예들에서, 밀봉 플랫폼(140)은, 밀봉 플랫폼(140)이 수직으로 이동하고 히터들(130)과 함께 회전하도록 고정된다. 일부 실시예들에서, 도 5에 도시된 바와 같이, 밀봉 플랫폼(140)은, 히터(130)의 지지 표면(131)에 의해 형성되는 주 평면과 실질적으로 평행한 주 평면을 형성하는 상단 표면(141)을 갖는다. 일부 실시예들에서, 도 4에 도시된 바와 같이, 밀봉 플랫폼(140)은, 웨이퍼 표면이 밀봉 플랫폼(140)의 상단 표면(141)과 동일 평면이 되도록, 프로세싱될 웨이퍼의 두께와 실질적으로 동일한 양만큼 지지 표면(131)의 주 평면 위에 거리를 두고 있는, 주 평면을 형성하는 상단 표면(141)을 갖는다.
[0069] 일부 실시예들에서, 도 4 및 도 5에 도시된 바와 같이, 밀봉 플랫폼(140)은 지지 포스트(127)에 의해 지지된다. 지지 포스트(127)는, 단일 구성요소 플랫폼을 사용할 때, 밀봉 플랫폼(140)의 중앙의 새깅(sagging)을 방지하는 데 효용을 가질 수 있다.
[0070] 일부 실시예들에서, 도 7에 예시된 바와 같이, 지지 조립체(100)는 적어도 하나의 모터(150)를 포함한다. 적어도 하나의 모터(150)는 중앙 베이스(110)에 연결되고, 회전 축(111)을 중심으로 지지 조립체(100)를 회전시키도록 구성된다. 일부 실시예들에서, 적어도 하나의 모터는 회전 축(111)을 따르는 방향으로 중앙 베이스(110)를 이동시키도록 구성된다. 예컨대, 도 7에서, 모터(155)가 모터(150)에 연결되고, Z-축에서 또는 수직으로 지지 조립체(100)를 이동시킬 수 있다.
[0071] 도 6 및 도 7을 참조하면, 본 개시내용의 하나 이상의 실시예들은, 지지 조립체(100)를 통합하는 프로세싱 챔버들(200)에 관한 것이다. 프로세싱 챔버(200)는, 내부 볼륨(209)을 정의하는 벽들(204), 바닥(206) 및 상단(208)을 갖는 하우징(202)을 갖는다. 도 6에 예시된 실시예는 상단(208)을 도시하지 않는다.
[0072] 프로세싱 챔버(200)는 복수의 프로세스 스테이션들(210)을 포함한다. 프로세스 스테이션들(210)은 하우징(202)의 내부 볼륨(209)에 위치되고, 회전 축(111)을 중심으로 하는 원형 어레인지먼트로 포지셔닝된다. 각각의 프로세스 스테이션(210)은 전면(214)을 갖는 가스 주입기(212)를 포함한다. 일부 실시예들에서, 가스 주입기들(212) 각각의 전면들(214)은 실질적으로 동일 평면이다.
[0073] 프로세스 스테이션들(210)은, 임의의 적절한 프로세스를 수행하도록 그리고 임의의 적절한 프로세스 조건들을 제공하도록 구성될 수 있다. 사용되는 가스 주입기(212)의 타입은, 예컨대, 수행되는 프로세스의 타입 및 프로세스 챔버의 타입에 따라 좌우될 것이다. 예컨대, 원자 층 증착 장치로서 동작하도록 구성된 프로세스 스테이션(210)은 샤워헤드 또는 소용돌이 타입 주입기(vortex type injector)를 가질 수 있다. 반면에, 플라즈마 스테이션으로서 동작하도록 구성된 프로세스 스테이션(210)은, 플라즈마 가스가 웨이퍼를 향해 흐를 수 있게 하면서 플라즈마를 생성하기 위해 하나 이상의 전극 및 접지된 판 구성을 가질 수 있다. 도 7에 예시된 실시예는 도면의 좌측에는 도면의 우측과는 상이한 타입의 프로세스 스테이션(210)을 갖는다. 적절한 프로세스 스테이션들(210)은, 열 프로세싱 스테이션들, 마이크로파 플라즈마, 3-전극 CCP, ICP, 평행 판 CCP, UV 노출, 레이저 프로세싱, 펌핑 챔버들, 어닐링 스테이션들 및 계측 스테이션들을 포함(그러나, 이에 제한되지 않음)한다.
[0074] 도 7에 도시된 바와 같이, 하나 이상의 진공 스트림들 및 퍼지 가스 스트림들이, 하나의 프로세스 스테이션(210)을 인접한 프로세스 스테이션(210)과 분리하는 것을 돕기 위해 사용될 수 있다. 퍼지 가스 플레넘(260)이 프로세스 스테이션들(210)의 외부 경계에 있는 퍼지 가스 포트(261)와 유체 연통한다. 진공 플레넘(265)이 진공 포트(266)와 유체 연통한다. 퍼지 가스 포트(261) 및 진공 포트(266)는 가스 커튼을 형성하도록 프로세스 스테이션(210)의 둘레 주위로 연장될 수 있다. 가스 커튼은 내부 볼륨(209) 안으로의 프로세스 가스들의 누출을 최소화하거나 또는 제거하는 것을 도울 수 있다.
[0075] 프로세스 스테이션들(210)의 수는 히터들(130) 및 지지 아암들(120)의 수에 따라 변할 수 있다. 일부 실시예들에서, 동일한 수의 히터들(130), 지지 아암들(120) 및 프로세스 스테이션들(210)이 있다. 일부 실시예들에서, 히터들(130), 지지 아암들(120) 및 프로세스 스테이션들(210)은, 히터들(130)의 지지 표면들(131) 각각이 동시에 상이한 프로세스 스테이션들(210)의 전면들(214)에 인접하게 위치될 수 있도록 구성된다. 달리 말하면, 히터들 각각은 동시에 프로세스 스테이션 앞에 포지셔닝된다.
[0076] 도 8은 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 플랫폼(300)을 도시한다. 도 8에 도시된 실시예는 단지 하나의 가능한 구성을 표현하며, 본 개시내용의 범위를 제한하는 것으로서 해석되지 않아야 한다. 예컨대, 일부 실시예들에서, 프로세싱 플랫폼(300)은 상이한 수들의 프로세스 챔버들(200), 버퍼 스테이션들(320) 및 로봇(330) 구성들을 갖는다.
[0077] 예시적인 프로세싱 플랫폼(300)은 복수의 측면(side)들(311, 312, 313, 314)을 갖는 중앙 이송 스테이션(310)을 포함한다. 도시된 이송 스테이션(310)은 제1 측면(311), 제2 측면(312), 제3 측면(313) 및 제4 측면(314)을 갖는다. 4 개의 측들이 도시되지만, 당업자들은, 예컨대 프로세싱 플랫폼(300)의 전체 구성에 따라 이송 스테이션(310)에 임의의 적절한 수의 측들이 있을 수 있다는 것을 이해할 것이다.
[0078] 이송 스테이션(310)은 내부에 포지셔닝된 로봇(330)을 갖는다. 로봇(330)은 프로세싱 동안 웨이퍼를 이동시킬 수 있는 임의의 적절한 로봇일 수 있다. 일부 실시예들에서, 로봇(330)은 제1 아암(331) 및 제2 아암(332)을 갖는다. 제1 아암(331) 및 제2 아암(332)은 다른 아암과는 독립적으로 이동될 수 있다. 제1 아암(331) 및 제2 아암(332)은 x-y 평면에서 그리고/또는 z-축을 따라 이동할 수 있다. 일부 실시예들에서, 로봇(330)은 제3 아암 또는 제4 아암(도시되지 않음)을 포함한다. 아암들 각각은 다른 아암들과는 독립적으로 이동할 수 있다.
[0079] 예시된 실시예는 6 개의 프로세싱 챔버(200)를 포함하는데, 중앙 이송 스테이션(310)의 제2 측면(312), 제3 측면(313) 및 제4 측면(314)에 각각 2 개씩 연결된다. 프로세싱 챔버들(200) 각각은 상이한 프로세스들을 수행하도록 구성될 수 있다.
[0080] 프로세싱 플랫폼(300)은 또한, 중앙 이송 스테이션(310)의 제1 측면(311)에 연결된 하나 이상의 버퍼 스테이션(320)을 포함할 수 있다. 버퍼 스테이션들(320)은 동일한 또는 상이한 기능들을 수행할 수 있다. 예컨대, 버퍼 스테이션들은 웨이퍼들의 카세트를 유지할 수 있고 이러한 웨이퍼들은 프로세싱되고 원래 카세트로 복귀되거나, 또는 버퍼 스테이션들 중 하나의 버퍼 스테이션이 프로세싱되지 않은 웨이퍼들을 유지할 수 있고 이러한 프로세싱되지 않은 웨이퍼들은 프로세싱 후에 다른 버퍼 스테이션으로 이동된다. 일부 실시예들에서, 버퍼 스테이션들 중 하나 이상은 프로세싱 전에 그리고/또는 프로세싱 후에 웨이퍼들을 전처리, 예열 또는 세정하도록 구성된다.
[0081] 프로세싱 플랫폼(300)은 또한, 중앙 이송 스테이션(310)과 프로세싱 챔버들(200) 중 임의의 프로세싱 챔버 사이에 하나 이상의 슬릿 밸브들(318)을 포함할 수 있다. 슬릿 밸브들(318)은 중앙 이송 스테이션(310) 내의 환경으로부터 프로세싱 챔버(200) 내의 환경을 격리시키기 위해 개폐될 수 있다. 예컨대, 프로세싱 챔버가 프로세싱 동안 플라즈마를 생성할 것이라면, 스트레이(stray) 플라즈마가 이송 스테이션에 있는 로봇을 손상시키는 것을 방지하기 위해 해당하는 프로세싱 챔버에 대한 슬릿 밸브를 폐쇄하는 것이 도움이 될 수 있다.
[0082] 프로세싱 플랫폼(300)은, 웨이퍼들 또는 웨이퍼들의 카세트들이 프로세싱 플랫폼(300) 안에 로딩될 수 있게 하는 팩토리 인터페이스(350)에 연결될 수 있다. 팩토리 인터페이스(350) 내의 로봇(355)이 웨이퍼들 또는 카세트들을 버퍼 스테이션들의 안팎으로 이동시키기 위해 사용될 수 있다. 웨이퍼들 또는 카세트들은, 중앙 이송 스테이션(310)에 있는 로봇(330)에 의해 프로세싱 플랫폼(300) 내에서 이동될 수 있다. 일부 실시예들에서, 팩토리 인터페이스(350)는 다른 클러스터 툴(즉, 다른 다수의 챔버 프로세싱 플랫폼)의 이송 스테이션이다.
[0083] 제어기(395)는, 프로세싱 플랫폼(300)의 다양한 구성요소들의 동작을 제어하기 위해 제공되어 프로세싱 플랫폼(300)의 다양한 구성요소들에 커플링될 수 있다. 제어기(395)는 전체 프로세싱 플랫폼(300)을 제어하는 단일 제어기이거나, 또는 프로세싱 플랫폼(300)의 개별적인 부분들을 제어하는 다수의 제어기들일 수 있다. 예컨대, 프로세싱 플랫폼(300)은 로봇들(330), 팩토리 인터페이스(350), 중앙 이송 스테이션(310) 및 개별적인 프로세싱 챔버들(200) 각각에 대한 별개의 제어기들을 포함할 수 있다. 일부 실시예들에서, 제어기(395)는 CPU(central processing unit)(396), 메모리(397) 및 지원 회로들(398)을 포함한다. 제어기(395)는, 특정 프로세스 챔버 및/또는 지지 시스템 구성요소들과 연관된 컴퓨터들(또는 제어기들)을 통해 또는 직접적으로 프로세싱 플랫폼(300)을 제어할 수 있다. 제어기(395)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 제어기(395)의 메모리(397) 또는 컴퓨터 판독가능 매체는 RAM(random access memory), ROM(read only memory), 플로피 디스크, 하드 디스크, 광학 저장 매체(예컨대, 콤팩트 디스크 또는 디지털 비디오 디스크), 플래시 드라이브, 또는 임의의 다른 형태의 디지털 저장부(로컬 또는 원격)와 같은 용이하게 이용가능한 메모리 중 하나 이상일 수 있다. 지원 회로들(398)은 종래의 방식으로 프로세서를 지원하기 위해 CPU(396)에 커플링된다. 이들 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로 및 서브시스템들 등을 포함한다. 하나 이상의 프로세스들은, 본원에서 설명된 방식으로 프로세싱 플랫폼(300) 또는 개별적인 프로세싱 챔버들의 동작을 제어하기 위해 실행되거나 또는 호출될 수 있는 소프트웨어 루틴으로서 메모리(397)에 저장될 수 있다. 소프트웨어 루틴은 또한, CPU(396)에 의해 제어되는 하드웨어로부터 원격으로 위치되는 제2 CPU(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다.
[0084] 도 9a 내지 도 9i는 상이한 프로세스 스테이션들(210)을 갖는 프로세싱 챔버들(200)의 다양한 구성들을 예시한다. 문자로 표시된 원들은 상이한 프로세스 스테이션들(210) 및 프로세스 조건들을 표현한다. 예컨대, 도 9a에서, 4 개의 프로세스 스테이션들(210)이 있는데, 각각은 상이한 문자를 갖는다. 이는, 각각의 스테이션이 다른 스테이션들과는 상이한 조건들을 갖는 4 개의 프로세스 스테이션들(210)을 표현한다. 화살표에 의해 표시된 바와 같이, 웨이퍼들과 함께 히터들을 스테이션 A 내지 스테이션 D로부터 이동시킴으로써 프로세스가 발생할 수 있다. D에 대한 노출 후에, 사이클은 계속되거나 또는 반전될 수 있다.
[0085] 도 9b에서, 4 개의 웨이퍼들이 동시에 프로세싱될 수 있는데, 웨이퍼들은 A 포지션들과 B 포지션들 사이에서 앞뒤로 히터들 상에서 이동된다. 2 개의 웨이퍼들은 A 포지션들에서 시작할 수 있고, 2 개의 웨이퍼들은 B 포지션들에서 시작할 수 있다. 독립적인 프로세스 스테이션들(210)은, 각각의 웨이퍼가 A 노출로 시작하도록, 제1 사이클 동안 스테이션들 중 2 개의 스테이션들이 턴 오프될 수 있게 한다.
[0086] 도 9b에 예시된 실시예는 또한, 4 개의 프로세스 스테이션들(210)에서 2 개의 웨이퍼들을 프로세싱하는 데 유용할 수 있다. 이는, 프로세스들 중 하나가 매우 상이한 압력에 있거나 또는 A 프로세스 시간과 B 프로세스 시간이 매우 상이하면, 특히 유용할 수 있다.
[0087] 도 9c에서, 3 개의 웨이퍼들이 단일 프로세싱 챔버(200)에서 그리고 ABC 프로세스에서 프로세싱될 수 있다. 하나의 스테이션이 턴 오프되거나, 또는 상이한 기능(예컨대, 예열)을 수행할 수 있다.
[0088] 도 9d에서, 2 개의 웨이퍼들이 AB-처리 프로세스에서 프로세싱될 수 있다. 예컨대, 웨이퍼들은 B 히터들 상에만 배치될 수 있다. 시계방향 1/4 턴(quarter turn clockwise)은 하나의 웨이퍼를 A 스테이션에 배치하고 제2 웨이퍼를 T 스테이션에 배치할 것이다. 역으로 턴하는 것은, 웨이퍼들 둘 모두를 B 스테이션들로 이동시킬 것이고, 또다른 반시계방향 1/4 턴(quarter turn counter-clockwise)은 제2 웨이퍼를 A 스테이션에 배치하고 제1 웨이퍼를 B 스테이션에 배치할 것이다.
[0089] 도 9e에서, 최대 4 개의 웨이퍼들이 동시에 프로세싱될 수 있다. 예컨대, A 스테이션이 CVD 또는 ALD 프로세스를 수행하도록 구성되면, 4 개의 웨이퍼들이 동시에 프로세싱될 수 있다.
[0090] 도 9f 내지 도 9i는 3 개의 프로세스 스테이션들(210)을 갖는 프로세싱 챔버(200)에 대한 유사한 타입들의 구성들을 도시한다. 간략하게, 도 9f에서, 단일 웨이퍼(또는 하나보다 많은 웨이퍼)가 ABC 프로세스를 겪을 수 있다. 도 9g에서, 하나의 웨이퍼를 A 포지션에 배치하고 다른 하나의 웨이퍼를 B 포지션들 중 하나에 배치함으로써, 2 개의 웨이퍼들이 AB 프로세스를 겪을 수 있다. 웨이퍼들은 그런 다음, B 포지션에서 시작하는 웨이퍼가 처음 이동 시 A 포지션으로 이동하고 그런 다음 동일한 B 포지션으로 역으로 이동하도록, 앞뒤로 이동될 수 있다. 도 9h에서, 웨이퍼가 AB-처리 프로세스를 겪을 수 있다. 도 9i에서, 3 개의 웨이퍼들이 동시에 프로세싱될 수 있다.
[0091] 도 10a 및 도 10b는 본 개시내용의 다른 실시예를 예시한다. 도 10a에서, 웨이퍼(101)가 가스 주입기(212)에 인접하도록, 지지 아암(120) 상의 히터(130)가 프로세스 스테이션(210) 아래의 포지션으로 회전되었다. 지지 아암(120) 상의 또는 히터(130)의 외부 부분 상의 O-링(129)이 이완 상태에 있다. 도 10b에 도시된 바와 같이, 지지 아암(120) 및 히터(130)는, 히터(130)의 지지 표면(131)이 프로세스 스테이션(210)의 전면(214)에 접촉하거나 또는 거의 접촉하게 이동되도록, 프로세스 스테이션(210)을 향해 이동된다. 이 포지션에서, O-링(129)이 압축되어서, 지지 아암(120)의 외부 가장자리 또는 히터(130)의 외부 부분 주위에 밀봉부가 형성된다. 이는, 반응 구역(219)이 신속하게 퍼지될 수 있도록, 반응 구역(219)의 볼륨을 최소화하기 위해 가능한 한 주입기(212)에 가깝게 웨이퍼(101)가 이동될 수 있게 한다.
[0092] 반응 구역(219)으로부터 유출될 수 있는 가스들은, 진공 포트(266)를 통해 진공 플레넘(265) 안으로 그리고 배기구 또는 포어라인으로 진공배기된다. 진공 포트(266)의 외측의 퍼지 가스 커튼이 퍼지 가스 플레넘(260) 및 퍼지 가스 포트(261)에 의해 생성될 수 있다. 부가적으로, 추가로 반응 구역(219)을 커튼으로 분리하고(curtain off) 그리고 반응성 가스들이 프로세싱 챔버(200)의 내부 볼륨(209) 안으로 유입되는 것을 방지하기 위해, 퍼지 가스가 히터(130)와 지지 아암(120) 사이의 갭(237)을 통해 흐를 수 있다.
[0093] 본 명세서 전체에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예들" 또는 "실시예"에 대한 지칭은, 실시예와 관련하여 설명된 특정 특징, 구조, 재료 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함됨을 의미한다. 따라서, 본 명세서 전체에 걸쳐 다양한 곳들에서 "하나 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들이 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 특징들, 구조들, 재료들 또는 특성들은 하나 이상의 실시예들에서 임의의 적절한 방식으로 조합될 수 있다.
[0094] 본원의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 이들 실시예들이 단지 본 개시내용의 원리들 및 애플리케이션들을 예시한다는 것이 이해되어야 한다. 본 개시내용의 사상 및 범위를 벗어나지 않고 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 행해질 수 있다는 것이 당업자들에게 자명할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 그들의 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (15)

  1. 복수의 프로세스 스테이션들 ―각각의 프로세스 스테이션은, 인접한 프로세스 스테이션들의 프로세싱 구역들과는 분리된 프로세싱 구역을 제공함―;
    프로세싱을 위한 웨이퍼를 지지하기 위한 지지 표면을 갖는 기판 지지부 ―상기 기판 지지부는, 상기 복수의 프로세스 스테이션들 중 적어도 2 개의 프로세스 스테이션들 사이에서 상기 웨이퍼를 이동시키도록 구성됨―; 및
    상기 기판 지지부 및 상기 복수의 프로세스 스테이션들에 연결된 제어기
    를 포함하고,
    상기 제어기는, 상기 기판 지지부를 활성화하여 스테이션들 사이에서 상기 웨이퍼를 이동시키도록, 그리고 상기 프로세스 스테이션들 각각에서 발생하는 프로세스를 제어하도록 구성되며,
    상기 복수의 프로세스 스테이션들은 증착 스테이션, 어닐링 스테이션 및 처리(treatment) 스테이션을 포함하는,
    프로세싱 툴.
  2. 제1 항에 있어서,
    상기 증착 스테이션은 원자 층 증착 챔버, 화학 기상 증착 챔버, 또는 플라즈마 강화 화학 기상 증착 챔버 중 하나 이상을 포함하는,
    프로세싱 툴.
  3. 제1 항에 있어서,
    상기 어닐링 스테이션은 레이저 어닐링, 열 어닐링 또는 플래시 어닐링 챔버 중 하나 이상을 포함하는,
    프로세싱 툴.
  4. 제1 항에 있어서,
    상기 처리 스테이션은 플라즈마 프로세싱 챔버를 포함하는,
    프로세싱 툴.
  5. 제1 항 내지 제4 항 중 어느 한 항에 있어서,
    상기 복수의 프로세스 스테이션들은 에칭 스테이션을 더 포함하는,
    프로세싱 툴.
  6. 제5 항에 있어서,
    상기 에칭 스테이션은 화학 에칭, 반응성 이온 에칭 또는 등방성 에칭 챔버 중 하나 이상을 포함하는,
    프로세싱 툴.
  7. 제1 항 내지 제4 항 중 어느 한 항에 있어서,
    상기 제어기는 하나 이상의 구성들을 갖고, 상기 구성들은, 증착 챔버로부터 어닐링 챔버로, 처리 챔버로 순차적으로 웨이퍼를 이동시키기 위한 제1 구성; 상기 증착 챔버에서 기판 상에 층을 증착하기 위한 제2 구성; 상기 어닐링 챔버에서 상기 기판 상의 층을 어닐링하기 위한 제3 구성; 및 상기 처리 챔버에서 상기 어닐링된 층을 플라즈마 처리하기 위한 제4 구성 중 하나 이상을 포함하는,
    프로세싱 툴.
  8. 제7 항에 있어서,
    상기 복수의 프로세스 스테이션들은 에칭 스테이션을 더 포함하고, 상기 제1 구성은 상기 증착 챔버로부터 상기 어닐링 챔버로, 상기 처리 챔버로, 상기 에칭 챔버로 순차적으로 웨이퍼를 이동시키며, 상기 제어기는, 상기 에칭 챔버에서 웨이퍼에 대해 에칭 프로세스를 수행하기 위한 제5 구성을 갖는,
    프로세싱 툴.
  9. 제8 항에 있어서,
    상기 제어기는, 상기 증착 챔버에서 약 15 Å 이하의 두께로 필름을 증착하고, 상기 필름을 어닐링하기 위해 상기 어닐링 챔버로 상기 기판을 이동시키고, 플라즈마에 상기 필름을 노출시키기 위해 상기 처리 챔버로 상기 기판을 이동시키며, 상기 기판의 일부 부분들로부터 상기 필름을 선택적으로 에칭하기 위해 상기 에칭 챔버로 상기 기판을 이동시키도록 구성되는,
    프로세싱 툴.
  10. 제9 항에 있어서,
    상기 제어기는, 미리 결정된 두께의 필름을 형성하기 위해 증착 프로세스, 어닐링 프로세스, 처리 프로세스 및 에칭 프로세스를 반복하도록 구성되는,
    프로세싱 툴.
  11. 제10 항에 있어서,
    상기 미리 결정된 두께는 약 100 Å 이상인,
    프로세싱 툴.
  12. 제1 항 내지 제4 항 중 어느 한 항에 있어서,
    상기 기판 지지부는,
    회전 축을 정의하는 회전가능 중앙 베이스;
    상기 중앙 베이스로부터 연장되는 적어도 2 개의 지지 아암(arm)들 ―상기 지지 아암들 각각은 상기 중앙 베이스와 접촉하는 내부 단부, 및 외부 단부를 가짐―; 및
    상기 지지 아암들 각각의 외부 단부에 포지셔닝된 히터
    를 포함하고,
    히터들은 지지 표면을 갖는,
    프로세싱 툴.
  13. 제12 항에 있어서,
    상기 지지 아암들은 상기 회전 축에 직교(orthogonal)하게 연장되는,
    프로세싱 툴.
  14. 제12 항에 있어서,
    상기 중앙 베이스에 연결된 적어도 하나의 모터를 더 포함하고, 상기 적어도 하나의 모터는 상기 회전 축을 중심으로 지지 조립체를 회전시키도록 구성되는,
    프로세싱 툴.
  15. 제14 항에 있어서,
    상기 적어도 하나의 모터는 상기 회전 축을 따르는 방향으로 상기 중앙 베이스를 이동시키도록 구성되는,
    프로세싱 툴.
KR1020207036019A 2018-05-16 2019-05-15 원자 층 자기 정렬 기판 프로세싱 및 통합 툴셋 KR20200142601A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862672560P 2018-05-16 2018-05-16
US62/672,560 2018-05-16
PCT/US2019/032373 WO2019222320A1 (en) 2018-05-16 2019-05-15 Atomic layer self aligned substrate processing and integrated toolset

Publications (1)

Publication Number Publication Date
KR20200142601A true KR20200142601A (ko) 2020-12-22

Family

ID=68534319

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207036019A KR20200142601A (ko) 2018-05-16 2019-05-15 원자 층 자기 정렬 기판 프로세싱 및 통합 툴셋

Country Status (6)

Country Link
US (3) US11131022B2 (ko)
JP (2) JP7443250B2 (ko)
KR (1) KR20200142601A (ko)
CN (1) CN112204169A (ko)
TW (2) TWI746980B (ko)
WO (1) WO2019222320A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022204482A1 (en) * 2021-03-26 2022-09-29 Applied Materials, Inc. Heater assembly with purge gap control and temperature uniformity for batch processing chambers

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170048787A (ko) * 2015-10-27 2017-05-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
CN115004110A (zh) * 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69230493T2 (de) * 1991-04-04 2000-05-04 Seagate Technology Verfahren und vorrichtung zum sputtern mit hoher geschwindigkeit
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5795448A (en) * 1995-12-08 1998-08-18 Sony Corporation Magnetic device for rotating a substrate
KR100507753B1 (ko) * 2000-03-17 2005-08-10 가부시키가이샤 히타치세이사쿠쇼 반도체 제조방법 및 제조장치
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6592675B2 (en) * 2001-08-09 2003-07-15 Moore Epitaxial, Inc. Rotating susceptor
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
JP2006028577A (ja) 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール
JP5253933B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5315898B2 (ja) * 2008-09-30 2013-10-16 東京エレクトロン株式会社 成膜装置
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP6042160B2 (ja) * 2012-10-03 2016-12-14 東京エレクトロン株式会社 成膜方法及び成膜装置
TWI609991B (zh) * 2013-06-05 2018-01-01 維克儀器公司 具有熱一致性改善特色的晶圓舟盒
US20150079799A1 (en) * 2013-09-17 2015-03-19 Applied Materials, Inc. Method for stabilizing an interface post etch to minimize queue time issues before next processing step
JP6488284B2 (ja) * 2013-09-27 2019-03-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
WO2015103358A1 (en) * 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
WO2015106261A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
WO2017053558A1 (en) 2015-09-24 2017-03-30 Tokyo Electron Limited Method for bottom-up deposition of a film in a recessed feature
US20170088952A1 (en) * 2015-09-28 2017-03-30 Ultratech, Inc. High-throughput multichamber atomic layer deposition systems and methods
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
JP6403722B2 (ja) * 2016-07-21 2018-10-10 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム
US20180025931A1 (en) 2016-07-22 2018-01-25 Applied Materials, Inc. Processed wafer as top plate of a workpiece carrier in semiconductor and mechanical processing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022204482A1 (en) * 2021-03-26 2022-09-29 Applied Materials, Inc. Heater assembly with purge gap control and temperature uniformity for batch processing chambers
US11818810B2 (en) 2021-03-26 2023-11-14 Applied Materials, Inc. Heater assembly with purge gap control and temperature uniformity for batch processing chambers

Also Published As

Publication number Publication date
JP7443250B2 (ja) 2024-03-05
TW202208676A (zh) 2022-03-01
US20210404061A1 (en) 2021-12-30
US20210404062A1 (en) 2021-12-30
JP2021523982A (ja) 2021-09-09
JP2023058481A (ja) 2023-04-25
TWI777828B (zh) 2022-09-11
US20190352776A1 (en) 2019-11-21
US11131022B2 (en) 2021-09-28
TWI746980B (zh) 2021-11-21
WO2019222320A1 (en) 2019-11-21
CN112204169A (zh) 2021-01-08
TW202004868A (zh) 2020-01-16

Similar Documents

Publication Publication Date Title
KR102383687B1 (ko) 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
US11131022B2 (en) Atomic layer self aligned substrate processing and integrated toolset
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
US20200066572A1 (en) Methods Of Operating A Spatial Deposition Tool
KR20220061234A (ko) 개선된 균일성을 위한 디더링 또는 동적 오프셋들
JP2023113690A (ja) 空間堆積ツールを操作する方法
JP7249407B2 (ja) 補完的なパターンのステーション設計
KR102630443B1 (ko) 개선된 온도 균일성을 갖는 공간적 웨이퍼 처리
TWI838222B (zh) 具有空間分離的單個晶圓處理環境

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application