CN108026637A - 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜 - Google Patents

用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜 Download PDF

Info

Publication number
CN108026637A
CN108026637A CN201680052609.0A CN201680052609A CN108026637A CN 108026637 A CN108026637 A CN 108026637A CN 201680052609 A CN201680052609 A CN 201680052609A CN 108026637 A CN108026637 A CN 108026637A
Authority
CN
China
Prior art keywords
silane
double
plasma
aluminium
amino
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201680052609.0A
Other languages
English (en)
Inventor
雷新建
金武性
李建恒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to CN202311209900.2A priority Critical patent/CN117265512A/zh
Publication of CN108026637A publication Critical patent/CN108026637A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

本文描述了保形膜和用于形成保形的第4、5、6、13族金属或准金属掺杂的氮化硅介电膜的方法。在一个方面,提供了形成氮化铝硅膜的方法,其包括以下步骤:在反应器中提供衬底;将至少一种金属前体引入反应器中,所述至少一种金属前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;用吹扫气体吹扫反应器;将有机氨基硅烷前体引入反应器中以在所述衬底的表面的至少一部分上反应以提供化学吸附层;将包含氮和惰性气体的等离子体引入反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;和任选地用惰性气体吹扫所述反应器;并且其中重复所述步骤直到获得期望厚度的所述氮化铝膜。

Description

用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
相关专利申请的交叉引用
本申请要求于2015年9月11日提交的美国申请第62/217296号和于2015年10月6日提交的美国申请第62/237899号的权益。申请第62/217296号和第62/237899号的公开内容通过引用并入本文。
背景技术
本文描述了用于使用一种或多种第4、5、6或13族金属或准金属前体沉积掺杂一种或多种元素周期表的第4、5、6或13族金属或准金属的化学计量或非化学计量的氮化硅膜的方法。更具体地,本文描述了基于等离子体的循环方法,其包括但不限于等离子体增强原子层沉积(“PEALD”)、等离子体增强循环化学气相沉积(“PECCVD”)法,其用于沉积第4、5、6和/或13族金属或准金属掺杂的介电膜,例如铝、镓、铟、铊、硼或其组合掺杂的氮化硅膜,其可用于例如制造集成电路器件。
由于其独特性质的组合,含有第4、5、6、13族金属或非金属的介电膜(例如但不限于氮化铝(AlN)或氮化硼(BN)膜)可用于多种多样的电子应用。现有技术提供了用于制备和使用第13族金属或准金属掺杂介电膜(例如AlSiN膜)的不同方法。例如,美国专利第3,974,003号公开了用于沉积含有Al、N的层化学气相沉积(CVD)法,并且其包括提供待涂覆的衬底,载气及氮源化合物、铝源化合物和硅源材料的气体混合物,和将衬底加热至约500-1300℃的温度以导致形成含有Al、N和Si的层。可以使用反应物NH3、AlCl3和SiH4
美国公布第2015/0221495号公开了用于形成膜的循环沉积工艺,其包括:通过供应含有第一元素的气体而在衬底上形成包含第一元素的第一层;通过供应含有第二元素的气体以改变第一层而形成包含第一和第二元素的第二层;和通过将第一层的形成和第二层的形成设置为一个循环并且重复所述循环至少一次而形成具有预定厚度的薄膜。
美国专利第8,791,034号公开了用于在CVD条件下使用金属前体、硅前体和氮前体以将铝-硅氮化物层沉积在衬底上而在衬底上形成铝-硅氮化物层的CVD方法。
前文确定的专利和专利申请通过引用并入本文。
因此,本领域需要提供用于沉积保形的、高质量的、第4、5、6、13族元素掺杂的(例如但不限于铝掺杂氮化硅或铝掺杂碳氮化硅)膜的低温(例如,约500℃或更低的工艺温度)方法,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,低湿蚀刻速率(如在稀氢氟酸(0.5重量%HF)中测量的),氢含量小于20原子重量%,反射率(reflective index)为1.80或更大,及其组合。
附图说明
图1提供了如实施例4中所述使用三(二甲基氨基)铝、二异丙基氨基硅烷和氮等离子体沉积的AlSiN膜的透射电子显微镜(TEM)图像,其显示以下阶梯覆盖率:中部69%和底部78%。
图2提供了如实施例6中所述使用三甲基铝、双(叔丁基氨基)硅烷和氮等离子体沉积的AlSiN膜的透射电子显微镜(TEM)图像,其显示以下阶梯覆盖率:中部81%和底部94%。
发明内容
本文描述了用于将化学计量或非化学计量的第4、5、6、13族金属或准金属掺杂氮化硅膜(例如铝、镓、铟、铊、硼或其组合掺杂的氮化硅膜)形成到衬底的至少一部分上的方法。更具体地,本文描述了用于沉积铝掺杂氮化硅或铝掺杂碳氮化硅膜的原子层沉积(ALD)或循环CVD方法。
在一个方面中,提供了用于沉积铝掺杂氮化硅膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将选自AlCl3、三甲基铝(TMA)、三乙基铝、三(二甲基氨基)铝(TDMAA)、三(二甲基氨基)铝(TDMAA)和三(二乙基氨基)铝(TDEAA)的金属前体在足以使所述金属前体反应并提供化学吸附层的工艺条件下引入所述反应器中;
c.吹扫以除去未反应的金属前体;
d.将含等离子体源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;
e.用吹扫气体吹扫所述反应器;
f.将由下式I至IV表示的有机氨基硅烷前体引入所述反应器中:
其中R1选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C3至C10烯基、直链或支链C3至C10炔基、C1至C6二烷基氨基、吸电子基团、C6至C10芳基、C1至C10烷基甲硅烷基和甲硅烷基;R2选自氢、直链C2至C10烷基、支链C3至C10烷基、直链或支链C3至C6烯基、直链或支链C3至C6炔基、C1至C6二烷基氨基、C6至C10芳基、吸电子基团和C4至C10芳基;n=1或2;x=0、1、2;y=2、3;并且任选地其中式I、III和IV中的R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环,其中所述有机氨基硅烷前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
g.用吹扫气体吹扫所述反应器;
h.将含等离子体源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;和;
i.任选地用惰性气体吹扫所述反应器;并且其中重复步骤b至i直到获得期望厚度的所述膜。在式I、III和IV的某些实施方式中,R1和R2是相同的。在式I、III和III的其他实施方式中,R1和R2是不同的。在式I和IV的前述或其他实施方式中,R1和R2可以连接在一起以形成环。在这些实施方式中,该环可以是取代的或未取代的芳族或脂族环。在又一些实施方式中,R1和R2不连接在一起以形成环。在另一个实施方式中,含等离子体源选自氮和氩等离子体、氮和氖等离子体、氨等离子体、氮和氨等离子体、氨和氦等离子体、氨和氩等离子体、氨和氮等离子体、含NF3等离子体、含有机胺等离子体及其混合物。在又一个实施方式中,含等离子体源包含选自以下的不含氢的等离子体:氮等离子体;包含氮和氦的等离子体;包含氮和氩等离子体的等离子体;包含氮和氩等离子体的等离子体和包含氮和氖的等离子体。
在一个实施方式中,本文描述了用于在包含氮和任选地稀有气体的等离子体工艺中,使用第4、5、6、13族金属或准金属前体和具有本文所述的式I至IV的有机氨基硅烷前体,在低温或者约25℃至约500℃范围内的一个或多个沉积温度下,沉积保形的第4、5、6、13族金属或准金属氮化硅或碳氮化硅膜的等离子体增强原子层沉积(ALD)或等离子体增强ALD样方法。
本发明的另一方面涉及通过本发明方法获得的含硅膜、保形膜和膜。本发明的一个方面涉及铝掺杂氮化硅或铝掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,低湿蚀刻速率(如在稀氢氟酸(HF)中测量的),氢含量小于20原子重量%,反射率为1.80或更大,及其组合。
本发明的另一方面涉及镓掺杂氮化硅或镓掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,氢含量小于20原子重量%,反射率为1.80或更大,及其组合。
本发明的另一方面涉及钛掺杂氮化硅或钛掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,氢含量小于20原子重量%,反射率为1.80或更大,及其组合。
本发明的另一方面涉及锆掺杂氮化硅或锆掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,氢含量小于20原子重量%,反射率为1.80或更大,及其组合。
本发明的另一方面涉及铪掺杂氮化硅或铪掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,氢含量小于20原子重量%,反射率为1.80或更大,及其组合。
本发明的另一方面涉及钽掺杂氮化硅或钽掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,氢含量小于20原子重量%,反射率为1.80或更大,及其组合。
本发明的各个方面和实施方式可以单独使用或彼此组合使用。
具体实施方式
在低温例如500℃或更低,约200℃至约400℃和在某些情况下约250℃至约450℃的温度下,沉积保形的、化学计量的或非化学计量的第4、5、6、13族金属或准金属掺杂氮化硅或碳氮化硅介电膜(例如但不限于铝或硼或镓掺杂氮化硅膜)(其满足被认为是高质量膜的一个或多个标准)一直是行业面临的挑战。如果铝掺杂氮化硅膜具有以下特征中的一个或多个特性,则其被认为是“高质量”膜:如通过X射线反射计(XRR)测量的密度为2.2克/立方厘米(g/cc)或更大(例如,约2.2至约3.0g/cc,约2.4至约2.9g/cc和在一些情况下约2.4至约2.8g/cc),低湿蚀刻速率(如在稀氢氟酸(在DI水中的0.5重量%HF)中测量的),如通过二次离子质谱(SIMS)测量的氢含量为20原子(at.)%或更小(例如,约1原子%至约20原子%,约5原子%至约20原子%和在一些情况下约1原子%至约10原子%),反射率大于1.80或更大(例如,约1.8至约2.8,约2.0至约2.6和在一些情况下约2.2至约2.4),如通过汞探头测量的1E-7A/cm2或更低的低泄漏电流(例如约1E-8A/cm2至约9E-7A/cm2,约1E-8A/cm2至约1E-9A和在某些情况下约1E-7A/cm2至1E-9A/cm2),如通过汞探头测量的6MV/cm或更高的高击穿电压(例如,约6MV/cm至约10MV/cm,约6MV/cm至约8MV/cm和在一些情况下约7MV/cm至约9MV/cm),及其组合。
本文描述了用于将化学计量或非化学计量的第4、5、6或13族元素掺杂氮化硅膜(例如铝掺杂氮化硅、硼掺杂氮化硅、镓掺杂氮化硅、铟掺杂氮化硅、铊掺杂氮化物及其组合)形成到衬底的至少一部分上的方法。第4、5、6或13族元素如钛、铪、锆、钽、钨、铝、镓、铟的含量可以是1至20原子%,1原子%至15原子%并且在一些情况下约1原子%至10原子%。
本文还描述了用于在衬底的至少一部分上形成包含硅和氮的化学计量的或非化学计量的铝或镓掺杂氮化硅膜的方法。在某些实施方式中,铝掺杂氮化硅膜进一步包含碳或铝,例如碳氮化硅或氮化硅铝膜。在某些实施方式中,铝掺杂氮化硅膜进一步包含氧,例如氧氮化硅膜。在这个或其他实施方式中,铝掺杂氮化硅膜包含氧和碳,例如碳氧氮化硅膜。在整个说明书中,如本文所用的术语“铝掺杂氮化硅”是指包含铝、硅和氮的膜,其选自化学计量的或非化学计量的氮化硅铝、碳氮化硅铝、碳氧氮化硅铝及其混合物。铝或镓的含量可以是1至20原子%,1原子%至15原子%和在某些情况下约1原子%至10原子%。
如前所述,使用第4、5、6、13族金属或准金属前体如金属前体和有机氨基硅烷(其是由下式I至IV表示的至少一种)沉积膜:
其中R1选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C3至C10烯基、直链或支链C3至C10炔基、C1至C6二烷基氨基、吸电子基团、C6至C10芳基、C1至C10烷基甲硅烷基、甲硅烷基、有机氨基甲硅烷基、卤代甲硅烷基(halidosilyl);R2选自氢、直链C2至C10烷基、支链C3至C10烷基、直链或支链C3至C6烯基、直链或支链C3至C6炔基、C1至C6二烷基氨基、C6至C10芳基、吸电子基团和C4至C10芳基;n=1或2;x=0、1、2;y=2、3;并且任选地其中式I、III和IV中的R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;R3选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C2至C10烯基、直链或支链C2至C10炔基。具有式I、II、III和IV的示例性有机氨基硅烷包括,但不限于二-异丙基氨基硅烷、二-仲丁基氨基硅烷、苯基甲基氨基硅烷、2,6-二甲基哌啶子基硅烷、N-甲基环己基氨基硅烷、N-乙基环己基氨基硅烷、N-异丙基环己基氨基硅烷、2-甲基哌啶子基硅烷、N-甲硅烷基十氢喹啉、2,2,6,6-四甲基哌啶子基硅烷、2-(N-甲硅烷基甲基氨基)吡啶、N-叔丁基二硅氮烷、N-叔戊基二硅氮烷、N-(3-甲基-2-吡啶基)二硅氮烷、N-(2-甲基苯基)二硅氮烷、N-(2-乙基苯基)二硅氮烷、N-(2,4,6-三甲基苯基)二硅氮烷、N-(2,6-二-异丙基(pripyl)苯基)二硅氮烷、二-异丙基氨基乙硅烷、二-异丁基氨基乙硅烷、二-仲丁基氨基乙硅烷、2,6-二甲基哌啶子基硅烷、N-甲基环己基氨基乙硅烷、N-乙基环己基氨基乙硅烷、苯基甲基氨基乙硅烷、2-(N-二甲硅烷基甲基氨基)吡啶、N-苯基乙基乙硅烷、N-异丙基环己基氨基乙硅烷、1,1-(N,N’-二-叔丁基亚乙基二氨基)乙硅烷、双(异丙基氨基)甲基硅烷、双(异丁基氨基)甲基硅烷、双(仲丁基氨基)甲基硅烷、双(叔丁基氨基)甲基硅烷、双(叔戊基氨基)甲基硅烷、双(环己基氨基)甲基硅烷、双(异丙基氨基)二甲基硅烷、双(异丁基氨基)二甲基硅烷、双(仲丁基氨基)二甲基硅烷、双(叔丁基氨基)二甲基硅烷、双(叔戊基氨基)二甲基硅烷、和双(环己基氨基)二甲基硅烷、双(二甲基氨基)硅烷、双(二乙基氨基)硅烷、双(乙基甲基氨基)硅烷、双(叔丁基氨基)硅烷、三(二甲基氨基)硅烷、三(异丙基氨基)硅烷。在一些实施方式中,式II中的R1是甲硅烷基、有机氨基甲硅烷基、卤代甲硅烷基,式II的有机氨基硅烷变成为三甲硅烷基胺(TSA,R1=SiH3)或TSA衍生物如单氯-TSA(R1=ClSiH2)、单溴-TSA(R1=BrSiH2)、单有机氨基-TSA(R1=NR4R5,其中R4和R5独立地选自氢、直链C1至C10烷基、支链C3至C10烷基、直链或支链C3至C10烯基、直链或支链C3至C10炔基)。
具有本文所述的式I、II、III和IV的有机氨基硅烷前体表现出反应性和稳定性的平衡,这使其理想地适合作为用于半导体器件制造工艺的PEALD或PECCVD前体。关于反应性,某些前体可能具有太高的沸点而不能被蒸发和递送到反应器中以作为膜沉积在衬底上。具有较高相对沸点(例如大于约250℃)的前体要求的是递送容器和管线需要在给定真空下被加热到前体的沸点或以上以防止冷凝或在容器、管线或两者中形成颗粒。不希望受任何理论或解释的束缚,据信具有式I、II、III或IV的有机氨基硅烷前体具有比现有技术中公开的那些更多的Si-H基团,由此通过由吸附的金属前体催化Si-H与Si-NH反应以形成Si-N-Si键而潜在地允许沉积高质量的铝掺杂氮化硅。还据信在某些实施方式中,具有Si-NH基团的式IV的有机氨基硅烷前体例如双(叔丁基氨基)硅烷(BTBAS)或双(仲丁基氨基)甲基硅烷或双(异丙基氨基)甲基硅烷可以与吸附的Al-Me基团反应以形成Al-N-Si键,然后允许更多硅片段被锚定在结构化衬底上并促进形成高度保形的铝掺杂氮化硅或碳氮化硅。在某些实施方式中,具有本文所述的式I至IV的有机氨基硅烷前体包含2重量%或更少,或1重量%或更少或0.5重量%或更少的副产物(在储存6个月或更久,或者一年或更久的时间后,这表明是储存稳定的)。除了上述优点之外,在某些实施方式中,例如用于使用PEALD或PECCVD沉积方法沉积铝掺杂氮化硅膜,本文所述的有机氨基硅烷前体可以能够在一个或多个沉积温度下,例如400℃或更低,350℃或更低,300℃或更低,或250℃或更低,200℃或更低,150℃或更低,100℃或更低,或50℃或更低,沉积高密度材料。
在本文的式和整个说明书中,术语“烷基”表示通过除去一个氢原子而从烷烃衍生并具有1至10或3至6或3至4个碳原子的基团。示例性直链烷基包括但不限于甲基、乙基、正丙基、正丁基和正戊基。示例性支链烷基包括但不限于异丙基、叔丁基和仲丁基。
在本文的式和整个说明书中,术语“环状烷基”表示具有3至10个或4至10个碳原子或5至10个碳原子的环状官能团。示例性环状烷基包括但不限于环丁基、环戊基、环己基和环辛基。
在本文的式和整个说明书中,术语“芳基”表示具有5至12个碳原子或6至10个碳原子的芳族环状官能团。示例性芳基包括但不限于苯基、苄基、氯苄基、甲苯基和邻二甲苯基。
在本文的式和整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键且具有3至10或3至6或3至4个碳原子的基团。
在本文的式和整个说明书中,术语“炔基”表示具有一个或多个碳-碳三键且具有3至10或3至6或3至4个碳原子的基团。
在本文的式和整个说明书中,术语“二烷基氨基”表示具有连接到氮原子上的两个烷基且具有1至10或2至6或2至4个碳原子的基团。示例性芳基包括但不限于二甲基氨基、二乙基氨基和乙基甲基氨基。
在本文的式和整个说明书中,术语“烷基甲硅烷基”表示具有至少一个硅原子以及1至10或2至6或2至4个碳原子的基团。示例性烷基甲硅烷基包括但不限于甲基甲硅烷基(MeSiH2-)、二甲基甲硅烷基(Me2SiH-)、三甲基甲硅烷基(Me3Si-)。甲硅烷基是指H3Si-或(H3Si)2NSiH2-。
在本文的式和整个说明书中,术语“吸电子基团”表示起到将电子从M-N键吸离的作用的原子或其基团。合适的吸电子基团或取代基的实例包括但不限于腈(CN)。在某些实施方式中,吸电子取代基可以与式I至III中任一个中的N相邻或接近。吸电子基团的另一些非限制性实例包括F、Cl、Br、I、CN、NO2、RSO和/或RSO2,其中R可以是C1-C10烷基,例如但不限于甲基或另外的基团。
在本文的式和整个说明书中,术语“三甲硅烷基胺衍生物”表示衍生自三甲硅烷基胺的化合物。示例性的三甲硅烷基胺衍生物包括但不限于具有式(ClSiH2)N(SiH3)2的(氯甲硅烷基)双(甲硅烷基)胺、具有式(BrSiH2)N(SiH3)2的(溴甲硅烷基)双(甲硅烷基)胺、具有式(iPr2NSiH2)N(SiH3)2的(二-异丙基氨基甲硅烷基)双(甲硅烷基)胺、具有式(Et2NSiH2)N(SiH3)2的(二乙基氨基甲硅烷基)双(甲硅烷基)胺、具有式(Me2NSiH2)N(SiH3)2的(二甲基氨基甲硅烷基)双(甲硅烷基)胺、具有式(EtMeNSiH2)N(SiH3)2的(乙基甲基氨基甲硅烷基)双(甲硅烷基)胺、具有式N(H2SiNMe2)3的三(二甲基氨基甲硅烷基)胺、具有式N(H2SiNEt2)3的三(二乙基氨基甲硅烷基)胺。
在整个说明书中,术语“挥发性铝前体”表示具有至少一个Al-C键、Al-N键、Al-卤素键或其组合的铝化合物,具有蒸气。
在整个说明书中,术语“挥发性镓前体”表示具有至少一个Ga-C键、Ga-N键、Ga-卤素键或其组合的镓化合物,其在50℃或更高的温度下的蒸气压为0.5托或更高。
在某些实施方式中,式I至IV中的烷基、烯基、炔基、烷氧基、二烷基氨基、芳基和/或吸电子基团中的一个或多个可以被取代或者具有替代例如氢原子的一个或多个原子或原子团。示例性取代基包括但不限于氧、硫、卤素原子(例如F、Cl、I或Br)、氮和磷。例如,术语“氟化烷基”表示其中烷基的一个或多个原子如氢原子被氟原子取代的基团。
在整个说明书中,如本文所用的术语“有机胺”描述具有至少一个氮原子的有机化合物。有机胺的实例包括但不限于甲胺、乙胺、丙胺、异丙胺、叔丁胺、仲丁胺、叔戊胺、乙二胺、二甲胺、三甲胺、二乙胺、吡咯、2,6-二甲基哌啶、二正丙基胺、二异丙基胺、乙基甲基胺、N-甲基苯胺、吡啶和三乙胺。类似地,在整个说明书中,如本文所用的术语“有机氨基”是指由衍生自如上所述的有机仲胺或伯胺的至少一个氮原子组成的有机基团。“有机氨基”不包括-NH2基团。
在整个说明书中,如本文所使用的术语“阶梯覆盖率”被定义为在具有通孔或沟槽或两者的结构化或特征化衬底中沉积的金属掺杂氮化硅介电膜的两个厚度的百分比,底部阶梯覆盖率是以下比率(以%表示):特征底部的厚度除以特征顶部的厚度,并且中部阶梯覆盖率是以下比率(以%表示):特征侧壁上的厚度除以特征顶部的厚度。使用本文所述的方法沉积的膜表现出约60%或更大,约70%或更大,约80%或更大,或约90%或更大的阶梯覆盖率,其表明膜是保形的。
在整个说明书中,如本文所使用的术语“介电膜”被定义为具有如通过汞探头测量的20或更小,10或更小,7或更小,6或更小,5或更小,4或更小的介电常数的材料。
该方法还包括第4、5、6、13族金属或准金属前体。示例性第4、5、6、13族金属或准金属前体包括但不限于三甲基铝、三乙基铝、三(二甲基氨基)铝、三(乙基甲基氨基)铝、烷基氯化铝(例如甲基氯化铝、DMACl)、三甲基硼烷、三乙基硼烷、三(二甲基氨基)硼烷、三(乙基甲基氨基)硼烷、三(二乙基氨基)硼烷、氯化镓、三甲基镓、三乙基镓、三(二甲基氨基)镓、三(乙基甲基氨基)镓、氯化锆(ZrCl4)、四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、三(二甲基氨基)(环戊二烯基)锆、三(二甲基氨基)(甲基环戊二烯基)锆、三(二甲基氨基)(乙基环戊二烯基)锆、三(二乙基氨基)(环戊二烯基)锆、三(二乙基氨基)(甲基环戊二烯基)锆、三(二乙基氨基)(乙基环戊二烯基)锆、三(乙基甲基氨基)(环戊二烯基)锆、三(乙基甲基氨基)(甲基环戊二烯基)锆、三(乙基甲基氨基)(乙基环戊二烯基)锆、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)、四(乙基甲基氨基)铪(TEMAH)、三(二甲基氨基)(环戊二烯基)铪、三(二甲基氨基)(甲基环戊二烯基)铪、三(二甲基氨基)(乙基环戊二烯基)铪、三(二乙基氨基)(环戊二烯基)铪、三(二乙基氨基)(甲基环戊二烯基)铪、三(二乙基氨基)(乙基环戊二烯基)铪、三(乙基甲基氨基)(环戊二烯基)铪、三(乙基甲基氨基)(甲基环戊二烯基)铪、三(乙基甲基氨基)(乙基环戊二烯基)铪、氯化钛(TiCl4)、四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基氨基)钛(TEMAT)、氯化钽(TaCl5)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、六氯化钨、五氯化钨、双(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW)、双(叔丁基亚氨基)双(二乙基氨基)钨、双(叔丁基亚氨基)双(乙基甲基氨基)钨及其组合。另外的示例性第4、5、6、13族金属或准金属前体包括“硼烷有机胺配合物”。“硼烷有机胺配合物”表示通过使硼烷或乙硼烷与有机胺反应而形成的稳定和挥发性的硼烷配合物。示例性有机胺硼烷配合物包括但不限于硼烷三甲胺配合物、硼烷三乙胺配合物、二甲胺硼烷、硼烷吡啶配合物、硼烷吗啉配合物、硼烷叔丁胺配合物、硼烷4-甲基吗啉配合物、硼烷N,N-二异丙基乙胺配合物、硼烷乙二胺配合物、2-甲基吡啶硼烷配合物。
在某些实施方式中,第4、5、6、13族金属包括铝。在这些实施方式中,前体是选自AlCl3、三甲基铝(TMA)、三乙基铝、甲基氯化铝(MeAlCl2)、三(二甲基氨基)铝(TDMAA)、三(二甲基氨基)铝(TDMAA)和三(二乙基氨基)铝(TDEAA)的铝前体。
用于形成第4、5、6、13族金属或准金属氮化物膜(例如但不限于氮化铝或氮化硼膜或涂层)的方法是沉积工艺。适合于本文公开的方法的沉积工艺的实例包括但不限于使用本领域已知的设备的等离子体增强ALD(PEALD)或等离子体增强循环CVD(PECCVD)工艺。如本文所用,术语“化学气相沉积工艺”是指其中衬底暴露于一种或多种挥发性前体的任何工艺,所述挥发性前体在衬底表面上反应和/或分解以产生期望的沉积。如本文所用,术语“原子层沉积工艺”是指自限式的(例如,在每个反应循环中沉积的膜材料的量是恒定的)、顺序表面化学作用,其将材料的膜沉积到具有不同组成的衬底上。尽管本文使用的前体、试剂和源有时可以被描述为“气体的”,但应理解,前体可以是液体或固体,其通过直接蒸发、鼓泡或升华在有或者没有惰性气体的情况下被输送到反应器中。在一些情况下,蒸发的前体可以穿过等离子体发生器。在一个实施方式中,使用ALD工艺沉积氮化铝膜。在另一个实施方式中,使用CCVD工艺沉积氮化铝膜。在另一个实施方式中,使用热CVD工艺沉积氮化铝膜。如本文使用的术语“反应器”包括但不限于具有本领域已知的设计和操作的反应室或沉积室。ALD样工艺在本文中被定义为循环CVD工艺,其在衬底上提供高保形氮化铝膜,如通过具有以下的至少一个所示的:通过椭率计测量的不均匀性的百分比为约5%或更小,沉积速率为或更大/循环,或其组合。
在某些实施方式中,本文公开的方法通过使用在引入到反应器中之前和/或期间分隔前体的PEALD或PECCVD方法而避免前体的过早反应。在这个方面,使用如PEALD或PECCVD工艺的沉积技术沉积第4、5、6、13族金属或准金属氮化物膜。在一个实施方式中,通过将衬底表面交替暴露于一种或多种氮化铝前体、含氮源或其他前体或试剂而通过PEALD工艺沉积膜。膜生长通过表面反应的自限式控制、每种前体或试剂的脉冲长度和沉积温度进行。然而,一旦衬底表面饱和,膜生长停止。
在某些实施方式中,第4、5、6、13族金属或准金属氮化物膜包含铝和氮或硼和氮或者镓和氮或者钛和氮化物。在这些实施方式中,使用本文所述的方法沉积的氮化铝或氮化硼或氮化镓膜在含氮源的存在下形成。含氮源可以以至少一种含氮源的形式引入反应器中和/或可以附带地存在于沉积工艺中使用的其他前体中。合适的含氮源气体可以包括例如氮/氩等离子体。在某些实施方式中,含氮源包含以约1至约2000标准立方厘米(sccm)或约1至约1000sccm的流速引入反应器的氮/氩等离子体源气体。可以将含氮源引入约0.1至约100秒的时间。在其中膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,并且含氮源可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一个实施方式中,脉冲之间的吹扫持续时间可以低至0秒,或者连续地脉冲而没有中间的吹扫。
在本文所述的方法中,可以原位或远程产生包含含氮气体(例如但不限于氮气和任选的稀有气体,优选原子量大于氮原子量(即28amu)的稀有气体)的含氮等离子体。不希望受任何理论或解释的束缚,据信原子量大于氮原子量的稀有气体的存在产生更多的原子氮自由基。氮等离子体源气体以约1至约2000平方立方厘米(sccm)或约1至约1000sccm或更高的流速引入反应器中。可以将含氮等离子体引入约0.01至约100秒或更长的时间。在实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,并且含氮等离子体可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一个实施方式中,前体脉冲和氮等离子体之间的吹扫持续时间可以低至0秒。在又一个实施方式中,当可以使用氢等离子体时,可以使用与稀有气体混合的纯氢气(H2)原位或远程产生氢等离子体。含有氮气和稀有气体两者的等离子体中稀有气体的重量百分比可以是1重量%至99重量%(例如,约1重量%至约50重量%,约10重量%至约90重量%和在一些情况下约5重量%至约95重量%),而含有氢气和稀有气体两者的等离子体中稀有气体的重量百分比也可以是1重量%至99重量%。
不希望受理论束缚,据信含有氮气和稀有气体(如氩气)两者的等离子体可以促进形成第4、5、6、13族金属或准金属介电膜,例如氮化铝膜(其具有较少的氢含量)以及在化学吸附表面的至少一部分上,特别是在结构化衬底的侧壁上提供反应性位点,例如Al-Me或Al-NMe2基团,允许在后续沉积循环中将含硅片段锚定到这些反应性位点上,因此促进氮化硅在通孔或沟槽的侧壁或底部上的沉积,并且使60%或更大的阶梯覆盖率成为可能,因为非常难以实现这样的阶梯覆盖率。还据信由电极面积上的等离子体功率定义(例如,对于6“晶片ALD反应器,等离子体功率为450W,假设电极面积与晶片相同,则等离子体密度为约2.5W/cm2)且优选低于2W/cm2以减少衬底上以及衬底上的任何内置结构的潜在等离子体损伤的等离子体密度仍然产生高质量的氮化铝膜,其可以在半导体制造工艺中实施。本文所述方法的等离子体密度范围为约0.01至约2W/cm2,或约0.01至约1.5W/cm2,或约0.01至1W/cm2。典型的等离子体频率范围为10KHz到2.4GHz,优选10kHz到60MHz。在一些实施方式中,可以使用双RF等离子体:一个是范围为10kHz到1MHz的低频且另一个是选自13.56MHz和27.1MHz的中频。
本文所述的沉积方法可以涉及一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性吹扫气体包括但不限于氩气(Ar)、氮气(N2)、氦气(He)、氖气(Ne)、氢气(H2)及其混合物。在某些实施方式中,用作吹扫气体的惰性气体包括稀有气体。如本文使用的术语“稀有气体”是指见于周期表第18族中的那些气体,并且包括氦气(He)、氖气(Ne)、氩气(Ar)、氙气(Xe)、氪气(Kr)及其混合物。在一个特定实施方式中,用作吹扫气体的稀有气体包含氩气。在这个或其它实施方式中,将包含Ar的吹扫气体以约10至约2000sccm的流速供应至反应器中约0.1至1000秒,由此吹扫未反应的前体材料和可能保留在反应器中的任何副产物。
供应前体、含氮源和/或其他前体、源气体和/或试剂的相应步骤可以通过改变供应它们的时间来进行以改变所得膜的化学计量组成。
能量被施加到前体、含氮源、还原剂、其他前体或其组合中的至少一种以引发反应并在衬底上形成膜或涂层。这样的能量可以通过使用本领域已知的设备,通过但不限于热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合提供。在某些实施方式中,次级RF射频源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生过程可以包括直接等离子体发生过程(其中等离子体在反应器中直接产生)或者远程等离子体发生过程(其中等离子体在反应器外部产生并被供应到反应器中)。
第4、5、6、13族金属或准金属前体、具有式I至IV的有机氨基硅烷或两者可以以各种方式(如鼓泡、蒸气抽吸或直接液体注入(DLI))被递送至反应室,例如单晶片式或分批式PEALD或PECCVD反应器。在一个实施方式中,可以使用液体递送系统。在替代性实施方式中,可以使用组合的液体递送和闪蒸处理单元,例如由MSP Corporation,Shoreview,MN制造的涡轮蒸发器,以使低挥发性材料能够被定量地(volumetrically)递送,这导致可重复的输送和沉积而不使前体热分解。在液体递送方式中,本文所述的前体可以以纯净液体形式递送,或者可以在包含其的溶剂制剂或组合物中使用。因此,在某些实施方式中,前体制剂可以包含具有合适特性(如在给定的最终用途应用中可能期望和有利的)的溶剂组分,以在衬底上形成膜。在本文所述组合物的某些实施方式中,示例性溶剂可以包括但不限于醚、叔胺、烷基烃、芳族烃、叔氨基醚及其组合。在某些实施方式中,有机氨基乙硅烷的沸点与溶剂的沸点之间的差值为40℃或更低。硅前体化合物在溶剂中的重量%可以是1至99重量%,或10至90重量%,或20至80重量%,或30至70重量%,或40至60重量%,或50至50重量%。
在某些实施方式中,取决于工艺要求,将从前体罐连接至反应室的气体管线加热至一个或多个温度,并且将具有本文所述的式I至IV的金属前体的容器保持在一个或更多的温度下以用于鼓泡。在其他实施方式中,将包含具有本文所述的式的至少一种氮化铝前体的溶液注入保持在用于直接液体注入的一个或多个温度下的蒸发器中。
可以使用氩气和/或其他惰性气体的流作为载气以帮助在前体脉冲期间将至少一种金属前体的蒸气递送到反应室。在某些实施方式中,反应室工艺压力为约2托或更小。在其他实施方式中,反应室工艺压力约为10托或更小。
在典型的PEALD或PECCVD或PEALD样工艺中,在最初暴露于氮化铝前体的反应室中的加热器台上加热衬底,例如但不限于氧化硅、碳掺杂氧化硅、柔性衬底或金属氮化物衬底,以允许金属前体化学吸附到衬底表面上。吹扫气体例如氮气、氩气或其他惰性气体从工艺室吹扫掉未被吸附的过量铝。在充分吹扫之后,可以将含氮源引入反应室中以与吸附表面反应,接着进行另一气体吹扫以从反应室除去反应副产物。可以重复工艺循环以达到期望的膜厚度。在其它实施方式中,在真空下抽吸(pumping)可以用于从工艺室除去未吸附的过量铝;在抽吸下充分排空之后,可以将含氮源引入反应室中以与吸附表面反应,接着进行另外的抽空(pump down)吹扫以从该室除去反应副产物。
在一个方面中,提供了用于通过等离子体增强原子层沉积或等离子体增强ALD样沉积而沉积铝掺杂氮化硅或镓掺杂氮化硅膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将选自AlCl3、三甲基铝(TMA)、甲基氯化铝、三乙基铝、三(二甲基氨基)铝(TDMAA)、三(二甲基氨基)铝(TDMAA)、三(二乙基氨基)铝(TDEAA)、氯化镓、三甲基镓、三乙基镓、三(二甲基氨基)镓、三(乙基甲基氨基)镓、三(二乙基氨基)镓的铝或镓前体在足以与所述衬底相互作用(例如,使所述铝前体反应并提供化学吸附层)的工艺条件下引入所述反应器中;
c.吹扫以除去未反应的铝前体;
d.将含等离子体源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;
e.用吹扫气体吹扫所述反应器;
f.将由下式I至IV表示的有机氨基硅烷前体引入所述反应器中:
其中R1选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C3至C10烯基、直链或支链C3至C10炔基、C1至C6二烷基氨基、吸电子基团、C6至C10芳基、C1至C10烷基甲硅烷基和甲硅烷基;R2选自氢、直链C2至C10烷基、支链C3至C10烷基、直链或支链C3至C6烯基、直链或支链C3至C6炔基、C1至C6二烷基氨基、C6至C10芳基、吸电子基团和C4至C10芳基;n=1或2;x=0、1、2;y=2、3;并且任选地其中式I、III和IV中的R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;R3选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C2至C10烯基、直链或支链C2至C10炔基,其中所述有机氨基硅烷前体与所述衬底相互作用(例如,在所述衬底的表面的至少一部分上反应以提供化学吸附层);
g.用吹扫气体吹扫所述反应器;
h.将含等离子体源引入所述反应器中以与所述化学吸附层的至少一部分相互作用(例如,反应)并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;和
i.任选地用惰性气体吹扫所述反应器;并且其中重复步骤b至i直到获得期望厚度的所述膜。在一些实施方式中,含等离子体源可以以至少一个氮源的形式引入到反应器中和/或可以附带地存在于沉积工艺中使用的其他前体中。合适的含氮源气体可以包括例如氨、单烷基肼、二烷基肼、氮等离子体、氮/氢、氮/氦、氮/氩等离子体、氨等离子体、氮/氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3等离子体、有机胺等离子体及其混合物。在其他实施方式中,等离子体选自氢等离子体、氦等离子体、氖等离子体、氩等离子体、氙等离子体、氢/氦等离子体、氢/氩等离子体及其混合物。在本文所述方法的某些实施方式中,重复步骤b至i以提供厚度为约0.1至约或约0.1至约或约0.1至约或约0.1至约或0.1至的膜。在一些实施方式中,步骤b至e可以在步骤f至i之前重复许多次,以产生具有更低铝含量(即基于XPS测量,Al含量为10%或更少)的包含交替的氮化铝和氮化硅的纳米层状结构。在这个或另一实施方式中,步骤f到i重复许多次以产生具有较高铝含量(例如,基于XPS测量,Al含量为10%或更高)的包含交替的氮化铝和氮化硅纳米层状结构。在一些实施方式中,含等离子体源可以以至少一个氮源的形式引入到反应器中和/或可以附带地存在于沉积工艺中使用的其他前体中。在本文所述方法的一个特定实施方式中,可以在步骤d或h之前插入选自氢等离子体、氢/氦、氢/氩等离子体、氢/氖等离子体及其混合物的包含氢的等离子体以帮助除去由铝或硼前体与表面之间的反应产生的烃。在替代性实施方式中,等离子体包括非氢等离子体。在其他实施方式中,与从四(二甲基氨基)钛(TDMAT)、硅烷和氨沉积的具有小于10原子%硅含量的常规的金属掺杂的含硅和氮的导电膜(例如TiSiN)相反,步骤b中的铝前体可以用金属前体代替以提供金属掺杂的含硅和氮的介电膜。金属前体可以选自:氯化锆(ZrCl4)、四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、三(二甲基氨基)(环戊二烯基)锆、三(二甲基氨基)(甲基环戊二烯基)锆、三(二甲基氨基)(乙基环戊二烯基)锆、三(二乙基氨基)(环戊二烯基)锆、三(二乙基氨基)(甲基环戊二烯基)锆、三(二乙基氨基)(乙基环戊二烯基)锆、三(乙基甲基氨基)(环戊二烯基)锆、三(乙基甲基氨基)(甲基环戊二烯基)锆、三(乙基甲基氨基)(乙基环戊二烯基)锆、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)、四(乙基甲基氨基)铪(TEMAH)、三(二甲基氨基)(环戊二烯基)铪、三(二甲基氨基)(甲基环戊二烯基)铪、三(二甲基氨基)(乙基环戊二烯基)铪、三(二乙基氨基)(环戊二烯基)铪、三(二乙基氨基)(甲基环戊二烯基)铪、三(二乙基氨基)(乙基环戊二烯基)铪、三(乙基甲基氨基)(环戊二烯基)铪、三(乙基甲基氨基)(甲基环戊二烯基)铪、三(乙基甲基氨基)(乙基环戊二烯基)铪、氯化钛(TiCl4)、四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基氨基)钛(TEMAT)、氯化钽(TaCl5)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、六氯化钨、五氯化钨、双(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW)、双(叔丁基亚氨基)双(二乙基氨基)钨、双(叔丁基亚氨基)双(乙基甲基氨基)钨及其组合。在其他实施方式中,可以将金属前体在有机氨基硅烷前体之后引入反应器中,即在步骤b中引入有机氨基硅烷前体,而在步骤f中引入金属前体。
另一方面,提供了通过等离子体增强原子层沉积或等离子体增强ALD样沉积而沉积镓掺杂氮化硅或铝掺杂碳氮化硅膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将选自AlCl3、三甲基铝(TMA)、三乙基铝、甲基氯化铝、三(二甲基氨基)铝(TDMAA)、三(二甲基氨基)铝(TDMAA)、三(二乙基氨基)铝(TDEAA)、氯化镓、三甲基镓、三乙基镓、三(二甲基氨基)镓、三(乙基甲基氨基)镓、三(二乙基氨基)镓和其他挥发性铝或镓前体的至少一种金属前体引入所述反应器中;
c.吹扫以除去未反应的金属前体;
d.将由下式I至IV表示的有机氨基硅烷前体引入所述反应器中:
其中R1选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C3至C10烯基、直链或支链C3至C10炔基、C1至C6二烷基氨基、吸电子基团、C6至C10芳基、C1至C10烷基甲硅烷基和甲硅烷基;R2和R3选自氢、直链C2至C10烷基、支链C3至C10烷基、直链或支链C3至C6烯基、直链或支链C3至C6炔基、C1至C6二烷基氨基、C6至C10芳基、吸电子基团和C4至C10芳基;n=1或2;x=0、1、2;y=2、3;并且任选地其中式I、III和IV中的R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;R3选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C2至C10烯基、直链或支链C2至C10炔基,其中所述有机氨基硅烷前体与所述衬底的表面的至少一部分相互作用(例如,在其上反应)以提供化学吸附层;
e.用吹扫气体吹扫所述反应器;
f.将含等离子体源引入所述反应器中以与所述化学吸附层的至少一部分相互作用(例如,反应)并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;和
g.任选地用惰性气体吹扫所述反应器;并且
其中重复步骤b至g直到获得期望厚度的所述膜。在一些实施方式中,含等离子体源可以以至少一个氮源的形式引入到反应器中和/或可以附带地存在于沉积工艺中使用的其他前体中。合适的含氮源气体可以包括例如氨、单烷基肼、二烷基肼、氮等离子体、氮/氢、氮/氦、氮/氩等离子体、氨等离子体、氮/氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3等离子体、有机胺等离子体及其混合物。在其他实施方式中,等离子体选自氢等离子体、氦等离子体、氖等离子体、氩等离子体、氙等离子体、氢/氦等离子体、氢/氩等离子体及其混合物。在其他实施方式中,可以将金属前体在有机氨基硅烷前体之后引入反应器中,即在步骤b中引入有机氨基硅烷前体,而在步骤f中引入金属前体。
上述步骤定义本文描述的方法的一个循环;并且该循环可以重复直到获得期望厚度的膜。在这个或其他实施方式中,应理解,本文所述方法的步骤可以以各种顺序实施,可以顺序或同时(例如,在另一步骤的至少一部分的期间)实施,及以其任何组合实施。供应前体和含等离子体源的相应步骤可以通过改变供应它们的持续时间来进行以改变所得氮化物膜的化学计量组成,尽管总是相对于可用的第4、5、6、13族金属或准金属使用小于化学计量量的氮。
在某些实施方式中,所得第4、5、6、13族金属或准金属掺杂的氮化硅膜或涂层可以暴露于沉积后处理,例如但不限于等离子体处理、化学处理、紫外光暴露、电子束暴露和/或影响膜的一种或多种性质的其他处理。在本文所述方法的又一个实施方式中,膜或如此沉积的膜进行处理步骤。处理步骤可以在沉积步骤的至少一部分的期间、沉积步骤之后及其组合的情况下进行。示例性处理步骤包括但不限于通过高温热退火的处理;等离子体处理;紫外(UV)光处理;激光;电子束处理及其组合,以影响膜的一个或多个性质。在与在相同条件下用先前公开的硅前体沉积的膜相比时,用本文描述的具有一个或两个Si-C-Si键的硅前体沉积的膜具有改善的性质,例如但不限于,低于处理步骤之前的膜的湿蚀刻速率的湿蚀刻速率,或高于处理步骤之前的密度的密度。在一个特定实施方式中,在沉积过程中,如此沉积的膜被间歇地处理。这些间歇的或沉积中的处理可以例如在每个ALD循环之后,在每一定数量的ALD(例如但不限于一个(1)ALD循环,两个(2)ALD循环,五个(5)ALD循环,十个(10)或更多个ALD循环,五十个(50)或更多个,一百个(100)或更多个,五百个(500)或更多个)之后进行。
在其中用高温退火步骤处理膜的实施方式中,退火温度比沉积温度高至少100℃或更多。在这个或其他实施方式中,退火温度在约400℃至约1000℃的范围内。在这个或其他实施方式中,退火处理可以在真空(<760托)、惰性环境或含氧环境(例如H2O、N2O、NO2或O2)中进行。
在使膜进行UV处理的实施方式中,膜暴露于宽带UV,或者具有约150纳米(nm)至约400nm范围波长的UV源。在一个特定实施方式中,在达到期望的膜厚度之后,使如此沉积的膜在与沉积室不同的室中暴露于UV。
在其中膜用等离子体处理的实施方式中,等离子体源选自氢等离子体、包含氢和氦的等离子体、包含氢和氩的等离子体、氦等离子体、氩等离子体、氮等离子体、氨等离子体及其组合。
如前所述,本文所述的方法可用于在衬底的至少一部分上沉积第4、5、6、13族金属或准金属掺杂氮化硅膜。合适的衬底的实例包括但不限于硅、锗、硅/锗、SiO2、Si3N4、OSG、FSG、碳化硅、氢化碳化硅、氮化硅、氢化氮化硅、碳氮化硅、氢化碳氮化硅、硼氮化物、抗反射涂层、光致抗蚀剂、柔性衬底(如IGZO)、有机聚合物、多孔有机和无机材料、金属如铜和铝,及扩散屏障层,例如但不限于TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。膜与各种后续处理步骤(例如化学机械平面化(CMP)和各向异性蚀刻过程)相容。
沉积的膜具有应用,其包括但不限于计算机芯片、光学器件、磁性信息存储器、支撑材料或衬底上的涂层、微机电系统(MEMS)、纳米机电系统、薄膜晶体管(TFT)、发光二极管(LED)、有机发光二极管(OLED)、IGZO和液晶显示器(LCD)。
以下实施例说明本文所述的用于沉积第4、5、6、13族金属或准金属掺杂氮化硅膜的方法,并且不应限制所附权利要求的范围。
实施例
在以下实施例中,除非另有说明,性质从沉积到中等电阻率(14-17Ω-cm)单晶硅晶片衬底上的样品膜获得。使用具有喷淋头设计并使用13.56MHz直接等离子体的CN-1反应器,或不具有等离子体的交叉流型CN-1反应器(用于比较例)进行所有膜沉积。在典型的工艺条件下,除非另有说明,室压被固定在约1至约5托范围内的压力下。使用额外的惰性气体如氩气或氮气维持室压。使用蒸汽抽吸或Ar鼓泡递送金属前体,并且使用蒸汽抽吸递送有机氨基硅烷前体。所用典型RF功率在150mm晶片基座的电极面积上是125W,以提供0.7W/cm2的功率密度。膜沉积包括表1中列出的分别用于热ALD和等离子体增强ALD(PEALD)的步骤。
表1.用于ALD金属掺杂氮化硅介电膜的步骤
使用椭率计测量沉积膜的反应指数(RI)和厚度。使用标准方程计算膜不均匀性:不均匀性%=((最大厚度-最小厚度)/(2*平均(avg)厚度))×100。使用傅立叶变换红外(FTIR)光谱法、X射线光电子能谱(XPS)和动态二次离子质谱(DSIMS)分析膜结构和组成。用X射线反射率(XRR)测量膜的密度。
比较例1.使用二异丙基氨基硅烷(DIPAS)和氮等离子体的PEALD氮化硅膜
将硅晶片装载到配有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。使用蒸气抽吸法将有机氨基硅烷前体二异丙基氨基硅烷(DIPAS)递送至反应室。图案晶片块具有纵横比(AR)=12:1(例如,AR被定义为通孔或沟槽的深度除以宽度;宽度=~84nm,深度=~1030nm)并被用于测试阶梯覆盖率。ALD循环由以下工艺步骤组成:
a.准备反应器并装载晶片
√室压:2托
b.将有机氨基硅烷前体引入反应器中
√N2总流量:1000sccm
√有机氨基硅烷脉冲:1秒
c.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
d.引入等离子体
√N2总流量:1000sccm
√等离子体功率:125W
√等离子体脉冲:10秒
e.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
这些步骤重复1500个循环。膜的横截面的透射电子显微镜(TEM)测量显示以下厚度:顶部的氮化硅=235埃对应于氮化硅生长速率为所得氮化硅的阶梯覆盖率为中部20%和底部42%。
实施例1.使用三甲基铝(TMA)、二异丙基氨基硅烷(DIPAS)和氮等离子体的PEALD铝掺杂氮化硅膜
将硅晶片装载到配有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。使用蒸汽抽吸方法将作为金属前体的前体三甲基铝(TMA)和作为有机氨基硅烷前体的二异丙基氨基硅烷(DIPAS)递送到反应器中。使用氮等离子体作为等离子体源。图案晶片块具有纵横比(AR)=13:1(例如,AR被定义为通孔或沟槽的深度除以宽度;宽度=80nm,深度=1050nm)并被用于测试阶梯覆盖率。
a.准备反应器并装载晶片
√室压:2托
b.将金属前体引入反应器中
√N2总流量:1000标准立方厘米(sccm)
√TMA脉冲:0.1秒
c.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
d.引入等离子体
√N2总流量:1000sccm
√等离子体功率:125W
√等离子体脉冲:5秒
e.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
f.将有机氨基硅烷前体引入反应器中
√N2总流量:1000sccm
√DIPAS前体脉冲:1秒
g.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
h.引入等离子体
√N2总流量:1000sccm
√等离子体功率:125W
√等离子体脉冲:10秒
i.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
在实施例1中,步骤b至e重复50次,然后是步骤f至i重复1000个循环(即,氮化铝:TMA/吹扫/等离子体/吹扫=0.1s/10s/5*s/10s,50循环;然后是氮化硅:DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s,1000个循环)。膜的横截面的透射电子显微镜(TEM)测量显示以下厚度:顶部的氮化硅=190埃对应于氮化硅生长速率为约看起来,氮化铝略微帮助改善氮化硅生长速率,即将生长速率从增加到 氮化硅的阶梯覆盖率:中部11%和底部32%。
比较例2a.使用三甲基铝(TMA)和氮等离子体的PEALD氮化铝膜
将硅晶片装载到配有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。三甲基铝(TMA)用作金属前体且氮等离子体用作等离子体源。使用以下工艺参数进行ALD循环。
a.准备反应器并装载晶片
√室压:2托
b.将金属前体引入反应器中
√N2总流量:1000sccm
√TMA脉冲:0.1秒
c.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
d.引入等离子体
√N2总流量:1000sccm
√等离子体功率:125W
√等离子体脉冲:5秒
e.吹扫
√N2总流量为1000sccm
√吹扫时间:10秒
步骤b至e分别重复100个循环、200个循环和400个循环。从厚度相对于循环次数的曲线图,氮化铝的生长速率计算为环。
比较例2b.使用二异丙基氨基硅烷(DIPAS)和氮等离子体的PEALD氮化硅膜
将硅晶片装载到配有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。二异丙基氨基硅烷(DIPAS)用作硅前体且氮等离子体用作等离子体源。ALD循环如下:DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s。循环分别重复250个循环、500个循环、1000个循环和1500个循环。从厚度相对于循环次数的曲线图,氮化硅生长速率计算为
实施例2.使用三甲基铝(TMA)、二异丙基氨基硅烷(DIPAS)和氮等离子体的PEALD铝掺杂氮化硅膜
将硅晶片装载到配有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。使用蒸汽抽吸方法将作为金属前体的三甲基铝(TMA)和作为有机氨基硅烷前体的二异丙基氨基硅烷(DIPAS)递送到反应器中。采用具有AR=13:1(宽度=80nm,深度=1050nm)的图案晶片块测试阶梯覆盖率。ALD循环由表1中提供的工艺步骤组成,并使用以下工艺参数。
a.准备反应器并装载晶片
√室压:2托
b.将金属前体引入反应器中
√N2总流量:1000sccm
√TMA脉冲:0.1秒
c.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
d.引入等离子体
√N2总流量:1000sccm
√等离子体功率:125W
√等离子体脉冲:5秒
e.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
f.将有机氨基硅烷前体引入反应器中
√N2总流量:1000sccm
√DIPAS脉冲:1秒
g.吹扫
√N2总流量为1000sccm
√吹扫时间:10秒
h.引入等离子体
√N2总流量:1000sccm
√等离子体功率:125W
√等离子体脉冲:10秒
i.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
在该实施例中,一个超级循环由步骤b至e重复一次的氮化铝和随后步骤f至i重复20次的氮化硅组成(即,超级循环=氮化铝:(TMA/吹扫/等离子体/吹扫=0.1s/10s/5*s/10s)×1个循环+氮化硅:(DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×20个循环)。重复50次该超级循环(即(氮化铝:(TMA/吹扫/等离子体/吹扫=0.1s/10s/5*s/10s)×1个循环)+(氮化硅:(DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×20)×50个循环)。TEM测量显示以下厚度:对应于氮化硅生长速率为约 (假设氮化铝),证明通过交替沉积氮化铝和氮化硅显著改善氮化硅生长速率,即沉积的氮化铝层增强氮化硅的沉积。阶梯覆盖率:中部56%和底部67%。
比较例3a.使用三甲基铝(TMA)和氮等离子体的PEALD氮化铝膜
将硅晶片装载到配有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。三甲基铝(TMA)用作金属前体且氮等离子体用作等离子体源。使用以下工艺参数进行ALD循环。
a.准备反应器并装载晶片
√室压:2托
b.将金属前体引入反应器中
√N2总流量:1000sccm
√TMA脉冲:0.5秒
c.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
d.引入等离子体
√N2总流量:1000sccm
√等离子体功率:125W
√等离子体脉冲:5秒
e.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
步骤b至e分别重复100个循环、200个循环和300个循环。从厚度相对于循环次数的曲线图,氮化铝的生长速率计算为
实施例3.使用三甲基铝(TMA)和二异丙基氨基硅烷(DIPAS)和氮等离子体的PEALD铝掺杂氮化硅膜
将硅晶片装载到配有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。使用蒸汽抽吸方法将作为金属前体的三甲基铝(TMA)和作为有机氨基硅烷前体的二异丙基氨基硅烷(DIPAS)递送到反应器中。使用氮等离子体作为等离子体。采用具有AR=13:1(宽度=80nm,深度=1050nm)的图案晶片块测试阶梯覆盖率。在该实验中,一个超级循环由步骤b至e重复一次的氮化铝和随后重复10次步骤f至i组成(即,超级循环=(氮化铝:(TMA/吹扫/等离子体/吹扫=0.5s/10s/5*s/10s)×1个循环+(氮化硅:(DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×10个循环)。重复该超级循环50次(即(氮化铝:(TMA/吹扫/等离子体/吹扫=0.5s/10s/5*s/10s)×1个循环)+(氮化硅:(DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×10个循环)×50个循环)。TEM测量显示以下厚度:对应于氮化硅生长速率为约(假设氮化铝),证明通过交替沉积氮化铝和氮化硅显著改善氮化硅生长速率,即沉积的氮化铝层增强氮化硅的沉积。阶梯覆盖率:中部68%和底部82%;这证明引入金属前体进一步增加阶梯覆盖率。所沉积的铝掺杂氮化硅的二次离子质谱(SIMS)测量显示:Si,31原子%;Al,8.5原子%;N,47原子%;C,2原子%;O,1.7原子%;和H,9.5原子%。
比较例4a.使用三(二甲基氨基)铝(TDMAA)和氮等离子体的PEALD氮化铝膜
将硅晶片装载到配有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。三(二甲基氨基)铝(TDMAA)用作金属前体。使用以下工艺参数进行ALD循环。
a.准备反应器并装载晶片
√室压:2托
b.将金属前体引入反应器中
√N2总流量:1000sccm
√TDMAA脉冲:2秒
c.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
d.引入等离子体
√N2总流量:1000sccm
√等离子体功率:125W
√等离子体脉冲:5秒
e.吹扫
√N2总流量:1000sccm
√吹扫时间:10秒
步骤b至e分别重复100个循环、200个循环和300个循环。从厚度相对于循环次数的曲线图,氮化铝的生长速率计算为
实施例4.使用三(二甲基氨基)铝(TDMAA)和二异丙基氨基硅烷(DIPAS)和氮等离子体的PEALD铝掺杂氮化硅膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。使用蒸汽抽吸方法将作为金属前体的三(二甲基氨基)铝(TDMAA)和作为有机氨基硅烷前体的二异丙基氨基硅烷(DIPAS)递送到反应器中。氮等离子体用作等离子体。使用具有AR=12:1(宽度=84nm,深度=1030nm)的图案晶片块测试阶梯覆盖率。在该实验中,一个超级循环由步骤b至e重复一次的氮化铝和随后重复10次的步骤f至i组成(即,超级循环=氮化铝:(TDMAA/吹扫/等离子体/吹扫=2s/10s/5*s/10s)×1个循环+氮化硅:(DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×10个循环)。重复100次该超级循环(即(氮化铝:(TMA/吹扫/等离子体/吹扫=2s/10s/5*s/10s)×1个循环)+(氮化硅:(DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×10个循环)×100个循环)。现参见图1,TEM测量(图1)显示以下厚度: 对应于氮化硅生长速率为约(假设氮化铝),证明通过交替沉积氮化铝和氮化硅显著改善氮化硅生长速率,即沉积的氮化铝层增强氮化硅的沉积。阶梯覆盖率:中部69%和底部78%,也证明引入金属前体进一步增加阶梯覆盖率。
比较例5a.使用三(二甲基氨基)铝(TDMAA)和氨等离子体的PEALD氮化铝膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。三(二甲基氨基)铝(TDMAA)用作金属前体且氨等离子体用作等离子体源。使用以下工艺参数进行ALD循环。
a.准备反应器并装载晶片
√室压:2托
b.将金属前体引入反应器中
√氩气总流量:1050sccm
√TDMAA脉冲:2秒
c.吹扫
√氩气总流量:1000sccm
√吹扫时间:20秒
d.引入等离子体
√氩气总流量:1000sccm
√氨流量:300sccm
√等离子体功率:125W
√等离子体脉冲:5秒
e.吹扫
√氩气总流量:1000sccm
√吹扫时间:20秒
步骤b至e分别重复100个循环、200个循环和300个循环。从厚度相对于循环次数的曲线图,氮化铝的生长速率计算为环。
比较例5b.使用二异丙基氨基硅烷(DIPAS)和氨等离子体的PEALD氮化硅膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。二异丙基氨基硅烷(DIPAS)用作有机氨基硅烷前体且氨等离子体用作等离子体源。将由DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/15s组成的循环重复500个循环以提供对应于氮化硅生长速率为约
实施例5.使用三(二甲基氨基)铝(TDMAA)、二异丙基氨基硅烷(DIPAS)和氨等离子体的PEALD铝掺杂氮化硅膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。使用蒸汽抽吸方法将作为金属前体的三(二甲基氨基)铝(TDMAA)和作为有机氨基硅烷前体的二异丙基氨基硅烷(DIPAS)递送到反应器中。氨等离子体用作等离子体。使用具有AR=14:1(宽度=78nm,深度=1020nm)的图案晶片块测试阶梯覆盖率。在该实验中,一个超级循环由表1中步骤b至e重复一次的氮化铝和随后表1中步骤f至i重复10次组成(即,超级循环=氮化铝:(TDMAA/吹扫/等离子体/吹扫=2s/20s/5*s/20s)×1个循环+氮化硅:(DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/15s)×30个循环)。重复100次该超级循环(即(氮化铝:(TDMAA/吹扫/等离子体/吹扫=2s/20s/5*s/20s)×1个循环)+(氮化硅:(DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/15s)×30个循环)×50个循环)。TEM测量显示以下厚度: 对应于氮化硅生长速率为约(假设氮化铝),证明通过交替沉积氮化铝和氮化硅显著改善氮化硅生长速率,即沉积的氮化铝层增强氮化硅的沉积。阶梯覆盖率:中部69%和底部69%,也证明引入铝前体进一步增加阶梯覆盖率。沉积的铝掺杂氮化硅的二次离子质谱(SIMS)测量显示:Si,21.2原子%;Al,2.6原子%;N,42原子%;C,0.01原子%;O,5.5原子%;H,18.6原子%。
比较例6a.使用双(叔丁基氨基)硅烷(BTBAS)和氮等离子体的PEALD氮化硅膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。双(叔丁基氨基)硅烷(BTBAS)用作有机氨基硅烷前体且氨等离子体用作等离子体源。将由BTBAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s组成的循环分别重复500、1000和1500个循环。从厚度相对于循环次数的曲线图,氮化铝的生长速率计算为
实施例6.使用三甲基铝(TMA)、双(叔丁基氨基)硅烷(BTBAS)和氮等离子体的PEALD铝掺杂氮化硅膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。使用蒸汽抽吸方法将作为金属前体的三甲基铝(TMA)和作为有机氨基硅烷前体的双(叔丁基氨基)硅烷(BTBAS)递送到反应器中。使用具有AR=14:1(宽度=74nm,深度=1006nm)的图案晶片块测试阶梯覆盖率。在该实验中,一个超级循环由表1中步骤b至e重复一次的氮化铝和随后重复10次的表1中步骤f至i组成(即,超级循环=氮化铝:(TMA/吹扫/等离子体/吹扫=0.5s/10s/5*s/10s)×1个循环+氮化硅:(BTBAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×10个循环)。重复90次该超级循环(即(氮化铝:(TMA/吹扫/等离子体/吹扫=0.5s/10s/5*s/10s)×1个循环)+(氮化硅:(BTBAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×10个循环)×70个循环)。现参见图2,TEM测量(图2)显示以下厚度:对应于氮化硅生长速率为约(假设氮化铝),证明通过交替沉积氮化铝和氮化硅显著改善氮化硅生长速率,即沉积的氮化铝层增强氮化硅的沉积。阶梯覆盖率:中部81%和底部94%,也证明引入TMA进一步增加阶梯覆盖率。沉积的铝掺杂氮化硅的二次离子质谱(SIMS)测量显示:Si,33.3原子%;Al,7.1原子%;N,45.2原子%;C,2.4原子%;O,0.7原子%;H,11.3原子%。
比较例7a.使用三甲基铝(TMA)和氨等离子体的PEALD氮化铝膜
将硅晶片加载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。三甲基铝(TMA)用作金属前体且氨等离子体用作等离子体源。使用以下工艺参数进行ALD循环。
a.准备反应器并装载晶片
√室压:2托
b.将金属前体引入反应器中
√氩气总流量:1000sccm
√TMA脉冲:0.5秒
c.吹扫
√氩气总流量:1000sccm
√吹扫时间:10秒
d.引入等离子体
√氩气总流量:1000sccm
√氨气流量:500sccm
√等离子体功率:125W
√等离子体脉冲:5秒
e.吹扫
√氩气总流量:1000sccm
√吹扫时间:10秒
步骤b至e分别重复200个循环和300个循环。从厚度相对于循环次数的曲线图,氮化铝的生长速率计算为
比较例7b.使用双(叔丁基氨基)硅烷(BTBAS)和氨等离子体的PEALD氮化硅膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。双(叔丁基氨基)硅烷(BTBAS)用作有机氨基硅烷前体且氨等离子体用作等离子体源。将由BTBAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/15s组成的循环重复500、1000和1500个循环。从厚度相对于循环次数的曲线图,氮化硅生长速率计算为
实施例7.使用三甲基铝(TMA)、双(叔丁基氨基)硅烷(BTBAS)和氨等离子体的PEALD铝掺杂氮化硅膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。使用蒸汽抽吸方法将作为金属前体的三甲基铝(TMA)和作为有机氨基硅烷前体的双(叔丁基氨基)硅烷(BTBAS)递送到反应器中。氨等离子体用作等离子体。使用具有AR=14:1(宽度=74nm,深度=1006nm)的图案晶片块测试阶梯覆盖率。在该实验中,一个超级循环由表1中步骤b至e重复一次的氮化铝和随后重复10次的表1中步骤f至i组成(即,超级循环=氮化铝:(TMA/吹扫/等离子体/吹扫=0.5s/10s/5*s/10s)×1个循环+氮化硅:(BTBAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×20个循环)。重复45次该超级循环(即(氮化铝:(TMA/吹扫/等离子体/吹扫=0.5s/10s/5*s/10s)×1个循环)+(氮化硅:(BTBAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×20个循环)×45个循环)。TEM测量显示以下厚度:对应于氮化硅生长速率为约(假设氮化铝),证明通过交替沉积氮化铝和氮化硅显著改善氮化硅生长速率,即沉积的氮化铝层增强氮化硅的沉积。阶梯覆盖率:中部88%和底部91%,也证明引入TMA进一步增加阶梯覆盖率。
比较例8a.使用二异丙基氨基乙硅烷(DIPADS)和氮等离子体的PEALD氮化硅膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。二异丙基氨基乙硅烷(DIPADS)用作硅前体且氮等离子体用作等离子体。ALD循环如下:DIPADS/吹扫/等离子体/吹扫=1.0s/10s/15*s/5s。循环分别重复250个循环、500个循环和750个循环。从厚度相对于循环次数的曲线图,氮化硅的生长速率计算为
比较例8b.使用三甲基镓(TMGa)和氮等离子体的PEALD氮化镓膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。三甲基镓用作镓前体且氮等离子体用作等离子体。ALD循环如下:TMGa/吹扫/等离子体/吹扫=0.5s/10s/15*s/5s。循环分别重复100个循环、200个循环和400个循环。从厚度相对于循环次数的曲线图,氮化镓的生长速率计算为
实施例8.使用三甲基镓(TMGa)、二异丙基氨基乙硅烷(DIPADS)和氮等离子体的PEALD镓掺杂氮化硅膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。使用蒸汽抽吸方法将作为金属前体的三甲基镓(TMGa)和作为有机氨基硅烷前体的二异丙基氨基乙硅烷(DIPADS)递送到反应器中。在该实验中,一个超级循环由表1中步骤b至e重复一次的氮化镓和随后重复20次的表1中步骤f至i组成(即,超级循环=氮化镓:(TMGa/吹扫/等离子体/吹扫=0.5/10s/15*s/5s)×1个循环+氮化硅:(DIPADS/吹扫/等离子体/吹扫=1.0s/10s/15*s/5s)×20个循环)。重复25次该超级循环(即(氮化镓:(TMGa/吹扫/等离子体/吹扫=0.5/10s/15*s/5s)×1个循环)+(氮化硅:(DIPADS/吹扫/等离子体/吹扫=1.0s/10s/15*s/5s)×20个循环)×25个循环)。TEM测量显示以下厚度:对应于氮化硅生长速率为约(与仅使用DIPADS的PEALD氮化硅相比增加约28%)(假设氮化镓),证明通过交替沉积氮化镓和氮化硅显著改善氮化硅生长速率,即沉积的氮化镓层增强氮化硅的沉积。在另一个实验中,一个超级循环由表1中步骤b至e重复一次的氮化镓和随后重复5次的表1中步骤f至i组成(即,超级循环=氮化镓:(TMGa/吹扫/等离子体/吹扫=0.5s/10s/15*s/5s)×1个循环+氮化硅:(DIPADS/吹扫/等离子体/吹扫=1.0s/10s/15*s/5s)×5个循环)。重复100次该超级循环(即(氮化镓:(TMGa/吹扫/等离子体/吹扫=0.5s/10s/15*s/5s)×1个循环)+(氮化硅:(DIPADS/吹扫/等离子体/吹扫=1.0s/10s/15*s/5s)×5个循环)×100个循环)。TEM测量显示以下厚度:对应于氮化硅生长速率为约(与仅使用DIPADS的PEALD氮化硅相比增加约47%)(假设氮化镓),证明通过交替沉积氮化镓和氮化硅显著改善氮化硅生长速率,即沉积的氮化镓层显著增强氮化硅的沉积。
比较例9a.使用四(二甲基氨基)钛(TDMAT)和氮等离子体的PEALD氮化钛膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。TDMAT用作钛前体且氮等离子体用作等离子体源。ALD循环如下:TDMAT/吹扫/等离子体/吹扫=1s/20s/5*s/10s。循环分别重复50个循环、100个循环和200个循环。从厚度相对于循环次数的曲线图,氮化钛的生长速率计算为
实施例9.使用四(二甲基氨基)钛(TDMAT)、二异丙基氨基硅烷(DIPAS)和氮等离子体的PEALD钛掺杂氮化硅膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。使用Ar鼓泡(Ar流速=50sccm)和蒸汽抽吸方法分别将作为金属前体的四(二甲基氨基)钛(TDMAT)和作为有机氨基硅烷前体的二异丙基氨基硅烷(DIPAS)递送到反应器中。在该实验中,一个超级循环由表1中步骤b至e重复两次的氮化钛和随后重复20次的表1中步骤f至i组成(即,超级循环=氮化钛:(TDMAT/吹扫/等离子体/吹扫=1s/20s/5*s/10s)×2个循环+氮化硅:(DIPADS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×20个循环)。重复40次该超级循环(即(氮化钛:(TDMAT/吹扫/等离子体/吹扫=1s/20s/5*s/10s)×2个循环)+(氮化硅:(DIPADS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×20个循环)×40个循环)。TEM测量显示以下厚度:基于氮化钛的XRF测量结果,对应于氮化硅生长速率为约证明通过交替沉积氮化钛和氮化硅显著改善氮化硅生长速率,即沉积的氮化钛层增强氮化硅的沉积。在另一个实验中,一个超级循环由表1中步骤b至e重复3次的氮化钛和随后重复20次的表1中步骤f至i组成(即,超级循环=氮化钛:(TDMAT/吹扫/等离子体/吹扫=1s/20s/5*s/10s)×3个循环+氮化硅:(DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×20个循环)。重复40次该超级循环(即(氮化钛:(TDMAT/吹扫/等离子体/吹扫=1s/20s/5*s/10s)×3个循环)+(氮化硅:(DIPAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×20个循环)×40个循环)。TEM测量显示以下厚度:基于氮化钛的XRF测量结果,对应于氮化硅生长速率为约 (与仅使用DIPAS的PEALD氮化硅相比增加约26%),证明通过交替沉积氮化钛和氮化硅显著改善氮化硅生长速率,即沉积的氮化钛层显著增强氮化硅的沉积。
实施例10.使用四(二甲基氨基)钛(TDMAT)、双(叔丁基氨基)硅烷(BTBAS)和氮等离子体的PEALD钛掺杂氮化硅膜
将硅晶片装载到配备有喷淋头设计与13.56MHz直接等离子体的CN-1反应器中,并在2托的室压下加热至300℃。使用Ar鼓泡(Ar流速=50sccm)和蒸汽抽吸方法分别将作为金属前体的四(二甲基氨基)钛(TDMAT)和作为有机氨基硅烷前体的二-(叔丁基氨基)硅烷(BTBAS)递送到反应器中。在该实验中,一个超级循环由表1中步骤b至e重复两次的氮化钛和随后重复20次的表1中步骤f至i组成(即,超级循环=氮化钛:(TDMAT/吹扫/等离子体/吹扫=1s/20s/5*s/10s)×2个循环+氮化硅:(BTBAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×20个循环)。重复40次该超级循环(即(氮化钛:(TDMAT/吹扫/等离子体/吹扫=1s/20s/5*s/10s)×2个循环)+(氮化硅:(BTBAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×20个循环)×40个循环)。TEM测量显示以下厚度:基于氮化钛的XRF测量结果,对应于氮化硅生长速率为约 (与仅使用BTBAS的PEALD氮化硅相比增加约26%),证明通过交替沉积氮化钛和氮化硅显著改善氮化硅生长速率,即沉积的氮化钛层增强氮化硅的沉积。在另一个实验中,一个超级循环由表1中步骤b至e重复3次的氮化钛和随后重复20次的表1中步骤f至i组成(即,超级循环=氮化钛:(TDMAT/吹扫/等离子体/吹扫=1s/20s/5*s/10s)×3个循环+氮化硅:(BTBAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×20个循环)。重复40次该超级循环(即(氮化钛:(TDMAT/吹扫/等离子体/吹扫=1s/20s/5*s/10s)×3个循环)+(氮化硅:(BTBAS/吹扫/等离子体/吹扫=1.0s/10s/10*s/10s)×20个循环)×40个循环)。TEM测量显示以下厚度:基于氮化钛的XRF测量结果,对应于氮化硅生长速率为约 (与仅使用BTBAS的PEALD氮化硅相比增加约30%),证明通过交替沉积氮化钛和氮化硅显著改善氮化硅生长速率,即沉积的氮化钛层显著增强氮化硅的沉积。
虽然已经参照某些方面或实施方式描述本发明,但本领域技术人员应理解,在不脱离本发明的范围的情况下可以作出各种改变并且可以用等同方式替换其要素。另外,在不脱离本发明的实质范围的情况下,可以作出许多修改以使特定情况或材料适应本发明的教导。因此,意图的是本发明不限于特定实施方式,而是本发明将包括落入所附权利要求的范围内的所有实施方式。

Claims (22)

1.一种用于在气相沉积方法中沉积铝或镓掺杂的氮化硅膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将选自AlCl3、甲基氯化铝、三甲基铝(TMA)、三乙基铝、三(二甲基氨基)铝(TDMAA)、三(二甲基氨基)铝(TDMAA)、三(二乙基氨基)铝(TDEAA)、氯化镓、三甲基镓、三乙基镓、三(二甲基氨基)镓、三(二乙基氨基)镓、三(乙基甲基氨基)镓和其他挥发性铝或镓前体的金属前体在足以使所述金属前体与所述衬底相互作用的工艺条件下引入所述反应器中;
c.吹扫以除去未反应的金属前体;
d.将含等离子体源引入所述反应器中以与所述衬底的至少一部分相互作用并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;
e.用吹扫气体吹扫所述反应器;
f.将由下式I至IV表示的有机氨基硅烷前体引入所述反应器中:
R3 xSi(NR1R2)yH4-x-y
IV
其中R1选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C3至C10烯基、直链或支链C3至C10炔基、C1至C6二烷基氨基、吸电子基团、C6至C10芳基、C1至C10烷基甲硅烷基和甲硅烷基;R2和R3选自氢、直链C2至C10烷基、支链C3至C10烷基、直链或支链C3至C6烯基、直链或支链C3至C6炔基、C1至C6二烷基氨基、C6至C10芳基、吸电子基团和C4至C10芳基;n=1或2;x=0、1、2;y=2、3;并且任选地其中式I、III和IV中的R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;R3选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C2至C10烯基、直链或支链C2至C10炔基,其中所述有机氨基硅烷前体与所述衬底的表面的至少一部分相互作用;
g.用吹扫气体吹扫所述反应器;
h.将含等离子体源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;和;
i.任选地用惰性气体吹扫所述反应器;并且其中重复步骤b至i直到获得期望厚度的所述膜。
2.根据权利要求1所述的方法,其中所述至少一种有机氨基硅烷前体是选自以下的至少一个成员:二-异丙基氨基硅烷、二-仲丁基氨基硅烷、苯基甲基氨基硅烷、2,6-二甲基哌啶子基硅烷、N-甲基环己基氨基硅烷、N-乙基环己基氨基硅烷、N-异丙基环己基氨基硅烷、2-甲基哌啶子基硅烷、N-甲硅烷基十氢喹啉、2,2,6,6-四甲基哌啶子基硅烷、2-(N-甲硅烷基甲基氨基)吡啶、N-叔丁基二硅氮烷、N-叔戊基二硅氮烷、N-(3-甲基-2-吡啶基)二硅氮烷、N-(2-甲基苯基)二硅氮烷、N-(2-乙基苯基)二硅氮烷、N-(2,4,6-三甲基苯基)二硅氮烷、N-(2,6-二-异丙基苯基)二硅氮烷、二-异丙基氨基乙硅烷、二-异丁基氨基乙硅烷、二-仲丁基氨基乙硅烷、2,6-二甲基哌啶子基硅烷、N-甲基环己基氨基乙硅烷、N-乙基环己基氨基乙硅烷、苯基甲基氨基乙硅烷、2-(N-二甲硅烷基甲基氨基)吡啶、N-苯基乙基乙硅烷、N-异丙基环己基氨基乙硅烷、1,1-(N,N’-二-叔丁基亚乙基二氨基)乙硅烷、双(异丙基氨基)甲基硅烷、双(异丁基氨基)甲基硅烷、双(仲丁基氨基)甲基硅烷、双(叔丁基氨基)甲基硅烷、双(叔戊基氨基)甲基硅烷、双(环己基氨基)甲基硅烷、双(异丙基氨基)二甲基硅烷、双(异丁基氨基)二甲基硅烷、双(仲丁基氨基)二甲基硅烷、双(叔丁基氨基)二甲基硅烷、双(叔戊基氨基)二甲基硅烷、和双(环己基氨基)二甲基硅烷、双(二甲基氨基)硅烷、双(二乙基氨基)硅烷、双(乙基甲基氨基)硅烷、双(叔丁基氨基)硅烷、三(二甲基氨基)硅烷、三(异丙基氨基)硅烷、三甲硅烷基胺和三甲硅烷基胺衍生物。
3.根据权利要求1所述的方法,所述含等离子体源是选自以下的至少一个成员:氮和氩等离子体、氮和氦等离子体、氨等离子体、氮和氨等离子体、氨和氦等离子体、氨和氩等离子体、含NF3等离子体、含有机胺等离子体及其混合物。
4.根据权利要求1所述的方法,其中所述膜具有约2.0g/cc或更大的密度。
5.根据权利要求1所述的方法,其中所述方法是气相沉积工艺并且选自由选自等离子体增强化学气相沉积和等离子体增强循环化学气相沉积中的至少一种组成的组。
6.根据权利要求1所述的方法,其中所述方法在约500℃或更低的一个或多个温度下进行。
7.根据权利要求1所述的方法,其中所述方法在约400℃或更低的一个或多个温度下进行。
8.根据权利要求1所述的方法,其中所述方法在约300℃或更低的一个或多个温度下进行。
9.一种形成铝掺杂氮化硅或铝掺杂碳氮化硅或者镓掺杂氮化硅或镓掺杂碳氮化硅膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将选自AlCl3、三甲基铝(TMA)、三乙基铝、甲基氯化铝、三(二甲基氨基)铝(TDMAA)、三(二甲基氨基)铝(TDMAA)、三(二乙基氨基)铝(TDEAA)、氯化镓、三甲基镓、三乙基镓、三(二甲基氨基)镓、三(二乙基氨基)镓、三(乙基甲基氨基)镓和其他挥发性铝或镓前体的至少一种铝前体引入所述反应器中;
c.吹扫以除去未反应的铝前体;
d.将由下式I至IV表示的有机氨基硅烷前体引入所述反应器中:
R3 xSi(NR1R2)yH4-x-y
IV
其中R1选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C3至C10烯基、直链或支链C3至C10炔基、C1至C6二烷基氨基、吸电子基团、C6至C10芳基、C1至C10烷基甲硅烷基和甲硅烷基;R2和R3选自氢、直链C2至C10烷基、支链C3至C10烷基、直链或支链C3至C6烯基、直链或支链C3至C6炔基、C1至C6二烷基氨基、C6至C10芳基、吸电子基团和C4至C10芳基;n=1或2;x=0、1、2;y=2、3;并且任选地其中式I、III和IV中的R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;R3选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C2至C10烯基、直链或支链C2至C10炔基,其中所述有机氨基硅烷前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
e.用吹扫气体吹扫所述反应器;
f.将含等离子体源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;和
g.任选地用惰性气体吹扫所述反应器;并且其中重复步骤b至i直到获得期望厚度的所述膜。
10.根据权利要求9所述的方法,其中所述至少一种有机氨基硅烷前体选自:二-异丙基氨基硅烷、二-仲丁基氨基硅烷、苯基甲基氨基硅烷、2,6-二甲基哌啶子基硅烷、N-甲基环己基氨基硅烷、N-乙基环己基氨基硅烷、N-异丙基环己基氨基硅烷、2-甲基哌啶子基硅烷、N-甲硅烷基十氢喹啉、2,2,6,6-四甲基哌啶子基硅烷、2-(N-甲硅烷基甲基氨基)吡啶、N-叔丁基二硅氮烷、N-叔戊基二硅氮烷、N-(3-甲基-2-吡啶基)二硅氮烷、N-(2-甲基苯基)二硅氮烷、N-(2-乙基苯基)二硅氮烷、N-(2,4,6-三甲基苯基)二硅氮烷、N-(2,6-二-异丙基苯基)二硅氮烷、二-异丙基氨基乙硅烷、二-异丁基氨基乙硅烷、二-仲丁基氨基乙硅烷、2,6-二甲基哌啶子基硅烷、N-甲基环己基氨基乙硅烷、N-乙基环己基氨基乙硅烷、苯基甲基氨基乙硅烷、2-(N-二甲硅烷基甲基氨基)吡啶、N-苯基乙基乙硅烷、N-异丙基环己基氨基乙硅烷、1,1-(N,N’-二-叔丁基亚乙基二氨基)乙硅烷、双(异丙基氨基)甲基硅烷、双(异丁基氨基)甲基硅烷、双(仲丁基氨基)甲基硅烷、双(叔丁基氨基)甲基硅烷、双(叔戊基氨基)甲基硅烷、双(环己基氨基)甲基硅烷、双(异丙基氨基)二甲基硅烷、双(异丁基氨基)二甲基硅烷、双(仲丁基氨基)二甲基硅烷、双(叔丁基氨基)二甲基硅烷、双(叔戊基氨基)二甲基硅烷、和双(环己基氨基)二甲基硅烷、双(二甲基氨基)硅烷、双(二乙基氨基)硅烷、双(乙基甲基氨基)硅烷、双(叔丁基氨基)硅烷、三(二甲基氨基)硅烷、三(异丙基氨基)硅烷和三甲硅烷基胺。
11.一种用于在低于500℃的温度下通过热原子层沉积来沉积保形金属掺杂氮化硅介电膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将金属前体在足以使所述金属前体反应并提供化学吸附层的工艺条件下引入所述反应器中;
c.吹扫以除去未反应的金属前体;
d.将氮源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点;
e.用吹扫气体吹扫所述反应器;
f.将由下式I至IV表示的有机氨基硅烷前体引入所述反应器中:
R3 xSi(NR1R2)yH4-x-y
IV
其中R1选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C3至C10烯基、直链或支链C3至C10炔基、C1至C6二烷基氨基、吸电子基团、C6至C10芳基、C1至C10烷基甲硅烷基和甲硅烷基;R2选自氢、直链C2至C10烷基、支链C3至C10烷基、直链或支链C3至C6烯基、直链或支链C3至C6炔基、C1至C6二烷基氨基、C6至C10芳基、吸电子基团和C4至C10芳基;n=1或2;x=0、1、2;y=2、3;并且任选地其中式I、III和IV中的R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;R3选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C2至C10烯基、直链或支链C2至C10炔基,其中所述有机氨基硅烷前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
g.用吹扫气体吹扫所述反应器;
h.将包含氮的等离子体源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点;和
i.任选地用惰性气体吹扫所述反应器。
12.根据权利要求11所述的方法,其中所述至少一种有机氨基硅烷前体选自:二-异丙基氨基硅烷、二-仲丁基氨基硅烷、苯基甲基氨基硅烷、2,6-二甲基哌啶子基硅烷、N-甲基环己基氨基硅烷、N-乙基环己基氨基硅烷、N-异丙基环己基氨基硅烷、2-甲基哌啶子基硅烷、N-甲硅烷基十氢喹啉、2,2,6,6-四甲基哌啶子基硅烷、2-(N-甲硅烷基甲基氨基)吡啶、N-叔丁基二硅氮烷、N-叔戊基二硅氮烷、N-(3-甲基-2-吡啶基)二硅氮烷、N-(2-甲基苯基)二硅氮烷、N-(2-乙基苯基)二硅氮烷、N-(2,4,6-三甲基苯基)二硅氮烷、N-(2,6-二-异丙基苯基)二硅氮烷、二-异丙基氨基乙硅烷、二-异丁基氨基乙硅烷、二-仲丁基氨基乙硅烷、2,6-二甲基哌啶子基硅烷、N-甲基环己基氨基乙硅烷、N-乙基环己基氨基乙硅烷、苯基甲基氨基乙硅烷、2-(N-二甲硅烷基甲基氨基)吡啶、N-苯基乙基乙硅烷、N-异丙基环己基氨基乙硅烷、1,1-(N,N’-二-叔丁基亚乙基二氨基)乙硅烷、双(异丙基氨基)甲基硅烷、双(异丁基氨基)甲基硅烷、双(仲丁基氨基)甲基硅烷、双(叔丁基氨基)甲基硅烷、双(叔戊基氨基)甲基硅烷、双(环己基氨基)甲基硅烷、双(异丙基氨基)二甲基硅烷、双(异丁基氨基)二甲基硅烷、双(仲丁基氨基)二甲基硅烷、双(叔丁基氨基)二甲基硅烷、双(叔戊基氨基)二甲基硅烷、和双(环己基氨基)二甲基硅烷、双(二甲基氨基)硅烷、双(二乙基氨基)硅烷、双(乙基甲基氨基)硅烷、双(叔丁基氨基)硅烷、三(二甲基氨基)硅烷、三(异丙基氨基)硅烷、三甲硅烷基胺和三甲硅烷基胺衍生物。
13.根据权利要求11所述的方法,其中所述至少一种金属前体选自:AlCl3、三甲基铝(TMA)、甲基氯化铝、三乙基铝、三(二甲基氨基)铝(TDMAA)、三(二甲基氨基)铝(TDMAA)、三(二乙基氨基)铝(TDEAA)、氯化镓、三甲基镓、三乙基镓、三(二甲基氨基)镓、三(二乙基氨基)镓、三(乙基甲基氨基)镓和其他挥发性铝或镓前体、氯化锆(ZrCl4)、四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、三(二甲基氨基)(环戊二烯基)锆、三(二甲基氨基)(甲基环戊二烯基)锆、三(二甲基氨基)(乙基环戊二烯基)锆、三(二乙基氨基)(环戊二烯基)锆、三(二乙基氨基)(甲基环戊二烯基)锆、三(二乙基氨基)(乙基环戊二烯基)锆、三(乙基甲基氨基)(环戊二烯基)锆、三(乙基甲基氨基)(甲基环戊二烯基)锆、三(乙基甲基氨基)(乙基环戊二烯基)锆、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)、四(乙基甲基氨基)铪(TEMAH)、三(二甲基氨基)(环戊二烯基)铪、三(二甲基氨基)(甲基环戊二烯基)铪、三(二甲基氨基)(乙基环戊二烯基)铪、三(二乙基氨基)(环戊二烯基)铪、三(二乙基氨基)(甲基环戊二烯基)铪、三(二乙基氨基)(乙基环戊二烯基)铪、三(乙基甲基氨基)(环戊二烯基)铪、三(乙基甲基氨基)(甲基环戊二烯基)铪、三(乙基甲基氨基)(乙基环戊二烯基)铪、氯化钛(TiCl4)、四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基氨基)钛(TEMAT)、氯化钽(TaCl5)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、六氯化钨、五氯化钨、双(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW)、双(叔丁基亚氨基)双(二乙基氨基)钨、双(叔丁基亚氨基)双(乙基甲基氨基)钨及其组合。
14.根据权利要求11所述的方法,其中所述氮源选自氨、单烷基肼、二烷基肼、叔丁胺、甲胺、乙胺、乙二胺及其混合物。
15.一种根据权利要求1所述的方法形成的膜。
16.一种铝掺杂氮化硅或铝掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,氢含量小于20原子重量%,反射率为1.80或更大,及其组合。
17.一种镓掺杂氮化硅或镓掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,氢含量小于20原子重量%,反射率为1.80或更大,及其组合。
18.一种钛掺杂氮化硅或钛掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,氢含量小于20原子重量%,反射率为1.80或更大,及其组合。
19.一种锆掺杂氮化硅或锆掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,氢含量小于20原子重量%,反射率为1.80或更大,及其组合。
20.一种铪掺杂氮化硅或铪掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,氢含量小于20原子重量%,反射率为1.80或更大,及其组合。
21.一种钽掺杂氮化硅或钽掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,氢含量小于20原子重量百分比%,反射率为1.80或更大,及其组合。
22.一种钨掺杂氮化硅或钨掺杂碳氮化硅膜,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,氢含量小于20原子重量百分比%,反射率为1.80或更大,及其组合。
CN201680052609.0A 2015-09-11 2016-09-09 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜 Pending CN108026637A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311209900.2A CN117265512A (zh) 2015-09-11 2016-09-09 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562217296P 2015-09-11 2015-09-11
US62/217,296 2015-09-11
US201562237899P 2015-10-06 2015-10-06
US62/237,899 2015-10-06
PCT/US2016/050874 WO2017044690A1 (en) 2015-09-11 2016-09-09 Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311209900.2A Division CN117265512A (zh) 2015-09-11 2016-09-09 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜

Publications (1)

Publication Number Publication Date
CN108026637A true CN108026637A (zh) 2018-05-11

Family

ID=56940449

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202311209900.2A Pending CN117265512A (zh) 2015-09-11 2016-09-09 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
CN201680052609.0A Pending CN108026637A (zh) 2015-09-11 2016-09-09 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202311209900.2A Pending CN117265512A (zh) 2015-09-11 2016-09-09 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜

Country Status (8)

Country Link
US (2) US11104990B2 (zh)
EP (1) EP3347504A1 (zh)
JP (1) JP6761028B2 (zh)
KR (2) KR102251774B1 (zh)
CN (2) CN117265512A (zh)
IL (1) IL257990B2 (zh)
TW (1) TWI642803B (zh)
WO (1) WO2017044690A1 (zh)

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6832785B2 (ja) * 2016-08-08 2021-02-24 東京エレクトロン株式会社 シリコン窒化膜の成膜方法および成膜装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6920082B2 (ja) * 2017-03-17 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20180331118A1 (en) * 2017-05-12 2018-11-15 Sandisk Technologies Llc Multi-layer barrier for cmos under array type memory device and method of making thereof
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10879456B2 (en) * 2018-06-27 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall spacer stack for magnetic tunnel junctions
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) * 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220057621A (ko) * 2019-09-11 2022-05-09 버슘머트리얼즈 유에스, 엘엘씨 규소 도핑된 산화하프늄의 증착을 위한 배합물
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111162088B (zh) * 2020-01-02 2023-01-24 长江存储科技有限责任公司 含硅衬底中凹槽的制作方法、三维nand存储器及制作方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
WO2021202315A1 (en) * 2020-03-31 2021-10-07 Entegris, Inc. Precursors and methods for preparing silicon-containing films
JPWO2021200218A1 (zh) 2020-04-01 2021-10-07
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US20230160051A1 (en) * 2020-05-26 2023-05-25 Kojundo Chemical Laboratory Co., Ltd. Method for manufacturing crystalline gallium nitride thin film
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
EP4232410A1 (en) * 2020-10-23 2023-08-30 Entegris, Inc. Method for preparing iodosilanes
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030108674A1 (en) * 2001-12-07 2003-06-12 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
CN1716548A (zh) * 2004-06-29 2006-01-04 国际商业机器公司 掺杂的氮化物膜、掺杂的氧化物膜、以及其它掺杂的膜
CN101328578A (zh) * 2007-06-19 2008-12-24 气体产品与化学公司 等离子增强循环沉积氮化金属硅膜的方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3974003A (en) 1975-08-25 1976-08-10 Ibm Chemical vapor deposition of dielectric films containing Al, N, and Si
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
WO2010151856A2 (en) 2009-06-26 2010-12-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
TWI498447B (zh) 2010-04-01 2015-09-01 Air Liquide 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
KR101295031B1 (ko) 2011-04-22 2013-08-09 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭 증착방법
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
TWI563539B (en) 2012-01-18 2016-12-21 Sino American Silicon Prod Inc Composite substrate, manufacturing method thereof and light emitting device having the same
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US20140273516A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Vbd and tddb improvement thru interface engineering
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030108674A1 (en) * 2001-12-07 2003-06-12 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
CN1716548A (zh) * 2004-06-29 2006-01-04 国际商业机器公司 掺杂的氮化物膜、掺杂的氧化物膜、以及其它掺杂的膜
CN101328578A (zh) * 2007-06-19 2008-12-24 气体产品与化学公司 等离子增强循环沉积氮化金属硅膜的方法

Also Published As

Publication number Publication date
IL257990B2 (en) 2023-07-01
US20180245215A1 (en) 2018-08-30
KR20200137053A (ko) 2020-12-08
US11732351B2 (en) 2023-08-22
IL257990A (en) 2018-05-31
IL257990B1 (en) 2023-03-01
KR102251774B1 (ko) 2021-05-12
TWI642803B (zh) 2018-12-01
KR102188750B1 (ko) 2020-12-08
TW201710538A (zh) 2017-03-16
EP3347504A1 (en) 2018-07-18
KR20180053694A (ko) 2018-05-23
WO2017044690A1 (en) 2017-03-16
CN117265512A (zh) 2023-12-22
JP6761028B2 (ja) 2020-09-23
JP2018528615A (ja) 2018-09-27
US11104990B2 (en) 2021-08-31
US20210388489A1 (en) 2021-12-16

Similar Documents

Publication Publication Date Title
CN108026637A (zh) 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
CN104831254B (zh) 氮化硅膜的沉积方法
US11605535B2 (en) Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
CN104250258B (zh) 氮杂聚硅烷前体和沉积包含该前体的薄膜的方法
CN103450242B (zh) 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法
CN107257867A (zh) 组合物和使用所述组合物沉积含硅膜的方法
CN108474114A (zh) 用于沉积保形的金属或准金属氮化硅膜的方法
TW202035430A (zh) 用於含矽膜的組合物及使用其的方法
WO2022187238A1 (en) Compositions and methods using same for films comprising silicon and boron

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20180511

RJ01 Rejection of invention patent application after publication