CN108474114A - 用于沉积保形的金属或准金属氮化硅膜的方法 - Google Patents

用于沉积保形的金属或准金属氮化硅膜的方法 Download PDF

Info

Publication number
CN108474114A
CN108474114A CN201680067074.4A CN201680067074A CN108474114A CN 108474114 A CN108474114 A CN 108474114A CN 201680067074 A CN201680067074 A CN 201680067074A CN 108474114 A CN108474114 A CN 108474114A
Authority
CN
China
Prior art keywords
bis
silane
amino
reactor
dimethylamino
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201680067074.4A
Other languages
English (en)
Inventor
雷新建
金武性
A·马力卡琼南
A·M·丹格菲尔德
L·F·佩纳
Y·J·查巴尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Versum Materials US LLC
Original Assignee
University of Texas System
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System, Versum Materials US LLC filed Critical University of Texas System
Priority to CN202311392440.1A priority Critical patent/CN117904602A/zh
Publication of CN108474114A publication Critical patent/CN108474114A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文描述了用于形成保形的第4、5、6、13族金属或准金属掺杂的氮化硅膜的方法。在一个方面,提供了形成氮化铝硅膜的方法,其包括以下步骤:在反应器中提供衬底;将至少一种铝前体引入反应器中,所述至少一种铝前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;用吹扫气体吹扫反应器;将有机氨基硅烷前体引入反应器中以在所述衬底的表面的至少一部分上反应以提供化学吸附层;将氮源和惰性气体引入反应器中以与所述化学吸附层的至少一部分反应;和任选地用惰性气体吹扫所述反应器;并且其中重复所述步骤直到获得期望厚度的所述氮化铝膜。

Description

用于沉积保形的金属或准金属氮化硅膜的方法
相关申请的交叉引用
本申请要求2015年10月06日提交的申请No.62/237,899的利益。申请No.62/237,899的公开内容通过引用合并于此。
背景技术
各种公开出版物,包括专利和专利申请,在整个说明书中引用。这些引用的出版物各自以其整体和为所有目的通过引用并入本文中。
本文描述了用于使用第4、5、6、13族金属或准金属前体沉积掺杂有元素周期表的第4、5、6、13族金属或准金属的化学计量或非化学计量的氮化硅膜的方法。更具体地,本文描述了基于热的循环方法,包括但不限于热原子层沉积(“PEALD”)、热循环化学气相沉积(“PECCVD”)法,其用于沉积第4、5、6、13族掺杂的金属或准金属膜(例如铝、镓、铟、铊、硼或其组合)氮化硅膜,其可用于例如制造集成电路器件。
由于其独特性质的组合,含有第4、5、6、13族元素的金属或非金属膜(例如但不限于氮化铝(AlN)或氮化硼(BN)膜)可用于多种多样的电子应用。现有技术提供了用于制备和使用第4、5、6、13族掺杂的金属或准金属膜(例如AlSiN膜)的不同方法。例如,美国专利第3,974,003号公开了用于沉积含有Al、N的层的化学气相沉积(CVD)法,并且其包括提供待涂覆的衬底、载气及氮源化合物、铝源化合物和硅源材料的气体混合物,和将衬底加热至约500-1300℃范围的温度以导致形成含有Al、N和Si的层。可以使用反应物NH3、AlCl3和SiH4
美国公布第2015/0221495号公开了用于形成膜的循环沉积工艺,其包括:通过供应含有第一元素的气体而在衬底上形成包含第一元素的第一层;通过供应含有第二元素的气体以改变第一层而形成包含第一和第二元素的第二层;和通过将第一层的形成和第二层的形成设置为一个循环并且重复所述循环至少一次而形成具有预定厚度的薄膜。
美国专利第8,791,034号公开了用于在CVD条件下使用铝前体、硅前体和氮前体以将铝-硅氮化物层沉积在衬底上而在衬底上形成铝-硅氮化物层的CVD方法。
因此,本领域需要提供用于沉积保形的、高质量的、第4、5、6、13族元素掺杂的膜(例如但不限于铝掺杂氮化硅或铝掺杂碳氮化硅膜)的低温(例如,约500℃或更低的工艺温度)方法,其中所述膜具有以下特征中的一个或多个:密度为2.0克/立方厘米(g/cc)或更大,低的湿蚀刻速率(如在稀氢氟酸(HF)中测量的),氢含量小于20原子重量%,反射率(reflective index)为1.80或更大,及其组合。
附图说明
图1显示在第一、第二、第三和第二十个DSBAS和N2H4ALD循环过程中的差分FT-IR谱;
图2显示FT-IR谱,其示出在初始TMA暴露后DSBAS和N2H4之间的反应性;和
图3显示在40个循环的DSBAS+N2H4(40th Hz)后如此沉积的SiNx膜的FT-IR谱及在继续SiNx生长之前将SiNx暴露于TMA的作用。
发明内容
本文描述了用于将化学计量或非化学计量的第4、5、6、13族金属或准金属掺杂氮化硅膜(例如铝、镓、铟、铊、硼或其组合掺杂的氮化硅膜)形成到衬底的至少一部分上的方法。更具体地,本文描述了用于沉积铝掺杂氮化硅或铝掺杂碳氮化硅膜的原子层沉积(ALD)或循环CVD方法。
在一个方面中,提供了用于沉积铝掺杂氮化硅膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将选自AlCl3、三甲基铝(TMA)、三乙基铝、三(二甲基氨基)铝(TDMAA)、三(二甲基氨基)铝(TDMAA)和三(二乙基氨基)铝(TDEAA)的铝前体在足以使所述铝前体反应并提供化学吸附层的工艺条件下引入所述反应器中;
c.吹扫以除去未反应的铝前体;
d.将氮源引入所述反应器中以与所述化学吸附层的至少一部分反应;
e.用吹扫气体吹扫所述反应器;
f.将由以下式I至IV表示的有机氨基硅烷前体引入所述反应器中:
R3 xSi(NR1R2)yH4-x-y
IV
其中R1选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C3至C10烯基、直链或支链C3至C10炔基、C1至C6二烷基氨基、吸电子基团、C6至C10芳基、C1至C10烷基甲硅烷基和甲硅烷基;R2选自氢、直链C2至C10烷基、支链C3至C10烷基、直链或支链C3至C6烯基、直链或支链C3至C6炔基、C1至C6二烷基氨基、C6至C10芳基、吸电子基团和C4至C10芳基;n=1或2;x=0、1、2;y=2、3;并且任选地其中式I、III和IV中的R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环,其中所述有机氨基硅烷前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
g.用吹扫气体吹扫所述反应器;
h.将氮源引入所述反应器中以与所述化学吸附层的至少一部分反应;和
i.任选地用惰性气体吹扫所述反应器;并且其中重复步骤b至i直到获得期望厚度的所述膜。在式I、III和IV的某些实施方式中,R1和R2是相同的。在式I、III和III的其他实施方式中,R1和R2是不同的。在式I和IV的前述或其他实施方式中,R1和R2可以连接在一起以形成环。在这些实施方式中,该环可以是取代的或未取代的芳族或脂族环。在又一些实施方式中,R1和R2不连接在一起形成环。
在一个实施方式中,本文描述了用于在包含含氮源的热工艺中,使用第4、5、6、13族金属或准金属前体和具有本文所述的式I至IV的有机氨基硅烷前体,在低温或者约25℃至约500℃范围内的一个或多个沉积温度下,沉积第4、5、6、13族金属或准金属氮化硅或碳氮化硅膜的原子层沉积(ALD)或ALD样方法。
具体实施方式
在低温,例如500℃或更低,约200℃至约400℃和,在某些情况下,约250℃至约450℃的温度下,沉积保形的、化学计量的或非化学计量的第4、5、6、13族金属或准金属掺杂氮化硅或碳氮化硅介电膜(例如但不限于铝或硼或镓掺杂的氮化硅膜)(其满足被认为是高质量膜的一个或多个标准)一直是行业面临的挑战。如果铝掺杂氮化硅膜具有以下特征中的一个或多个,则其被认为是“高质量”膜:如通过X射线反射法(XRR)测量的2.2克/立方厘米(g/cc)或更大(例如,约2.2至约3.0g/cc,约2.4至约2.9g/cc和,在一些情况下,约2.4至约2.8g/cc)的密度;低的湿蚀刻速率(如在稀氢氟酸(在DI水中的0.5重量%HF)中测量的);如通过二次离子质谱(SIMS)测量的20原子(at.)%或更小(例如,约1原子%至约20原子%,约5原子%至约20原子%和,在一些情况下,约1原子%至约10原子%)的氢含量,大于1.80或更大(例如,约1.8至约2.8,约2.0至约2.6和,在一些情况下,约2.2至约2.4)的反射率;如通过汞探头测量的1E-7A/cm2或更低(例如,约1E-8A/cm2至约9E-7A/cm2,约1E-8A/cm2至约1E-9A和,在一些情况下,约1E-7A/cm2至1E-9A/cm2)的低泄漏电流;如通过汞探头测量的6MV/cm或更高(例如,约6MV/cm至约10MV/cm,约6MV/cm至约8MV/cm和,在一些情况下,约7MV/cm至约9MV/cm)的高击穿电压;及其组合。
本文描述了用于将化学计量或非化学计量的第4、5、6、13族掺杂的氮化硅膜(例如铝掺杂氮化硅、硼掺杂氮化硅、镓掺杂氮化硅、铟掺杂氮化硅、铊掺杂氮化物及其组合)形成到衬底的至少一部分上的方法。
本文还描述了用于在衬底的至少一部分上形成包含硅和氮的化学计量的或非化学计量的铝掺杂氮化硅膜的方法。在某些实施方式中,铝掺杂氮化硅膜进一步包含碳或铝,例如碳氮化硅或氮化硅铝膜。在某些实施方式中,铝掺杂氮化硅膜进一步包含氧,例如氧氮化硅膜。在这个或其他实施方式中,铝掺杂氮化硅膜包含氧和碳,例如碳氧氮化硅膜。在整个说明书中,如本文所用的术语“铝掺杂氮化硅”是指包含铝、硅和氮的膜,其选自化学计量的或非化学计量的氮化硅铝、碳氮化硅铝、碳氧氮化硅铝及其混合物。
如前所述,膜使用第4、5、6、13族金属或准金属前体如铝前体和有机氨基硅烷(其是由以下式I至IV表示的至少一种)沉积:
R3 xSi(NR1R2)yH4-x-y
IV
其中R1选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C3至C10烯基、直链或支链C3至C10炔基、C1至C6二烷基氨基、吸电子基团、C6至C10芳基、C1至C10烷基甲硅烷基和甲硅烷基;R2选自氢、直链C2至C10烷基、支链C3至C10烷基、直链或支链C3至C6烯基、直链或支链C3至C6炔基、C1至C6二烷基氨基、C6至C10芳基、吸电子基团和C4至C10芳基;n=1或2;x=0、1、2;y=2、3;并且任选地其中式I、III和IV中的R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环。在式IV中,x和y是使得x+y的和小于或等于4(包括0),但不可以是负整数。具有式I、II、III和IV的示例性有机氨基硅烷包括,但不限于二-异丙基氨基硅烷、二-仲丁基氨基硅烷、苯基甲基氨基硅烷、2,6-二甲基哌啶子基硅烷、N-甲基环己基氨基硅烷、N-乙基环己基氨基硅烷、N-异丙基环己基氨基硅烷、2-甲基哌啶子基硅烷、N-甲硅烷基十氢喹啉、2,2,6,6-四甲基哌啶子基硅烷、2-(N-甲硅烷基甲基氨基)吡啶、N-叔丁基二硅氮烷、N-叔戊基二硅氮烷、N-(3-甲基-2-吡啶基)二硅氮烷、N-(2-甲基苯基)二硅氮烷、N-(2-乙基苯基)二硅氮烷、N-(2,4,6-三甲基苯基)二硅氮烷、N-(2,6-二-异丙基(pripyl)苯基)二硅氮烷、二-异丙基氨基乙硅烷、二-异丁基氨基乙硅烷、二-仲丁基氨基乙硅烷、2,6-二甲基哌啶子基硅烷、N-甲基环己基氨基乙硅烷、N-乙基环己基氨基乙硅烷、苯基甲基氨基乙硅烷、2-(N-二甲硅烷基甲基氨基)吡啶、N-苯基乙基乙硅烷、N-异丙基环己基氨基乙硅烷、1,1-(N,N’-二-叔丁基亚乙基二氨基)乙硅烷、双(异丙基氨基)甲基硅烷、双(异丁基氨基)甲基硅烷、双(仲丁基氨基)甲基硅烷、双(叔丁基氨基)甲基硅烷、双(叔戊基氨基)甲基硅烷、双(环己基氨基)甲基硅烷、双(异丙基氨基)二甲基硅烷、双(异丁基氨基)二甲基硅烷、双(仲丁基氨基)二甲基硅烷、双(叔丁基氨基)二甲基硅烷、双(叔戊基氨基)二甲基硅烷和双(环己基氨基)二甲基硅烷、双(二甲基氨基)硅烷、双(二乙基氨基)硅烷、双(乙基甲基氨基)硅烷、双(叔丁基氨基)硅烷、三(二甲基氨基)硅烷、三(异丙基氨基)硅烷、三甲硅烷基胺(TSA)和TSA衍生物。
具有本文所述的式I、II、III和IV的有机氨基硅烷前体表现出反应性和稳定性的平衡,这使其理想地适合作为用于半导体器件制造工艺的PEALD或PECCVD前体。关于反应性,某些前体可能具有太高的沸点而不能被蒸发和递送到反应器中以作为膜沉积在衬底上。具有较高相对沸点的前体要求的是递送容器和管线需要在给定真空下被加热到前体的沸点或以上以防止冷凝或在容器、管线或两者中形成颗粒。重要的是,具有式I、II、III或IV的有机氨基硅烷前体具有比现有技术中公开的那些前体更多的Si-H基团,从而潜在地允许通过由吸附的铝前体催化Si-H与Si-NH反应以形成Si-N-Si键而沉积高质量的铝掺杂氮化硅。在某些实施方式中,具有Si-NH基团的式IV的有机氨基硅烷前体例如双(叔丁基氨基)硅烷(BTBAS)或双(仲丁基氨基)甲基硅烷或双(异丙基氨基)甲基硅烷可以与吸附的Al-Me基团反应以形成Al-N-Si键,然后允许更多硅片段被锚定在结构化衬底上并促进形成高度保形的铝掺杂氮化硅或碳氮化硅。在某些实施方式中,具有本文所述的式I至IV的有机氨基硅烷前体包含2重量%或更少,或1重量%或更少或者0.5重量%或更少的副产物(在储存6个月或更久,或者一年或更久的时间后,这表明是储存稳定的)。除了上述优点之外,在某些实施方式中,例如用于使用PEALD或PECCVD沉积方法沉积铝掺杂氮化硅膜,本文所述的有机氨基硅烷前体可能能够在一个或多个沉积温度下,例如400℃或更低,350℃或更低,300℃或更低,或250℃或更低,200℃或更低,150℃或更低,100℃或更低,或50℃或更低,沉积高密度材料。
在本文的式和整个说明书中,术语“烷基”表示通过除去一个氢原子而从烷烃衍生的并具有1至10或3至6或3至4个碳原子的基团。示例性的直链烷基包括但不限于甲基、乙基、正丙基、正丁基和正戊基。示例性的支链烷基包括但不限于异丙基、叔丁基和仲丁基。
在本文的式和整个说明书中,术语“环状烷基”表示具有3至10个或4至10个碳原子或5至10个碳原子的环状官能团。示例性的环状烷基包括但不限于环丁基、环戊基、环己基和环辛基。
在本文的式和整个说明书中,术语“芳基”表示具有5至12个碳原子或6至10个碳原子的芳族环状官能团。示例性的芳基包括但不限于苯基、苄基、氯苄基、甲苯基和邻二甲苯基。
在本文的式和整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键且具有3至10或3至6或3至4个碳原子的基团。
在本文的式和整个说明书中,术语“炔基”表示具有一个或多个碳-碳三键且具有3至10或3至6或3至4个碳原子的基团。
在本文的式和整个说明书中,术语“二烷基氨基”表示具有连接到氮原子上的两个烷基且具有1至10或2至6或2至4个碳原子的基团。示例性的芳基包括但不限于二甲基氨基、二乙基氨基和乙基甲基氨基。
在本文的式和整个说明书中,术语“烷基甲硅烷基”表示具有至少一个硅原子以及1至10或2至6或2至4个碳原子的基团。示例性的烷基甲硅烷基包括但不限于甲基甲硅烷基(MeSiH2-)、二甲基甲硅烷基(Me2SiH-)、三甲基甲硅烷基(Me3Si-)。甲硅烷基是指H3Si-或(H3Si)2NSiH2-。
在本文的式和整个说明书中,术语“吸电子基团”表示起到将电子从M-N键吸离的作用的原子或原子团。合适的吸电子基团或取代基的实例包括但不限于腈(CN)。在某些实施方式中,吸电子取代基可以与式I至III的任一个中的N相邻或接近。吸电子基团的另一些非限制性实例包括F、Cl、Br、I、CN、NO2、RSO和/或RSO2,其中R可以是C1-C10烷基,例如但不限于甲基或另外的基团。
在某些实施方式中,式I至IV中的烷基、烯基、炔基、烷氧基、二烷基氨基、芳基和/或吸电子基团中的一个或多个可以被取代或者具有替代例如氢原子而取代的一个或多个原子或原子团。示例性的取代基包括但不限于氧、硫、卤素原子(例如F、Cl、I或Br)、氮和磷。例如,术语“氟化烷基”表示其中烷基的一个或多个原子如氢原子被氟原子取代的基团。
在整个说明书中,如本文所用的术语“有机胺”描述具有至少一个氮原子的有机化合物。有机胺的实例包括但不限于甲胺、乙胺、丙胺、异丙胺、叔丁胺、仲丁胺、叔戊胺、乙二胺、二甲胺、三甲胺、二乙胺、吡咯、2,6-二甲基哌啶、二正丙基胺、二异丙基胺、乙基甲基胺、N-甲基苯胺、吡啶和三乙胺。类似地,在整个说明书中,如本文所用的术语“有机氨基”是指由衍生自如上所述的有机仲胺或伯胺的至少一个氮原子组成的有机基团。“有机氨基”不包括-NH2基团。
在整个说明书中,如本文所使用的术语“阶梯覆盖率”被定义为在具有通孔或沟槽或两者的结构化或特征化衬底中沉积的铝掺杂氮化硅膜的两个厚度的百分比,底部阶梯覆盖率是以下比率(以%表示):特征底部的厚度除以特征顶部的厚度,并且中部阶梯覆盖率是以下比率(以%表示):特征侧壁上的厚度除以特征顶部的厚度。使用本文所述的方法沉积的膜表现出约60%或更高,约70%或更高,约80%或更高,或约90%或更高的阶梯覆盖率,其表明该膜是保形的。
该方法还包括第4、5、6、13族金属或准金属前体。示例性的第4、5、6、13族金属或准金属前体包括但不限于三甲基铝、三乙基铝、三(二甲基氨基)铝、三(乙基甲基氨基)铝、烷基氯化铝(例如甲基氯化铝,DMACl)、三甲基硼烷、三乙基硼烷、三(二甲基氨基)硼烷、三(乙基甲基氨基)硼烷和三(二乙基氨基)硼烷。另外的示例性第13族准金属前体包括“硼烷有机胺配合物”。“硼烷有机胺配合物”表示通过使硼烷或乙硼烷与有机胺反应而形成的稳定和挥发性的硼烷复合物。示例性的有机胺硼烷配合物包括但不限于硼烷三甲胺配合物、硼烷三乙胺配合物、二甲胺硼烷、硼烷吡啶配合物、硼烷吗啉配合物、硼烷叔丁胺配合物、硼烷4-甲基吗啉配合物、硼烷N,N-二异丙基乙胺配合物、硼烷乙二胺配合物、2-甲基吡啶硼烷配合物。
在某些实施方式中,第13族金属包括铝。在这些实施方式中,前体是选自AlCl3、三甲基铝(TMA)、三乙基铝、甲基氯化铝、三(二甲基氨基)铝(TDMAA)、三(二甲基氨基)铝(TDMAA)和三(二乙基氨基)铝(TDEAA)的铝前体。
用于形成第4、5、6、13族金属或准金属氮化物膜(例如但不限于氮化铝或氮化硼膜或涂层)的方法是沉积工艺。适合于本文公开的方法的沉积工艺的实例包括但不限于等离子体增强ALD(PEALD)或等离子体增强循环CVD(PECCVD)工艺。如本文所用的,术语“化学气相沉积工艺”是指其中衬底暴露于一种或多种挥发性前体的任何工艺,所述挥发性前体在衬底表面上反应和/或分解以产生期望的沉积。如本文所用的,术语“原子层沉积工艺”是指自限式的(例如,在每个反应循环中沉积的膜材料的量是恒定的)、顺序表面化学作用,其将材料的膜沉积到具有不同组成的衬底上。尽管本文使用的前体、试剂和源有时可以被描述为“气态的”,但应理解,前体可以是液体或固体,其通过直接蒸发、鼓泡或升华在有或者没有惰性气体的情况下被输送到反应器中。在一些情况下,蒸发的前体可以穿过等离子体发生器。在一个实施方式中,使用ALD工艺沉积氮化铝膜。在另一个实施方式中,使用CCVD工艺沉积氮化铝膜。在另一个实施方式中,使用热CVD工艺沉积氮化铝膜。如本文使用的术语“反应器”包括但不限于反应室或沉积室。ALD样工艺在本文中被定义为循环CVD工艺,其在衬底上提供高保形氮化铝膜,如通过具有以下的至少一种所显示的:通过椭率计测量的不均匀性百分比为约5%或更小,沉积速率为/循环或更大,或其组合。
在某些实施方式中,本文公开的方法通过使用在引入到反应器中之前和/或期间分隔前体的PEALD或PECCVD方法而避免前体的过早反应。在这个方面,使用如PEALD或PECCVD工艺的沉积技术沉积第13族金属或准金属氮化物膜。在一个实施方式中,通过将衬底表面交替暴露于一种或多种氮化铝前体、含氮源或者其他前体或试剂而通过PEALD工艺沉积膜。膜生长通过表面反应的自限式控制、每种前体或试剂的脉冲长度和沉积温度进行。然而,一旦衬底表面饱和,膜生长停止。
取决于沉积方法,在某些实施方式中,该至少一种第4、5、6、13族金属或准金属前体可以以预定的摩尔量或约0.1-约1000微摩尔引入反应器中。在这一和其它实施方式中,该至少一种铝前体可以引入反应器中预定的时间段。在某些实施方式中,该时间段的范围为约0.001-约500秒。
在某些实施方式中,第4、5、6、13族金属或准金属氮化物膜包含铝和氮或者硼和氮。在这些实施方式中,使用本文所述的方法沉积的氮化铝或氮化硼膜在含氮源的存在下形成。含氮源可以以至少一种含氮源的形式引入反应器中和/或可以附带地存在于沉积工艺中使用的其他前体中。合适的含氮源气体可以包括例如氨、肼、单烷基肼、二烷基肼、叔丁胺、甲胺、乙胺、乙二胺及其混合物。在某些实施方式中,氮源是氨或包含肼的组合物。在某些优选的实施方式中,含氮源包含肼。含氮源气体可以以约1至约2000标准立方厘米(sccm)或约1至约1000sccm的流速引入反应器中。可以将含氮源气体引入约0.1至约100秒的时间。在其中膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,并且含氮源气体可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一个实施方式中,脉冲之间的吹扫持续时间可以低至0秒,或者连续地脉冲而没有中间的吹扫。
在本文所述的方法中,含氮源气体包括含肼气体如,但不限于肼、肼/氢、肼/氩、肼/氮及溶剂中的肼,和任选地稀有气体或溶剂。肼源气体以约1至约2000平方立方厘米(sccm)或约1至约1000sccm或更高的流速引入反应器中。可以将含氮源引入约0.01至约100秒或更长的时间。在实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,并且肼蒸气可以具有小于0.01秒的脉冲持续时间。肼蒸气可以使用与稀有气体混合或与溶剂混合的纯肼递送。包含肼和稀有气体或溶剂两者的组合物中稀有气体的重量百分比可以从1wt%至99%变化,而包含肼和稀有气体或溶剂两者的组合物中稀有气体的重量百分比也可以从1wt%至99%变化。用于包含肼的组合物的稀有气体可以选自氮、氦、氩及其混合物。用于包含肼的组合物的溶剂可以选自醚、叔胺、烷基烃、芳族烃、叔氨基醚及其组合。
不局限于理论,据信包含肼的组合物可以帮助形成第4、5、6、13族金属或准金属膜,例如氮化铝膜(其具有较少的氢含量),以及在化学吸附表面的至少一部分上,特别是在结构化衬底的侧壁上提供反应性位点,例如Al-Me或Al-NMe2基团,从而允许在后续沉积循环中将含硅片段锚定到这些反应性位点上,因此促进氮化硅在通孔或沟槽的侧壁或底部上的沉积,并且使60%或更大的阶梯覆盖率成为可能,因为非常难以实现这样的阶梯覆盖率。
本文所述的沉积方法可以涉及一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性的吹扫气体包括但不限于氩气(Ar)、氮气(N2)、氦气(He)、氖气(Ne)、氢气(H2)及其混合物。在某些实施方式中,用作吹扫气体的惰性气体包括稀有气体。如本文使用的术语“稀有气体”是指见于周期表第18族中的那些气体,并且包括氦气(He)、氖气(Ne)、氩气(Ar)、氙气(Xe)、氪气(Kr)及其混合物。在一个特定实施方式中,用作吹扫气体的稀有气体包括氩气。在这个或其它实施方式中,将包含Ar的吹扫气体以约10至约2000sccm的流速供应至反应器中约0.1至1000秒,由此吹扫未反应的前体材料和可能保留在反应器中的任何副产物。
供应前体、含氮源和/或其他前体、源气体和/或试剂的相应步骤可以通过改变供应它们的时间来进行以改变所得膜的化学计量组成。
第4、5、6、13族金属或准金属前体、具有式I至IV的有机氨基硅烷或两者可以以各种方式(如鼓泡、蒸气抽吸或直接液体注射(DLI))被递送至反应室,例如单晶片式或分批式PEALD或PECCVD反应器。在一个实施方式中,可以使用液体递送系统。在替代性实施方式中,可以使用组合的液体递送和闪蒸处理单元,例如由MSP Corporation,Shoreview,MN制造的涡轮蒸发器,以使低挥发性材料能够被定量地(volumetrically)递送,这导致可重复的输送和沉积而不使前体热分解。在液体递送方式中,本文所述的前体可以以纯净液体形式递送,或者可选地,可以在包含该前体的溶剂制剂或组合物中使用。因此,在某些实施方式中,前体制剂可以包含具有合适特性(如在给定的最终用途应用中可能期望和有利的)的溶剂组分以在衬底上形成膜。
在某些实施方式中,取决于工艺要求,将从前体罐连接至反应室的气体管线加热至一个或多个温度,并且将具有本文所述的式I至IV的铝前体的容器保持在一个或多个温度下以用于鼓泡。在其他实施方式中,将包含具有本文所述的式的至少一种氮化铝前体的溶液注入保持在用于直接液体注射的一个或多个温度下的蒸发器中。
可以使用氩气和/或其他惰性气体的流作为载气以帮助在前体脉冲期间将至少一种铝前体的蒸气递送到反应室。在某些实施方式中,反应室工艺压力为约2托或更小。在其他实施方式中,反应室工艺压力约为10托或更小。
在一个方面中,提供了用于沉积铝掺杂氮化硅膜的方法,所述方法包括以下步骤:
在再一个方面中,提供了用于通过热原子层沉积在低于500℃的温度下沉积保形金属掺杂氮化硅介电膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将金属前体在足以使金属前体反应并提供化学吸附层的工艺条件下引入所述反应器中;
c.吹扫以除去未反应的金属前体;
d.将氮源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点;
e.用吹扫气体吹扫所述反应器;
f.将由以下式I至IV表示的有机氨基硅烷前体引入所述反应器中:
R3 xSi(NR1R2)yH4-x-y
IV
其中R1选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C3至C10烯基、直链或支链C3至C10炔基、C1至C6二烷基氨基、吸电子基团、C6至C10芳基、C1至C10烷基甲硅烷基和甲硅烷基;R2选自氢、直链C2至C10烷基、支链C3至C10烷基、直链或支链C3至C6烯基、直链或支链C3至C6炔基、C1至C6二烷基氨基、C6至C10芳基、吸电子基团和C4至C10芳基;n=1或2;x=0、1、2;y=2、3;并且任选地其中式I、III和IV中的R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环,其中所述有机氨基硅烷前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
g.用吹扫气体吹扫所述反应器;
h.将氮源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点;和
i.任选地用惰性气体吹扫所述反应器;并且其中重复步骤b至i直到获得期望厚度的所述膜。合适的金属前体可以选自AlCl3、三甲基铝(TMA)、甲基氯化铝、三乙基铝、三(二甲基氨基)铝(TDMAA)、三(二甲基氨基)铝(TDMAA)、三(二乙基氨基)铝(TDEAA)、ZrCl4、四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)和四(乙基甲基氨基)铪(TEMAH)、氯化钛(TiCl4)、四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基氨基)钛(TEMAT)、氯化钽(TaCl5)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、六氯化钨、五氯化钨、双(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW)、双(叔丁基亚氨基)双(二乙基氨基)钨、双(叔丁基亚氨基)双(乙基甲基氨基)钨及其组合。合适的氮源气体可以包括例如氨、肼、单烷基肼如1-甲基肼、1-叔丁基肼、二烷基肼如1,1-二甲基肼、有机胺如叔丁基胺、仲丁基胺、甲胺、乙胺、异丙胺、乙二胺及其混合物。在其它实施方式中,等离子体可以在步骤i之后插入以帮助使金属掺杂的氮化硅致密化,且等离子体选自氢等离子体、氦等离子体、氖等离子体、氩等离子体、氙等离子体、氢/氦等离子体、氢/氩等离子体及其混合物。在本文所述方法的某些实施方式中,步骤b-i重复以提供具有约0.1-约或约0.1-约或约0.1-约或约0.1-约或0.1-的范围内的厚度的膜。在一些实施方式中,步骤b-e可以在步骤f-i之前重复许多次以产生包含交替的金属氮化物和具有较低金属含量(即金属含量为10%或更低,基于XPS测量)的氮化硅的纳米叠层介电结构。在一些实施方式中,选自氢等离子体、氢/氦、氢/氩等离子体、氢/氖等离子体及其混合物的含氢等离子体可以在步骤d或h之前插入以帮助除去由金属前体和表面之间的反应产生的烃。在替代的实施方式中,等离子体包含非氢等离子体。
上述步骤限定本文描述的方法的一个循环;并且该循环可以重复直到获得期望厚度的膜。在这个或其他实施方式中,应理解,本文所述方法的步骤可以以多种顺序实施,可以顺序或同时地(例如,在另一步骤的至少一部分期间)实施,及以其任何组合实施。供应前体和含氮源的相应步骤可以通过改变供应它们的持续时间来进行以改变所得氮化物膜的化学计量组成,尽管总是使用小于相对于可用的第4、5、6、13族金属或准金属的化学计量量的氮。
在某些实施方式中,所得第4、5、6、13族金属或准金属掺杂的氮化硅膜或涂层可以暴露于沉积后处理,例如但不限于等离子体处理、化学处理、紫外照射、电子束暴露和/或影响膜的一种或多种性质的其他处理。
如前所述,本文所述的方法可用于在衬底的至少一部分上沉积第4、5、6、13族金属或准金属掺杂氮化硅膜。合适的衬底的实例包括但不限于硅、锗、硅/锗、SiO2、Si3N4、OSG、FSG、碳化硅、氢化碳化硅、氮化硅、氢化氮化硅、碳氮化硅、氢化碳氮化硅、硼氮化物、抗反射涂层、光致抗蚀剂、柔性衬底(如IGZO)、有机聚合物、多孔有机和无机材料、金属如铜和铝,及扩散屏障层,例如但不限于TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。该膜与多种后续处理步骤(例如化学机械平面化(CMP)和各向异性蚀刻过程)相容。
沉积的膜具有多种应用,其包括但不限于计算机芯片、光学器件、磁信息存储器、支撑材料或衬底上的涂层、微机电系统(MEMS)、纳米机电系统、薄膜晶体管(TFT)、发光二极管(LED)、有机发光二极管(OLED)、IGZO和液晶显示器(LCD)。
以下实施例说明本文所述的用于沉积第13族金属或准金属掺杂氮化硅膜的方法,并且不意图以任何方式限制本发明。
实施例
在以下实施例中,除非另有说明,性质从沉积到中等电阻率(14-17Ω-cm)的单晶硅晶片衬底上的样品膜获得。使用具有喷淋头设计的CN-1反应器进行所有膜沉积。在典型的工艺条件下,除非另有说明,室压被固定在约1至约5托范围内的压力下。使用额外的惰性气体如氩气或氮气维持室压。使用蒸汽抽吸递送铝前体和有机氨基硅烷前体。
对比例1a.使用双(叔丁基氨基硅烷(BTBAS)和氨的热ALD氮化硅膜
硅晶片加载到配备有喷淋头设计并加热到350℃的CN-1反应器中,室压力为2托。双(叔丁基氨基硅烷(BTBAS)作为有机氨基硅烷前体和氨作为氮源。ALD循环使用以下工艺参数进行。
a.准备反应器和加载晶片
室压力:2托
b.将有机氨基硅烷前体引入到反应器
√总氩气流:1000sccm
√有机氨基硅烷前体脉冲:2秒
c.吹扫
√总氩气流:1000sccm
√吹扫时间:20秒
d.引入氮源
√总氩气流:1000sccm
√氨流:500sccm,10秒
e.吹扫
√总氩气流:1000sccm
√吹扫时间:20秒。
步骤b至e重复1000个循环,没有观察到可检测的氮化硅膜。
实施例1.使用三(二甲基氨基)铝、双(叔丁基氨基硅烷(BTBAS)和氨的热ALD铝掺杂氮化硅膜
硅晶片加载到配备有喷淋头设计并加热到350℃的CN-1反应器中,室压力为2托。三甲基铝(TMA)作为铝前体和双(叔丁基氨基硅烷(BTBAS)作为有机氨基硅烷前体和氨作为氮源。ALD循环使用以下工艺参数进行。
a.准备反应器和加载晶片
√室压力:2托
b.将铝前体引入到反应器
√总氩气流:1000sccm
√有机氨基硅烷前体脉冲:2秒
c.吹扫
√总氩气流:1000sccm
√吹扫时间:20秒
d.引入氮源
√总氩气流:1000sccm
√氨流:500sccm,5秒
e.吹扫
√总氩气流:1000sccm
√吹扫时间:20秒。
f.将有机氨基硅烷前体引入到反应器
√总氩气流:1000sccm
√有机氨基硅烷前体脉冲:1秒
g.吹扫
√总氩气流:1000sccm
√吹扫时间:10秒
h.引入等离子体
√总氩气流:1000sccm
√氨流:500sccm,10秒
i.吹扫
√总氩气流:1000sccm
√吹扫时间:10秒。
在这一实验中,一个超循环由步骤b至e的氮化铝重复一次,接着步骤f至i重复20次组成(即,超循环=(氮化铝:(TMA/吹扫/NH3/吹扫=2s/20s/5/20s)x 1个循环+氮化硅:(BTBAS/吹扫/NH3/吹扫=1.0s/10s/10s/10s,20个循环)。超循环重复50次(即,(氮化铝:(TMA/吹扫/NH3/吹扫=2s/20s/5/20s)x 1个循环+氮化硅:(BTBAS/吹扫/NH3/吹扫=1.0s/10s/10s/10s,20个循环))x 50个循环)。TEM测量显示以下厚度对应于约/循环的氮化硅生长速率(假定 氮化铝),证明沉积的氮化铝显著增强氮化硅的沉积。
实施例2.使用三甲基铝(TMA)、二仲丁基氨基硅烷(DSBAS)和肼(Hz)的热ALD铝掺杂氮化硅膜
双侧抛光的、浮区生长的Si(111)衬底(轻度n掺杂的,ρ~10Ωcm)首先切割成适合用于我们自制的ALD设备中的尺寸。衬底首先在二氯甲烷、丙酮和甲醇中脱脂各5min。在脱脂后,衬底用水彻底清洗,然后在食人鱼洗液(piranha solution)(1:3H2O2/H2SO4混合物)中处理30min以产生OH-封端的氧化物表面。样品再次用去离子水清洗并用氮(N2)气吹干,然后立即加载到ALD反应器中,基础压力为10-4托。ALD工艺由改变数量的以下“超循环”组成:x(yTMA+z[DSBAS+N2H4]),其中x是超循环的总数,y是在该超循环过程中在SiNx生长之前发生的TMA暴露的数量,和z是DSBAS和N2H4的完全ALD循环的数量。前体暴露时间对于TMA(p=390毫托)是1s,对于DSBAS(p=440毫托)是3s和对于N2H4(p=1.5托)是5s。DSBAS和N2H4暴露也分别由10和60s约束步骤(containment step)组成,其中通向泵的阀被关闭且前体保留在ALD室中前述的时间。采取这一步骤以确保最大的前体-衬底相互作用且也保存前体,因为反应器容积是非常大的。N2吹扫(1000sccm,P=1.2托)2.5分钟在各前体暴露后发生以停止任何潜在的气相反应和/或FT-IR测量的干扰。样品温度通常在ALD工艺过程中维持在250–300℃之间。
FT-IR分析用配备有液氮冷却的宽带碲镉汞(MCT-B)检测器的Thermo Nicolet6700红外光谱仪进行。以Brewster入射(~74°至法向)的单通透射用于使低频区(<1000cm-1)中的衬底声子吸收最小化并提高表面物质的所有组分(平行和垂直于表面)的灵敏度。液压控制的护罩被定位以在ALD循环过程中保护溴化钾(KBr)窗口免于前体气体的影响。样品对于所有IR测量保持在100℃下。为在电阻发热过程中监测样品温度,K-型热电偶点焊到牢固地附接在Si衬底的长边缘的中心的钽夹上。X-射线光电子能谱分析(XPS)用于研究SiNx膜的组成和厚度。XPS测量通过使用Al Kα(1486.6eV)X-射线源在10-10托的基础室压下进行,且能谱使用16-通道检测器以半球形分析仪记录。溅射使用通过相对于衬底法向以45°角定位的Ar溅射枪供应的1kV Ar+离子进行。在研究TMA催化层的膜生长特征之前,有必要首先探测可能在DSBAS和单独的N2H4之间发生的表面反应。在这一情况中,我们使用上述过程以产生清洁的OH封端的表面。在任何前体暴露可能发生之前,衬底首先退火至400℃。这一过程进行以在后续FT-IR谱中除去热诱导的衬底变化的任何影响。这一步骤也分离表面-OH基团,导致良好限定的~3740cm-1峰,其利于用于初始前体吸附的IR解释。在预退火步骤后,衬底以与前述“超循环”相似的方式顺序地暴露于DSBAS和N2H4,除了没有TMA暴露。图1显示在前体暴露过程中的各个点的差分FT-IR谱。标记“1st DSBAS”的谱使用预退火的衬底作为参照,而每个其它的谱使用来自前一工艺步骤的谱作为参照。这些差分谱允许我们观察在各前体脉冲后在我们的表面上发生的变化,这最终可以给出对于反应机制和表面饱和的深入了解。在这些谱中,正峰指示表面物质的获得(gain)和负峰指示表面物质的损失。初始DSBAS暴露与所有表面-OH基团反应(3740cm-1处的损失,插图)并遗留Si-H3末端(2190cm-1处的获得)。重要的是注意到,没有保持连接的二仲丁基氨基配体的证据,因此据信其被完全吹扫掉且在所有-OH基团被消耗时,对于表面是非反应性的。在初始N2H4暴露(“1st Hz”)后,在Si-H3频率上具有轻微扰动,很可能是由于前体物理吸附(physorption)。基于在进一步DSBAS和N2H4暴露后获取的FT-IR谱,明显的是没有发生与表面Si-H3基团的反应,导致在250℃下没有实际的膜生长。为确认这种生长的缺乏,XPS测量在这一样品上进行。如可以在图2中看到的,TMA(黑色谱)容易地化学吸附在-OH封端的表面上。这通过980cm-1处的损失(Si-OH的弯曲模式)以及表面SiO2的扰动确认,如作为LO(1240cm-1)和TO(1063cm-1)声子模的损失看到的。1206cm-1(Al-CH3)、1275cm-1(Si-CH3)处和2800-3000cm-1之间的正带(positiveband)(CH3伸缩模式)也确认TMA已经附接于表面。重要的是注意到Si-CH3带是从TMA至下层Si原子的甲基转移的结果,且可以引起膜体部内的碳污染。在DSBAS暴露(绿色谱)时,存在CH3伸缩和Al-CH3模式的损失,接着2162和2223cm-1处的获得,这与SiHx的两种形式一致。N2H4(蓝色谱)与2162cm-1SiHx组分反应,保留NH2封端(分别对于剪刀和伸缩模式的1602和3300cm-1)。配体交换在DSBAS和N2H4之间继续发生~40个循环。图3显示40个循环的DSBAS+N2H4(40th Hz)后的IR谱,其显示与SiNx生长一致的特征。最值得注意的是,SiNx的LO和TO声子模分别在1025和865cm-1处看到。还重要的是注意到可观量的氢以SiHx(2152cm-1)和NHx(1610,3300cm-1)的形式掺入膜中。在TMA暴露(2nd TMA)时,具有表面SiHx和NHx带的损失及与Si-CH3和Al-CH3相关的模式的获得,从而确认氮化物表面上的TMA化学吸附。标记41stDSBAS和41st Hz的谱显示DSBAS和N2H4能够再次反应,确认SiNx膜生长的再次启动。

Claims (6)

1.一种用于通过在低于500℃的温度下的热原子层沉积来沉积保形的金属掺杂氮化硅介电膜的方法,该方法包括以下步骤:
a.将衬底提供到反应器中;
b.在足以使金属前体反应并提供化学吸附层的工艺条件下将所述金属前体引入所述反应器中;
c.吹扫以除去未反应的金属前体;
d.将氮源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点;
e.用吹扫气体吹扫所述反应器;
f.将由下式I至IV表示的有机氨基硅烷前体引入所述反应器中:
R3 xSi(NR1R2)yH4-x-y
IV
其中R1选自直链C1至C10烷基、支链C3至C10烷基、直链或支链C3至C10烯基、直链或支链C3至C10炔基、C1至C6二烷基氨基、吸电子基团、C6至C10芳基、C1至C10烷基甲硅烷基和甲硅烷基;R2选自氢、直链C2至C10烷基、支链C3至C10烷基、直链或支链C3至C6烯基、直链或支链C3至C6炔基、C1至C6二烷基氨基、C6至C10芳基、吸电子基团和C4至C10芳基;n=1或2;x=0、1、2;y=2、3;并且任选地其中式I、III和IV中的R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环,其中所述有机氨基硅烷前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
g.用吹扫气体吹扫所述反应器;
h.将氮源引入所述反应器中以与所述化学吸附层的至少一部分反应和提供至少一个反应性位点;和
i.任选地用惰性气体吹扫所述反应器,其中x+y的总和小于或等于4但不能是负整数。
2.如权利要求1所述的方法,其中所述至少一种有机氨基硅烷前体选自二异丙基氨基硅烷、二仲丁基氨基硅烷、苯基甲基氨基硅烷、2,6-二甲基哌啶子基硅烷、N-甲基环己基氨基硅烷、N-乙基环己基氨基硅烷、N-异丙基环己基氨基硅烷、2-甲基哌啶子基硅烷、N-甲硅烷基十氢喹啉、2,2,6,6-四甲基哌啶子基硅烷、2-(N-甲硅烷基甲基氨基)吡啶、N-叔丁基二硅氮烷、N-叔戊基二硅氮烷、N-(3-甲基-2-吡啶基)二硅氮烷、N-(2-甲基苯基)二硅氮烷、N-(2-乙基苯基)二硅氮烷、N-(2,4,6-三甲基苯基)二硅氮烷、N-(2,6-二异丙基苯基)二硅氮烷、二异丙基氨基乙硅烷、二异丁基氨基乙硅烷、二仲丁基氨基乙硅烷、2,6-二甲基哌啶子基硅烷、N-甲基环己基氨基乙硅烷、N-乙基环己基氨基乙硅烷、苯基甲基氨基乙硅烷、2-(N-二甲硅烷基甲基氨基)吡啶、N-苯基乙基乙硅烷、N-异丙基环己基氨基乙硅烷、1,1-(N,N’-二叔丁基亚乙基二氨基)乙硅烷、双(异丙基氨基)甲基硅烷、双(异丁基氨基)甲基硅烷、双(仲丁基氨基)甲基硅烷、双(叔丁基氨基)甲基硅烷、双(叔戊基氨基)甲基硅烷、双(环己基氨基)甲基硅烷、双(异丙基氨基)二甲基硅烷、双(异丁基氨基)二甲基硅烷、双(仲丁基氨基)二甲基硅烷、双(叔丁基氨基)二甲基硅烷、双(叔戊基氨基)二甲基硅烷和双(环己基氨基)二甲基硅烷、双(二甲基氨基)硅烷、双(二乙基氨基)硅烷、双(乙基甲基氨基)硅烷、双(叔丁基氨基)硅烷、三(二甲基氨基)硅烷、三(异丙基氨基)硅烷和三甲硅烷基胺。
3.如权利要求1所述的方法,其中所述至少一种金属前体选自AlCl3、三甲基铝(TMA)、甲基氯化铝、三乙基铝、三(二甲基氨基)铝(TDMAA)、三(二甲基氨基)铝(TDMAA)、三(二乙基氨基)铝(TDEAA)、ZrCl4、四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)和四(乙基甲基氨基)铪(TEMAH)、氯化钛(TiCl4)、四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基氨基)钛(TEMAT)、氯化钽(TaCl5)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、六氯化钨、五氯化钨、双(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW)、双(叔丁基亚氨基)双(二乙基氨基)钨、双(叔丁基亚氨基)双(乙基甲基氨基)钨及其组合。
4.如权利要求1所述的方法,其中所述氮源选自氨、肼、单烷基肼、二烷基肼、叔丁基胺、甲胺、乙胺、乙二胺及其混合物。
5.如权利要求1所述的方法,其中所述氮源是包含肼的组合物。
6.如权利要求5所述的方法,其中所述包含肼的组合物选自肼/氢、肼/氩、肼/氢、肼/氮、以及溶剂中的肼。
CN201680067074.4A 2015-10-06 2016-10-06 用于沉积保形的金属或准金属氮化硅膜的方法 Pending CN108474114A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311392440.1A CN117904602A (zh) 2015-10-06 2016-10-06 用于沉积保形的金属或准金属氮化硅膜的方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562237899P 2015-10-06 2015-10-06
US62/237,899 2015-10-06
PCT/US2016/055737 WO2017062614A1 (en) 2015-10-06 2016-10-06 Methods for depositing a conformal metal or metalloid silicon nitride film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311392440.1A Division CN117904602A (zh) 2015-10-06 2016-10-06 用于沉积保形的金属或准金属氮化硅膜的方法

Publications (1)

Publication Number Publication Date
CN108474114A true CN108474114A (zh) 2018-08-31

Family

ID=57178512

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201680067074.4A Pending CN108474114A (zh) 2015-10-06 2016-10-06 用于沉积保形的金属或准金属氮化硅膜的方法
CN202311392440.1A Pending CN117904602A (zh) 2015-10-06 2016-10-06 用于沉积保形的金属或准金属氮化硅膜的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202311392440.1A Pending CN117904602A (zh) 2015-10-06 2016-10-06 用于沉积保形的金属或准金属氮化硅膜的方法

Country Status (8)

Country Link
US (1) US20180274097A1 (zh)
EP (1) EP3359705B1 (zh)
JP (1) JP6730429B2 (zh)
KR (1) KR102153564B1 (zh)
CN (2) CN108474114A (zh)
IL (1) IL258508B1 (zh)
SG (1) SG11201802781WA (zh)
WO (1) WO2017062614A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10879456B2 (en) 2018-06-27 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall spacer stack for magnetic tunnel junctions
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
JP7174614B2 (ja) * 2018-12-12 2022-11-17 株式会社日立製作所 ナノポア形成方法及び分析方法
KR20200102614A (ko) 2019-02-21 2020-09-01 삼성전자주식회사 반도체 소자의 제조 방법
US11289369B2 (en) * 2019-06-08 2022-03-29 Applied Materials, Inc. Low-k dielectric with self-forming barrier layer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101328578A (zh) * 2007-06-19 2008-12-24 气体产品与化学公司 等离子增强循环沉积氮化金属硅膜的方法
CN104831254A (zh) * 2013-10-03 2015-08-12 气体产品与化学公司 氮化硅膜的沉积方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3974003A (en) 1975-08-25 1976-08-10 Ibm Chemical vapor deposition of dielectric films containing Al, N, and Si
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
US7531452B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
WO2010151856A2 (en) 2009-06-26 2010-12-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
JP2011044493A (ja) * 2009-08-19 2011-03-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
TWI563539B (en) * 2012-01-18 2016-12-21 Sino American Silicon Prod Inc Composite substrate, manufacturing method thereof and light emitting device having the same
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9514933B2 (en) * 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101328578A (zh) * 2007-06-19 2008-12-24 气体产品与化学公司 等离子增强循环沉积氮化金属硅膜的方法
CN104831254A (zh) * 2013-10-03 2015-08-12 气体产品与化学公司 氮化硅膜的沉积方法

Also Published As

Publication number Publication date
KR20180064483A (ko) 2018-06-14
JP6730429B2 (ja) 2020-07-29
IL258508B1 (en) 2024-06-01
IL258508A (en) 2018-05-31
WO2017062614A1 (en) 2017-04-13
EP3359705B1 (en) 2021-12-08
CN117904602A (zh) 2024-04-19
KR102153564B1 (ko) 2020-09-08
EP3359705A1 (en) 2018-08-15
US20180274097A1 (en) 2018-09-27
SG11201802781WA (en) 2018-05-30
JP2018534772A (ja) 2018-11-22

Similar Documents

Publication Publication Date Title
US10283350B2 (en) Methods for depositing films with organoaminodisilane precursors
EP2860182B1 (en) Organoaminosilane precursors and methods for depositing films comprising same
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
EP2392691B1 (en) Organoaminosilane precursors and methods for depositing films comprising the same
CN108474114A (zh) 用于沉积保形的金属或准金属氮化硅膜的方法
US10763103B2 (en) Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
CN108026637A (zh) 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20180831