CN1716548A - 掺杂的氮化物膜、掺杂的氧化物膜、以及其它掺杂的膜 - Google Patents

掺杂的氮化物膜、掺杂的氧化物膜、以及其它掺杂的膜 Download PDF

Info

Publication number
CN1716548A
CN1716548A CNA2005100809411A CN200510080941A CN1716548A CN 1716548 A CN1716548 A CN 1716548A CN A2005100809411 A CNA2005100809411 A CN A2005100809411A CN 200510080941 A CN200510080941 A CN 200510080941A CN 1716548 A CN1716548 A CN 1716548A
Authority
CN
China
Prior art keywords
film
precursor
silicon
germanium
silicon nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100809411A
Other languages
English (en)
Other versions
CN100428424C (zh
Inventor
阿施马·B·查克拉瓦尔蒂
贾德森·霍尔特
凯文·K·常
萨达南德·V·德什潘德
兰加拉詹·加甘纳桑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1716548A publication Critical patent/CN1716548A/zh
Application granted granted Critical
Publication of CN100428424C publication Critical patent/CN100428424C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

在氮化硅、氧化硅、氮氧化硅、或碳化硅膜的形成过程中加入至少一种非硅前体(例如锗前体、碳前体等),改善了淀积速率和/或使得有可能调节膜的性质,例如调节膜的应力。而且,在掺杂的氧化硅或掺杂的氮化硅或其它的掺杂的结构中,掺杂剂的存在可以被用来测量与掺杂剂相关的信号作为腐蚀过程中的腐蚀停止或其它用来达到控制。

Description

掺杂的氮化物膜、掺杂的 氧化物膜、以及其它掺杂的膜
技术领域
本发明一般涉及到用来制造半导体器件的薄膜,特别是涉及到氮化物膜和氧化物膜。
背景技术
为了改善互补金属氧化物半导体(CMOS)器件中的驱动电流,应力膜已经被用作隔垫或线条中间(MOL)的衬里(也称为预置金属介质(PMD)衬里)。导致高度拉伸或高度压缩的氮化物膜的淀积方法是众所周知的(例如快速热化学气相淀积(RTCVD)、等离子体增强化学气相淀积(PECVD)、采用诸如硅烷(SiH4)、二氯硅烷(DCS)、乙硅烷、六氯乙硅烷、二叔丁基氨基硅烷(BTBAS)、以及氨气(NH3)之类的硅(Si)前体的高密度等离子体(HDP))。但在给定的淀积方法中,仅仅有可能在小范围内调制应力。要大范围内调制应力而不牺牲薄膜质量,是非常困难的。
而且,对于由引入硅的氮化(NiSi)工艺而驱动的MOL的在较低温度下淀积的氮化物膜/氧化物膜,存在子越来越大的需求。已经研究了许多低温前体,尚未证明有理想的。
典型地说,在LPCVD炉子中,DCS和NH3被用来在700℃和以上的温度下淀积氮化硅膜。
发明内容
因此,本发明的目的是提供比常规工艺提高了的淀积速率,从而提供更多的可制造的膜,特别是氮化硅膜、氧化硅膜、氮氧化硅膜、以及碳化硅膜。
本发明的另一目的是提供产生应力水平变化的质量良好的氮化物膜,从而以“插入”办法,亦即无需改变整体而增强器件性能的能力。
本发明的另一目的是降低氮化硅膜、氧化硅膜、氮氧化硅膜、或碳化硅膜的淀积温度。
本发明的再一目的是在氮化硅膜、氧化硅膜、氮氧化硅膜、或碳化硅膜的产生过程中控制锗的加入,以便控制产生的膜中的应力。
本发明的一个优选实施方案是这样一种工艺,其中,淀积了至少一种硅前体,加入了至少一种锗前体和/或至少一种碳前体,以便产生具有可调应力的掺锗和/或掺碳的氮化硅膜或氧化硅膜。
于是,在淀积膜的过程中,利用调整至少一种前体就可以调节被产生的氮化硅膜或氧化硅膜的至少一种化学或物理性质(例如应力性质)。
有利的是,根据本发明,在氮化硅膜、氧化硅膜、氮氧化硅膜、或碳化硅膜的淀积中,能够得到比常规温度低的淀积。
本发明的一个优选实施方案提供了一种产生掺杂的氮化物膜、掺杂的氧化物膜、掺杂的氮氧化物膜、或掺杂的碳化物膜的方法,此方法至少包含:提供至少一种硅前体(例如SiH4、DCS、BTBAS、HCD、乙硅烷、丙硅烷等);提供氮前体(可以与硅前体相同或不同)或氧前体中的至少一种;进一步提供至少一种非硅前体(可以与硅前体、氮前体、和/或氧前体相同或不同);其中,掺杂的氮化物膜、掺杂的氧化物膜、掺杂的氮氧化物膜、或掺杂的碳化物膜被形成(倘若此膜是掺杂的氧化物时,则非硅前体不是硼和磷)。
用于本发明方法的非硅前体是例如锗前体(例如有机锗化合物等、GeH4、GeH3CH3等)、碳前体(例如C2H4等);双硼烷;铝前体(例如三甲基铝(TMA)、AlH3、异丙氧化铝等);硼前体;砷前体、铪前体;镓前体(例如三甲基镓、三烷基氨基镓、GaH3等);铟前体(例如三甲基铟、三烷基氨基铟、InH3等);等等。此外,锗、碳、硼、铝、砷、铪、镓、铟等的烷基氢化物或烷基氨基氢化物可以被用作前体。在本发明的一个特别优选的实施例中,同时以提供气流的形式来提供至少一种硅前体和至少一种非硅前体。
本发明的方法可以用来产生各种掺杂的膜,例如掺锗和/或掺碳的氮化硅、氧化硅、氮氧化硅、或碳化硅膜等;具有可调应力的氮化硅、氧化硅、氮氧化硅、或碳化硅膜;具有均匀分布的掺杂剂浓度的掺杂氮化硅膜(例如具有均匀分布的锗浓度的掺锗的氮化硅膜);等等。根据本发明方法的一个实施例是例如将锗(锗前体)加入到硅烷和氨气的混合物,并形成掺锗的氮化硅膜。
在本发明方法的另一优选实施方案中,可以采用前体调整(例如至少二种前体的混合物等),以便调节产生的膜的至少一种化学或物理性质(例如产生的膜的应力;湿法腐蚀速率;干法腐蚀速率;腐蚀终点;淀积速率;物理、电学、和/或光学性质等)。
本发明的方法还可以可选地包含从非硅前体测量非硅掺杂剂的信号,所述信号测量是为了控制腐蚀。
在本发明方法的某些实施方案中,可以在比省略了非硅前体时更低的温度下,例如在低于大约700℃的淀积温度(包括但不局限于低达室温的淀积温度)下有利地进行淀积。可以采用本发明方法所用淀积的优选实施例,是例如RTCVD、PECVD、LPCVD、远距离等离子体氮化物(remote plasma nitride)、原子层淀积(ALD)等。
本发明的其它优选实施方案提供了一些膜,例如在3GPa(压缩)-3GPa(拉伸)范围内具有可调应力的氮化硅、氧化硅、氮氧化硅、或碳化硅膜(例如掺锗的膜等);氮化硅膜,其中的膜是具有均匀分布的锗的掺锗的氮化硅膜;掺铝的氧化硅膜;掺锗的氮化硅膜等;掺锗的膜,其中,掺锗膜的应力至少比用除了没有锗掺杂之外其它都相同的工艺已经形成的膜的应力大约大1.0GPa(优选为1.2GPa)。
本发明的膜可以包括一种或多种掺杂剂,例如多重掺杂剂。用于本发明的膜的掺杂剂的例子包括例如锗(Ge)、碳(C)、硼(B)、铝(Al)、镓(Ga)、铟(In)等,这些掺杂剂可以单独使用或组合使用。
附图说明
参照附图,从本发明优选实施方案的下列详细描述中,可以更好地理解上述和其它的目的、情况、以及优点,其中:
图1是一个氮化硅膜和二个LPCVD SiGe氮化物膜的椭圆测量(49点)图。
图2是根据49点椭圆测量得到的一个氮化硅膜和二个SiGe氮化物膜的腐蚀速率图,图2涉及到图1所示的膜。
图3是淀积速率与锗加入的函数关系图,曲线表示了有锗和无锗的情况,图3涉及到图1所示的膜。
图4是侧视图,示出了根据本发明一个实施方案的受应力的衬里,此受应力的衬里与隔垫、栅、以及沟道一起使用。
图5A-5C示出了根据本发明一个实施方案的终点探测方法
具体实施方式
在本发明中,在产生掺杂的氮化物膜、掺杂的氧化物膜、掺杂的氮氧化物膜、或掺杂的碳化物膜的过程中,至少控制淀积速率以及形成的膜的化学和/或物理性质(例如可调应力)之一。借助于引入并非用来产生氮化物膜、氧化物膜、氮氧化物膜、或碳化物膜的传统试剂的额外的非硅前体,来实现这一控制,此额外的非硅前体是锗前体和碳前体。
借助于在诸如淀积氮化物膜、淀积氧化物膜、淀积氮氧化物膜、或淀积碳化物膜之类的淀积工艺中包括非硅前体掺杂剂(例如锗前体等),本发明实现了这些优点。
例如,在一个实施方案中,借助于将锗加入到氮化物膜、氧化物膜、氮氧化物膜、以及碳化物膜的淀积中,特别是掺杂的氮化物膜或氧化物膜中,本发明使得能够低温淀积氮化物膜、氧化物膜、氮氧化物膜、和/或碳化物膜。本发明人发现了下列事实,即能够在比硅外延更低的温度下完成硅锗(SiGe)外延,并进一步发现锗前体加入到硅前体中,降低了膜的淀积温度。
用于本发明的锗前体可以是例如授予Bensahel等人(法国Telecom)的2002年8月6日发布的美国专利6429098和2000年9月12日发布的美国专利6117750中或授予Reinberg(MicronTechnology公司)2001年7月10日发布的美国专利6258664中所述的锗前体之类的熟知的锗前体。这些锗前体可以在市场上得到。用于本发明的锗前体的一个例子是GeH4
本发明在氮化物、氧化物、氮氧化物、碳化物等的淀积中使用了至少一种锗前体,若有需要,此淀积可以是低温淀积,例如700℃或以下例如室温和其它温度下的淀积。在一个优选实施例中,本发明的方法可以在室温下于P3I等离子体浸入装置中进行以便淀积氮化物。
倘若在用来产生氮化物膜、氧化物膜、氮氧化物膜、或碳化物膜的本发明中包括非硅前体,则可以常规地进行有关于各个组成部分的其它方面的生产工艺,例如用氮前体(例如NH3等)和硅前体(例如DCS等)。为了产生氮化硅膜,就包括氮前体。为了产生氧化硅膜,就包括氧前体。为了产生氮化硅膜或氧化硅膜,就包括硅前体。可以理解的是,硅前体可以与氮化物或氧化物前体不同或相同。例如,BTBAS可以用作硅前体和氮前体。在本发明的一些实施方案中,试剂(例如BTBAS等)可以可选地用作二种或多种前体。
根据本发明用锗前体和/或碳前体形成氮化物、氧化物、氮氧化物、或碳化物的示例性温度优选为低于700℃,低于650℃更优选,500℃或以下的温度甚至更优选。例如,在锗掺杂的情况下,500℃或以下的有利温度可以被用来淀积掺锗的氮化硅膜。可以理解的是,虽然本发明有利地使得有可能实现所希望的比较低的淀积温度,但并不一定要求在所有实施方案中采用低的淀积温度,例如,根据本发明,可以在各种淀积温度下对膜进行有利的应力调节。
所述用于本发明的非硅前体不受特别的限制,作为例子可以指出锗前体、碳前体、铝前体、硼前体、砷前体、铪前体、镓前体、铟前体、以及其它各种掺杂剂前体。
本发明还可以应用于MOL势垒技术。例如众所周知,MOL势垒氮化物能够提高器件的可靠性(负偏置温度不稳定性等)。本发明利用锗前体和/或碳前体,提供了调节采用不同前体组合的势垒氮化物膜的化学和/或物理性质的能力。这种能力可以被用来获得显著的器件可靠性提高。
根据本发明产生的膜的厚度不受特别的限制,可以根据应用来选择厚度。膜的厚度可以从薄端(例如500埃、10埃、或更薄)到厚端(例如1000埃、5000埃、或更厚),以及约为10-5000埃的厚度,以及视应用要求而定的更薄或更厚。
根据本发明制作的膜的掺杂剂浓度不受特别的限制,可以按需要调节。掺杂剂(例如锗等)浓度的一个例子是大约1-10%,在另一例子中约为1-50%。
本发明包括一个实施方案,其中使用了多重非硅前体,例如锗前体和碳前体;锗前体和硼前体等。例如,在氮化硅膜或氧化硅膜的淀积过程中加入多重前体,可以提供所希望的增强效应。
本发明可以被用来探测腐蚀终点。例如,当执行常规的氮化硅腐蚀时,存在着需要在氮化硅终点处停止腐蚀而不过腐蚀到硅的问题。但这种腐蚀终点常常不陡峭,用常规方法常常会腐蚀到硅中。利用本发明,若采用掺杂的氮化硅(例如掺锗的氮化硅),利用光发射谱来探测锗(例如可以寻找氟化锗信号),则锗在氮化硅中的存在可以被用来提供腐蚀终点的信号,从而有利地防止过度腐蚀。
上述这种腐蚀停止例子不受限制,本发明扩展到在掺杂的氮化物膜或掺杂的氧化物膜中使用各种掺杂剂信号。例如,可以提供掺杂的薄氮化硅层作为腐蚀停止层(例如掺锗的氮化硅层等),并可以监测掺杂剂信号(例如锗信号等),来确定此层开始于何处。可以在腐蚀方面提供本发明的一些不同的实现方法。另一例子是掺锗氮化物下方的一种掺碳或掺硼的氧化物薄层。对于这种结构,可以监测锗信号的下降和碳信号的出现,以便得到更好的腐蚀结果。在腐蚀工艺中使用本发明的另一例子是采用二种不同的掺杂剂,例如在各个不同的层中提供一种掺杂剂,或在同一个层中提供二种不同的掺杂剂。可以理解的是,本发明包括了使用被控制的不同的信号,以便得到最大的灵敏度,且上面所述仅仅是一些例子。
本发明的另一种用途是,与其中不包括掺杂剂的膜相比,借助于包括掺杂剂而改变产生的膜(例如氮化硅和氧化硅)的应力。例如,对于氮化硅膜的情况,已经发现锗掺杂剂的出现将膜的应力改变到了拉伸区域。RTCVD氮化硅膜通常具有约为1-1.5GPa的(张)应力。在氮化硅膜中包括锗则提供了膜应力显著升高的改变,例如掺锗的氮化硅膜具有超过1.5GPa的(张)应力,例如2GPa或以上的(张)应力。当在同一个应力装置上测量根据本发明的掺杂膜以及不掺杂的比较膜时,可以在掺杂的膜中得到1GPa或以上的增量(优选为例如1.2GPa或以上的增量)。而且,本发明可以被用来将膜的应力从压应力改变到张应力,这标志着膜性质的一种显著改变。
于是,本发明可以被有利地用来按需要调节氮化硅或氧化硅膜或氮氧化硅或碳化硅膜的应力。
而且,本发明可以被用来产生掺杂的氮化硅膜、掺杂的氧化硅膜、掺杂的氮氧化硅膜、以及掺杂的碳化硅膜,例如掺锗的氮化硅膜、掺铝的氧化硅膜、掺硼的氮化硅膜等。
实施例1:在LPCVD炉子中,GeH4分别在二个不同的温度700℃和650℃下被加入到DCS和NH3的混合物中。还在780℃下淀积了一个标准的氮化硅膜作为对照。这样淀积了二个掺锗的氮化硅膜和一个标准的氮化硅膜。结果被总结在图1、2、3中。
在图1中,顶部的曲线是以DCS/NH3=0.3,在785℃下淀积的膜的曲线。图1中的中间曲线是以(DCS+Ge)/NH3=0.3以及以Ge/DCS=0.25,在700℃下淀积的膜的曲线。图1中的底部曲线是以与700℃下淀积的膜相同的比率,在650℃下淀积的膜的曲线。
从图3可见,借助于将GeH4加入到工艺气体,已经得到了淀积速率的显著提高。而且,此实施例1的掺锗膜具有相似于标准的高温膜的性质(由湿法腐蚀速率确定的)。
锗烷在硅前体和氨气的混合物中的加入,使得:能够提高现有工艺的淀积速率,使工艺的制造能力更强;能够降低工艺的淀积速率,使之可扩展到未来的技术;和/或能够控制形成的膜的应力。
实施例2:重要的是,本发明已经认识到可以利用在氮化物膜形成过程中加入锗来调整膜中的应力。对于硅衬底,可以考虑下述结果:(i)Si-N膜的应力为每平方厘米4×109达因(压缩);(ii)SiGe-N膜的应力为每平方厘米8.2×109达因(拉伸)。
如上述数据所示,在常规氮化硅膜与本发明的掺锗的氮化硅膜之间,存在着接近一个数量级的应力差别。
可以理解的是,本发明在淀积速率和/或应力调节方面的优点不局限于氮化物膜,而是可适用于氧化物膜(例如氧化硅膜等)以及其它的膜,例如其它的非晶膜。
实施例3:锗被加入到硅烷和氨气的混合物中,形成了掺锗的氮化硅膜。与同等的无锗烷工艺相比,锗烷工艺的淀积速率被提高了。对于无锗工艺,产生的膜的应力是0.4GPa(压应力)。对于采用锗的本发明的工艺,应力是0.8GPa(张应力)。这样,根据本发明采用锗,就得到了1.2GPa的应力改变,这是一个很大的改进。
实施例4:参照图4,示出了根据本发明一个实施方案的受到应力的膜的一个例子。受到应力的氮化物衬里40(根据本发明产生的)被示为与隔垫41,具有层44(硅化物)且位于沟道(SOI)上的(多晶)栅一起使用。
实施例5:参照图5A-5C,示出了根据本发明用于终点探测的反掺杂的氮化物和氧化物层的例子。参照图5A,在包括栅52的器件中,在氮化物或氧化物层50(具有第二掺杂剂)上提供了隔垫氮化物51(具有第一掺杂剂)。图5A的淀积后膜根据图5B所示的初始RIE步骤被加工,其中,在初始RIE过程中,第一掺杂剂被探测。接着,如图5C所示执行最终RIE步骤,其中,腐蚀终点被达到。在最终RIE步骤中,(隔板氮化物51或被腐蚀的隔板氮化物51’中的)较少量的第一掺杂剂被探测,并开始探测(氮化物或氧化物层50中的)第二掺杂剂。在腐蚀终点步骤之后,保留了被可控地腐蚀的隔垫氮化物51’。
虽然就其优选实施方案已经描述了本发明,但本技术领域的熟练人员可以理解的是,可以利用所附权利要求的构思与范围内修正来实施本发明。

Claims (28)

1.一种制作掺杂的氮化硅膜、掺杂的氧化硅膜、掺杂的氮氧化硅膜、或掺杂的碳化硅膜的方法,此方法至少包含:
提供至少一种硅前体,
提供氮前体或氧前体中,所述氮前体可以与硅前体相同或不同的至少一种,
进一步提供至少一种非硅前体,所述非硅前体可以与硅前体、氮前体、和/或氧前体相同或不同,
其中,掺杂的氮化硅膜、掺杂的氧化硅膜、掺杂的氮氧化硅膜、或掺杂的碳化硅膜被形成,只要在此膜是掺杂的氧化物时非硅前体不是硼和磷。
2.权利要求1的方法,其中,同时以提供气流的形式来提供所述至少一种硅前体和提供所述至少一种非硅前体。
3.权利要求1的方法,其中,所述非硅前体是锗前体。
4.权利要求1的方法,其中,所述非硅前体选自碳前体、硼前体、铝前体、砷前体、铪前体、镓前体、以及铟前体。
5.权利要求1的方法,其中,所制作的膜是氮化硅膜。
6.权利要求1的方法,其中,所制作的膜是掺锗的和/或掺碳的氮化硅或氧化硅。
7.权利要求1的方法,其中,所制作的膜具有可调的应力。
8.权利要求1的方法,其中,所述非硅前体是
有机锗化合物或选自GeH4和GeH3CH3的锗前体;
双硼烷;
三甲基铝(TMA);
C2H4碳前体;
三甲基镓;
三甲基铟;
三烷基氨基镓;
三烷基氨基铟;
GaH3
InH3
AlH3;以及
异丙氧化铝。
9.权利要求1的方法,其中,所述非硅前体是锗、碳、硼、铝、砷、铪、镓、或铟的烷基氢化物或烷基氨基氢化物。
10.权利要求1的方法,它包括采用前体调整来调节所制作的膜的至少一种化学或物理性质。
11.权利要求10的方法,其中,所述前体调整是至少二种前体的混合物。
12.权利要求10的方法,其中,所述至少一种化学或物理性质是所制作的膜的应力。
13.权利要求10的方法,其中,所述至少一种化学或物理性质选自:湿法腐蚀速率;干法腐蚀速率;腐蚀终点;淀积速率;物理、电学、和/或光学性质。
14.权利要求1的方法,其中,在比省略了非硅前体时更低的温度下进行淀积。
15.权利要求1的方法,在低于大约700℃的温度下进行。
16.权利要求1的方法,其中所述淀积是RTCVD、PECVD、LPCVD、远距离等离子体氮化物、或ALD。
17.权利要求1的方法,它包括将锗烷加入到硅烷和氨气的混合物中,并形成掺锗的氮化硅膜。
18.权利要求1的方法,还包含测量来自非硅前体的非硅掺杂剂信号的步骤,所述信号测量是为了控制腐蚀。
19.权利要求1的方法,其中,所制作的膜是具有均匀分布的锗浓度的掺锗的氮化硅膜。
20.一种氮化硅或氧化硅膜,具有在大约3GPa(压缩)-3GPa(拉伸)范围内可调节的应力。
21.权利要求20的膜,其中所述膜是掺锗的。
22.权利要求20的膜,其中所述膜是掺硼的、掺铝的、掺碳的、掺砷的、掺铪的、掺镓的、和/或掺铟的。
23.权利要求20的膜,它包括二种或多种掺杂剂。
24.权利要求20的膜,其中所述膜是掺锗的,并且其中所述掺锗膜的应力比除了没有锗掺杂之外采用相同的工艺制作的膜的应力大至少约1.0GPa。
25.一种掺铝的氧化硅膜。
26.一种掺锗的氮化硅膜。
27.权利要求26的膜,其中所述膜是具有均匀分布的锗的掺锗氮化硅膜。
28.权利要求1的方法,其中,在室温下进行淀积。
CNB2005100809411A 2004-06-29 2005-06-24 掺杂的氮化物膜、掺杂的氧化物膜、以及其它掺杂的膜 Active CN100428424C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/710,245 2004-06-29
US10/710,245 US20050287747A1 (en) 2004-06-29 2004-06-29 Doped nitride film, doped oxide film and other doped films

Publications (2)

Publication Number Publication Date
CN1716548A true CN1716548A (zh) 2006-01-04
CN100428424C CN100428424C (zh) 2008-10-22

Family

ID=35506413

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100809411A Active CN100428424C (zh) 2004-06-29 2005-06-24 掺杂的氮化物膜、掺杂的氧化物膜、以及其它掺杂的膜

Country Status (4)

Country Link
US (4) US20050287747A1 (zh)
JP (1) JP5078240B2 (zh)
CN (1) CN100428424C (zh)
TW (1) TWI355684B (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102194751A (zh) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 制作互补型金属氧化物半导体器件的方法
CN102386089A (zh) * 2010-09-03 2012-03-21 中芯国际集成电路制造(上海)有限公司 制备半导体器件结构的方法
CN102484070A (zh) * 2009-06-26 2012-05-30 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
CN102623409A (zh) * 2012-04-17 2012-08-01 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN102623334A (zh) * 2012-04-17 2012-08-01 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN102623333A (zh) * 2012-04-17 2012-08-01 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN101809716B (zh) * 2007-09-29 2012-11-21 朗姆研究公司 低k电介质和金属工艺集成的方法
CN103088311A (zh) * 2011-10-28 2013-05-08 东京毅力科创株式会社 晶种层的形成方法以及含硅薄膜的成膜方法
CN105256375A (zh) * 2015-09-02 2016-01-20 陈犹郎 一种提高太阳能电池扩散炉碳化硅桨抗疲劳强度的方法
CN106449907A (zh) * 2016-11-18 2017-02-22 电子科技大学 一种p型指数掺杂结构GaN光电阴极材料的生长方法
CN108026637A (zh) * 2015-09-11 2018-05-11 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
CN108417481A (zh) * 2018-03-22 2018-08-17 京东方科技集团股份有限公司 氮化硅介电层的处理方法、薄膜晶体管和显示装置
CN115305456A (zh) * 2017-03-29 2022-11-08 Asm Ip控股有限公司 通过循环沉积在基材上形成掺杂金属氧化物膜的方法和相关半导体装置结构

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
JP2006165335A (ja) * 2004-12-08 2006-06-22 Toshiba Corp 半導体装置
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US7462527B2 (en) * 2005-07-06 2008-12-09 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved PFET device performance
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US7371649B2 (en) * 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
KR101499260B1 (ko) * 2006-05-12 2015-03-05 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 상 변화 메모리 재료의 저온 증착
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
KR100772836B1 (ko) 2006-07-21 2007-11-01 동부일렉트로닉스 주식회사 반도체소자의 제조 방법
KR100761857B1 (ko) * 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
KR101097112B1 (ko) 2006-11-02 2011-12-22 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US7790635B2 (en) * 2006-12-14 2010-09-07 Applied Materials, Inc. Method to increase the compressive stress of PECVD dielectric films
US20080145978A1 (en) * 2006-12-18 2008-06-19 Air Liquide Electronics U.S. Lp Deposition of silicon germanium nitrogen precursors for strain engineering
US20080293194A1 (en) * 2007-05-24 2008-11-27 Neng-Kuo Chen Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
US8987039B2 (en) * 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US7994066B1 (en) * 2007-10-13 2011-08-09 Luxtera, Inc. Si surface cleaning for semiconductor circuits
US7994042B2 (en) * 2007-10-26 2011-08-09 International Business Machines Corporation Techniques for impeding reverse engineering
SG152203A1 (en) * 2007-10-31 2009-05-29 Advanced Tech Materials Amorphous ge/te deposition process
JP2009164260A (ja) 2007-12-28 2009-07-23 Toshiba Corp 不揮発性半導体メモリ
JP2009200095A (ja) * 2008-02-19 2009-09-03 Tokyo Electron Ltd 薄膜およびその薄膜を用いた半導体装置の製造方法
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8343824B2 (en) * 2008-04-29 2013-01-01 International Rectifier Corporation Gallium nitride material processing and related device structures
US20110180905A1 (en) * 2008-06-10 2011-07-28 Advanced Technology Materials, Inc. GeSbTe MATERIAL INCLUDING SUPERFLOW LAYER(S), AND USE OF Ge TO PREVENT INTERACTION OF Te FROM SbXTeY AND GeXTeY RESULTING IN HIGH Te CONTENT AND FILM CRYSTALLINITY
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
TW201247589A (en) 2009-05-22 2012-12-01 Advanced Tech Materials Low temperature GST process
WO2011002705A2 (en) * 2009-07-02 2011-01-06 Advanced Technology Materials, Inc. Hollow gst structure with dielectric fill
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
WO2011119175A1 (en) 2010-03-26 2011-09-29 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US8614478B2 (en) 2010-07-26 2013-12-24 Infineon Technologies Austria Ag Method for protecting a semiconductor device against degradation, a semiconductor device protected against hot charge carriers and a manufacturing method therefor
US8786012B2 (en) 2010-07-26 2014-07-22 Infineon Technologies Austria Ag Power semiconductor device and a method for forming a semiconductor device
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101381900B1 (ko) * 2010-10-01 2014-04-04 한국전자통신연구원 링 공진기의 공진파장 가변 방법
JP5689398B2 (ja) * 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP5847566B2 (ja) 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
EP3929326A3 (en) 2011-06-03 2022-03-16 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
JP5959307B2 (ja) 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
US9049061B2 (en) * 2012-03-21 2015-06-02 The Institute of Microelectronics Chinese Academy of Science CMOS device and method for manufacturing the same
JP5758829B2 (ja) 2012-03-27 2015-08-05 東京エレクトロン株式会社 ボロン含有シリコン酸炭窒化膜の形成方法およびシリコン酸炭窒化膜の形成方法
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
WO2014070682A1 (en) 2012-10-30 2014-05-08 Advaned Technology Materials, Inc. Double self-aligned phase change memory device structure
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9500773B2 (en) * 2013-06-07 2016-11-22 Lawrence Livermore National Security, Llc High voltage photoconductive switch package
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9837281B2 (en) 2014-11-26 2017-12-05 Asm Ip Holding B.V. Cyclic doped aluminum nitride deposition
US9799509B2 (en) 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
JP6378070B2 (ja) * 2014-12-15 2018-08-22 東京エレクトロン株式会社 成膜方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US11268190B2 (en) * 2015-06-16 2022-03-08 Versum Materials Us, Llc Processes for depositing silicon-containing films using halidosilane compounds
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN105047559B (zh) * 2015-08-12 2018-01-12 沈阳拓荆科技有限公司 通过调整宝石球高度获得不同性能氮化硅薄膜的方法
SG11201802781WA (en) * 2015-10-06 2018-05-30 Versum Materials Us Llc Methods for depositing a conformal metal or metalloid silicon nitride film
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
US20220195606A1 (en) * 2020-12-23 2022-06-23 Raytheon Technologies Corporation Method for metal vapor infiltration of cmc parts and articles containing the same

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2557079C2 (de) * 1975-12-18 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zum Herstellen einer Maskierungsschicht
NL171942C (nl) * 1976-02-13 1983-06-01 Hitachi Ltd Werkwijze voor het vervaardigen van een halfgeleiderinrichting, waarbij op een halfgeleiderlichaam een menglaag van nitriden van silicium en germanium wordt aangebracht.
JPS5298473A (en) * 1976-02-13 1977-08-18 Hitachi Ltd Thin film material
JPS5693375A (en) * 1979-12-26 1981-07-28 Shunpei Yamazaki Photoelectric conversion device
JPS56122123A (en) * 1980-03-03 1981-09-25 Shunpei Yamazaki Semiamorphous semiconductor
JPS56169333A (en) * 1980-05-29 1981-12-26 Fujitsu Ltd Semiconductor device
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4743563A (en) * 1987-05-26 1988-05-10 Motorola, Inc. Process of controlling surface doping
JPH01176067A (ja) * 1987-12-29 1989-07-12 Hoya Corp 窒化シリコン膜の成膜方法
JP2663508B2 (ja) * 1988-05-12 1997-10-15 ソニー株式会社 気相成長方法
JPH02233531A (ja) * 1989-03-07 1990-09-17 Sony Corp 塗布ガラス組成物及び半導体装置
JPH0770531B2 (ja) * 1989-06-30 1995-07-31 川崎製鉄株式会社 埋め込み酸化膜の形成方法
JPH04165623A (ja) * 1990-10-30 1992-06-11 Nec Corp シリコンボロンナイトライド膜の形成方法
US5347100A (en) * 1991-03-29 1994-09-13 Hitachi, Ltd. Semiconductor device, process for the production thereof and apparatus for microwave plasma treatment
DE69224640T2 (de) * 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
US5478765A (en) * 1994-05-04 1995-12-26 Regents Of The University Of Texas System Method of making an ultra thin dielectric for electronic devices
EP0724286A1 (en) * 1995-01-25 1996-07-31 Applied Materials, Inc. A method of forming a thin film of silicon oxide for a semiconductor device
JP3597305B2 (ja) * 1996-03-05 2004-12-08 株式会社半導体エネルギー研究所 液晶表示装置およびその作製方法
US5741737A (en) * 1996-06-27 1998-04-21 Cypress Semiconductor Corporation MOS transistor with ramped gate oxide thickness and method for making same
TW335511B (en) * 1996-08-02 1998-07-01 Applied Materials Inc Stress control by fluorination of silica film
US5997634A (en) * 1996-11-14 1999-12-07 Micron Technology, Inc. Method of forming a crystalline phase material
JPH113869A (ja) * 1997-06-11 1999-01-06 Nec Corp 半導体装置の製造方法
US5972765A (en) * 1997-07-16 1999-10-26 International Business Machines Corporation Use of deuterated materials in semiconductor processing
US6306722B1 (en) * 1999-05-03 2001-10-23 United Microelectronics Corp. Method for fabricating shallow trench isolation structure
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
FR2773177B1 (fr) * 1997-12-29 2000-03-17 France Telecom Procede d'obtention d'une couche de germanium ou silicium monocristallin sur un substrat de silicium ou germanium monocristallin, respectivement, et produits multicouches obtenus
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6462371B1 (en) * 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6258664B1 (en) * 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
US6261975B1 (en) * 1999-03-04 2001-07-17 Applied Materials, Inc. Method for depositing and planarizing fluorinated BPSG films
EP1083610A4 (en) * 1999-03-10 2007-02-21 Neomax Co Ltd THERMOELECTRIC CONVERSION MATERIAL AND PROCESS FOR PRODUCING THE SAME
KR100767950B1 (ko) * 2000-11-22 2007-10-18 가부시키가이샤 히타치세이사쿠쇼 반도체 장치 및 그 제조 방법
US6768857B2 (en) * 2001-05-11 2004-07-27 International Business Machines Corporation Method for manufacturing an optical device with a defined total device stress
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
ATE335152T1 (de) * 2002-03-28 2006-08-15 Fluid Automation Syst Elektromagnetisches ventil
KR100769783B1 (ko) * 2002-03-29 2007-10-24 가부시끼가이샤 도시바 표시 입력 장치 및 표시 입력 시스템
JP2003297956A (ja) * 2002-04-04 2003-10-17 Toshiba Corp 半導体記憶装置及びその製造方法
US6624093B1 (en) * 2002-10-09 2003-09-23 Wisys Technology Foundation Method of producing high dielectric insulator for integrated circuit
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6930058B2 (en) * 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US6939814B2 (en) * 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101809716B (zh) * 2007-09-29 2012-11-21 朗姆研究公司 低k电介质和金属工艺集成的方法
CN102484070A (zh) * 2009-06-26 2012-05-30 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
CN102484070B (zh) * 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
CN102194751A (zh) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 制作互补型金属氧化物半导体器件的方法
CN102386089B (zh) * 2010-09-03 2013-06-12 中芯国际集成电路制造(上海)有限公司 制备半导体器件结构的方法
CN102386089A (zh) * 2010-09-03 2012-03-21 中芯国际集成电路制造(上海)有限公司 制备半导体器件结构的方法
CN103088311B (zh) * 2011-10-28 2016-05-11 东京毅力科创株式会社 晶种层的形成方法以及含硅薄膜的成膜方法
CN103088311A (zh) * 2011-10-28 2013-05-08 东京毅力科创株式会社 晶种层的形成方法以及含硅薄膜的成膜方法
CN102623333B (zh) * 2012-04-17 2014-09-03 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN102623333A (zh) * 2012-04-17 2012-08-01 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN102623334B (zh) * 2012-04-17 2014-10-22 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN102623334A (zh) * 2012-04-17 2012-08-01 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN102623409A (zh) * 2012-04-17 2012-08-01 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN102623409B (zh) * 2012-04-17 2014-08-13 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN105256375A (zh) * 2015-09-02 2016-01-20 陈犹郎 一种提高太阳能电池扩散炉碳化硅桨抗疲劳强度的方法
CN105256375B (zh) * 2015-09-02 2017-10-31 光昱(厦门)新能源有限公司 一种提高太阳能电池扩散炉碳化硅桨抗疲劳强度的方法
CN108026637A (zh) * 2015-09-11 2018-05-11 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
CN106449907A (zh) * 2016-11-18 2017-02-22 电子科技大学 一种p型指数掺杂结构GaN光电阴极材料的生长方法
CN106449907B (zh) * 2016-11-18 2019-04-12 电子科技大学 一种p型指数掺杂结构GaN光电阴极材料的生长方法
CN115305456A (zh) * 2017-03-29 2022-11-08 Asm Ip控股有限公司 通过循环沉积在基材上形成掺杂金属氧化物膜的方法和相关半导体装置结构
CN108417481A (zh) * 2018-03-22 2018-08-17 京东方科技集团股份有限公司 氮化硅介电层的处理方法、薄膜晶体管和显示装置
CN108417481B (zh) * 2018-03-22 2021-02-23 京东方科技集团股份有限公司 氮化硅介电层的处理方法、薄膜晶体管和显示装置

Also Published As

Publication number Publication date
US7595010B2 (en) 2009-09-29
JP2006013503A (ja) 2006-01-12
US20060138566A1 (en) 2006-06-29
US20060237846A1 (en) 2006-10-26
TWI355684B (en) 2012-01-01
CN100428424C (zh) 2008-10-22
TW200614349A (en) 2006-05-01
JP5078240B2 (ja) 2012-11-21
US20050287747A1 (en) 2005-12-29
US20080054228A1 (en) 2008-03-06
US7361611B2 (en) 2008-04-22

Similar Documents

Publication Publication Date Title
CN100428424C (zh) 掺杂的氮化物膜、掺杂的氧化物膜、以及其它掺杂的膜
US7001844B2 (en) Material for contact etch layer to enhance device performance
KR101027485B1 (ko) 반도체 박막 증착을 위한 개선된 공정
CN1822330A (zh) 用于产生栅极叠层侧壁隔片的方法
JP2006013503A5 (zh)
CN1906735A (zh) 沉积碳化硅和陶瓷薄膜的方法
US20080022924A1 (en) Methods of forming carbon-containing silicon epitaxial layers
CN101078109A (zh) 从有机氨基硅烷前体制备氧化硅薄膜的方法
KR20030072104A (ko) 원자층 증착법을 이용한 박막 형성방법
CN102064091A (zh) 氮化物半导体部件及其制造工艺
US7119016B2 (en) Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
EP0536410B1 (en) Method for forming vapor phase grown film
KR20030041088A (ko) 유전체 박막 제조 방법 및 시스템
CN1208815C (zh) 半导体器件及其制造方法
CN102623470A (zh) 制造半导体衬底的方法
WO2009134083A2 (ko) 극미세 결정립 폴리 실리콘 박막 증착 방법
US8546249B2 (en) Selective growth of polycrystalline silicon-containing semiconductor material on a silicon-containing semiconductor surface
KR102391948B1 (ko) 선택적 에피택셜 실리콘 트렌치 충전 방법
CN110112052B (zh) 用于在衬底的表面上沉积半导体结构的方法及相关半导体结构
US7910468B1 (en) Methods and compositions for preparing Ge/Si semiconductor substrates
KR20230153281A (ko) 붕소 및 갈륨 도핑된 실리콘 게르마늄 층을 갖는 구조체 및 이를 형성하기 위한 방법과 시스템
CN1420533A (zh) 用于提高介电薄膜的系统和方法
KR19980055761A (ko) 게이트 전극 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171116

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171116

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right