KR100761857B1 - 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법 - Google Patents
반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법 Download PDFInfo
- Publication number
- KR100761857B1 KR100761857B1 KR1020060086994A KR20060086994A KR100761857B1 KR 100761857 B1 KR100761857 B1 KR 100761857B1 KR 1020060086994 A KR1020060086994 A KR 1020060086994A KR 20060086994 A KR20060086994 A KR 20060086994A KR 100761857 B1 KR100761857 B1 KR 100761857B1
- Authority
- KR
- South Korea
- Prior art keywords
- pattern
- hard mask
- layer
- photoresist
- forming
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 94
- 239000004065 semiconductor Substances 0.000 title claims abstract description 45
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 116
- 239000000463 material Substances 0.000 claims abstract description 52
- 239000000758 substrate Substances 0.000 claims abstract description 21
- 238000005530 etching Methods 0.000 claims description 20
- 238000000231 atomic layer deposition Methods 0.000 claims description 13
- 238000004519 manufacturing process Methods 0.000 claims description 12
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 10
- 229910052710 silicon Inorganic materials 0.000 claims description 10
- 239000010703 silicon Substances 0.000 claims description 10
- 150000004767 nitrides Chemical class 0.000 claims description 9
- 238000004381 surface treatment Methods 0.000 claims description 9
- 238000009966 trimming Methods 0.000 claims description 8
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 5
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 5
- 229910052799 carbon Inorganic materials 0.000 claims description 5
- 239000011248 coating agent Substances 0.000 claims description 4
- 238000000576 coating method Methods 0.000 claims description 4
- 238000000059 patterning Methods 0.000 abstract description 10
- 239000010410 layer Substances 0.000 description 153
- 239000010408 film Substances 0.000 description 84
- 238000000206 photolithography Methods 0.000 description 6
- 230000007547 defect Effects 0.000 description 4
- 239000011229 interlayer Substances 0.000 description 4
- 238000003848 UV Light-Curing Methods 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 238000001227 electron beam curing Methods 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 210000004185 liver Anatomy 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 230000007261 regionalization Effects 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Drying Of Semiconductors (AREA)
Abstract
미스 얼라인이 개선되고 미세패턴 형성이 용이한 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체 소자의 제조방법을 개시한다.
반도체 소자의 미세패턴 형성방법은 반도체 기판상에 제1하드 마스크층과 상기 제1하드 마스크층에 대하여 식각선택비를 갖는 제2하드 마스크층을 순차 형성한다. 상기 제2하드 마스크층상에 제1선폭 및 제1피치를 갖는 감광막 패턴을 형성한다. 상기 감광막 패턴 및 상기 기판상에 원자층 증착법으로 마스크 물질층을 형성한다. 상기 마스크 물질층을 상기 감광막 패턴이 노출될 때까지 식각하여 상기 감광막 패턴의 측벽에 마스크 패턴을 형성한다. 상기 마스크 패턴은 상기 제1선폭을 가지며, 상기 제1피치의 1/2인 제2피치를 갖는다. 상기 감광막 패턴을 제거하는 단계; 상기 마스크 패턴을 이용하여 상기 제2하드 마스크층을 식각하여 제2하드 마스크 패턴을 형성한다. 상기 제2하드 마스크 패턴을 마스크로 이용하여 상기 제1하드 마스크층을 식각하여 제1하드 마스크패턴을 형성한다. 상기 제1하드 마스크 패턴을 마스크로 이용하여 상기 반도체 기판을 식각하여 상기 제2피치를 갖는 미세패턴을 형성한다.
Description
도 1a 내지 도 1f는 종래의 반도체 소자의 미세패턴 형성방법을 설명하기 위한 단면도이다.
도 2a 내지 도 2h는 본 발명의 실시예에 따른 반도체 소자의 미세패턴 형성방법을 설명하기 위한 단면도이다.
도 3a 내지 도 14a는 본 발명의 다른 실시예에 따른 반도체 소자의 제조방법을 설명하기 위한 평면도이다.
도 3b 내지 도 14b는 도 3a 내지 도 14a 의 B-B 선에 따른 반도체 소자의 제조방법을 설명하기 위한 단면도이다.
도 3c 내지 도 14c는 도 3a 내지 도 14a 의 C-C 선에 따른 반도체 소자의 제조방법을 설명하기 위한 단면도이다.
<도면의 주요 부분에 대한 부호의 설명>
22, 110 : 제1하드 마스크층 24, 120 : 제2하드 마스크층
28, 150, 180 : 감광막 패턴 30, 160 : ALD_질화막
32, 165 : 마스크 패턴 115 : 콘택홀
본 발명은 반도체 소자의 미세패턴 형성방법에 관한 것으로서, 보다 구체적으로는 미스얼라인이 개선되고 미세패턴 형성이 용이한 반도체 소자의 미세패턴 형성방법에 관한 것이다. 또한, 본 발명은 미세패턴 형성방법을 이용한 반도체 소자의 제조방법에 관한 것이다.
일반적으로, 반도체 소자의 미세패턴은 포토리소그라피공정을 통해 형성하며, 포토리소그라피공정의 패턴 분해능이 우수할수록 미세선폭을 갖는 패턴을 형성할 수 있다. 포토리소그라피 공정에서 패턴 분해능(pattern resolution)는 레이리 식(Rayleigh' equation)에 의해 하기의 식(1)로 표현된다. 여기서, λ는 노광 광원의 파장이며, NA 는 노광장비의 렌즈의 개구수(numerical aperture)이며, k는 공정상수이다.
R=k·(λ/NA) ..... (1)
상기 식(1)로부터, 패턴 분해능을 향상시키기 위해서는 단파장의 광원을 사용하거나 또는 렌즈의 개구수를 증가시켜야 한다. 단파장의 광원을 사용하는 방법은 예를 들어, 193nm 파장의 광원을 사용하여 80nm 이하의 선폭을 갖는 미세패턴을 형성할 수 있다. 그러나, 이 방법은 단파장의 광원을 사용하는 노광장비가 고가이므로 반도체소자의 제조비용이 상승하게 된다. 한편, 렌즈의 개구수를 증가시키는 방법은 노광장비의 한계로 인하여 패턴 분해능을 향상시키는 데 한계가 있다.
반도체 장치가 고집적됨에 따라 반도체 소자의 미세패턴의 선폭이 점점 감소되고, 이에 따라 수십 nm 미만의 선폭을 갖는 반도체 소자의 미세패턴을 형성하기 위해서 더블 패터닝기술이 제안되었다. 더블 패터닝 기술은 2차에 걸친 패터닝공정을 통해 미세선폭을 갖는 미세패턴을 형성하는 방법이다. 도 1a 내지 도 1f는 종래의 더블 패터닝기술을 이용한 반도체 소자의 미세패턴 형성방법을 설명하기 위한 단면도이다. 도 1a를 참조하면, 반도체 기판(10)상에 패터닝될 하부막(12)이 형성되고, 상기 하부막(12)상에 제1마스크 물질층(14)과 제2마스크 물질층(16)을 순차적으로 형성한다. 상기 제2마스크 물질층(16)상에 제1반사방지막과 제1감광막을 형성한 다음 패터닝하여 제1반사방지막 패턴(17a) 및 제1감광막 패턴(18a)을 형성한다.
도 1b를 참조하면, 상기 제1감광막 패턴(18a)을 이용하여 상기 제2마스크 물질층(16)을 식각하여 제2마스크 패턴(16a)을 형성한다. 도 1c를 참조하면, 상기 제1감광막 패턴(18a)과 상기 제1반사방지막 패턴(17a)을 제거한다. 상기 제2마스크 패턴(16a)과 상기 제1마스크 물질층(14)상에 제2반사방지막과 제2감광막을 형성한 다음 패터닝하여, 제2반사방지막 패턴(17b) 및 제2감광막 패턴(18b)을 형성한다. 상기 제2감광막 패턴(18b)은 상기 제2마스크 패턴(16a)사이의 상기 제1마스크 물질층(14)상에 형성된다. 따라서, 상기 제2마스크 패턴(16a)과 제2감광막 패턴(18b)사이의 상기 제1마스크 물질층(14)이 노출되어진다.
도 1d를 참조하면, 상기 제2감광막 패턴(18b) 및 상기 제2마스크 패턴(16a)을 마스크로 이용하여 상기 노출된 제1마스크 물질층(14)을 식각하여 제1마스크 패 턴(14a)을 형성한다. 도 1e를 참조하면, 상기 제1마스크 패턴(14a) 및 제2마스크 패턴(16b)을 식각마스크로 이용하여 하부막(12)을 식각하여 미세패턴(12a)을 형성한다. 이때, 상기 제2감광막 패턴(18b)과 제2반사방지막 패턴(17b)을 제거한 다음 하부막(12)에 대한 식각공정을 진행할 수도 있다. 도 1f를 참조하면, 상기 제1마스크 패턴(14a)과 상기 제2마스크 패턴(16a) 그리고 제2감광막 패턴(18b) 및 제2반사방지막 패턴(17b)을 제거하면, 상기 반도체 기판(10)상에 형성된 미세패턴(12a)이 얻어진다.
종래의 미세패턴 형성방법은 예를 들어, 80nm 이하의 선폭을 갖는 미세패턴을 248 nm 파장의 광원을 이용하여 형성할 수 있다. 그러나, 종래의 미세패턴 형성방법을 이용하여 40nm 이하의 미세선폭을 갖는 미세패턴을 형성하는 경우에 브리지와 같은 패턴불량이 발생하게 된다. 이는 도 1c에서 제2감광막 패턴(18b) 형성시 제2마스크 패턴(16a)과 제2감광막 패턴(18b)간의 좁은 스페이스로 인하여 현상액이 충분히 침투하지 않게 되어 반사방지막의 잔존물이 남게 되어 브리지를 유발하게 되는 것이다.
또한, 종래의 미세패턴 형성방법은 제2마스크 패턴(16a)과 제1마스크 패턴(14a)간에 미스 얼라인이 발생하게 되는 경우에는, 제1마스크 패턴(14a)과 제2마스크 패턴(16a)간에 간격이 균일하지 않게 된다. 따라서, 종래의 미세패턴 형성방법에 의해 제조된 반도체 소자는 양호한 소자 특성을 얻을 수 없다. 또한, 제1마스크 패턴(14a)과 제2마스크 패턴(16a)간의 미스 얼라인에 의해 제1마스크 패턴(14a)과 제2마스크 패턴(16a)간의 간격이 심하게 다른 경우, 간격이 좁은 부분에서 제2 반사방지막의 잔존물이 존재하여 브리지 등과 같은 패턴불량을 유발하게 된다.
종래의 미세패턴 형성방법은 2번의 포토리소그라피 공정을 수행하여야 하므로, 공정이 번거롭고 제조단가가 비싼 문제점이 있다. 이러한 문제점을 해결하기 위하여 스페이서를 이용한 미세패턴 형성방법이 제안되었다. 스페이서를 이용한 미세패턴 형성방법은 희생절연막을 기판상에 증착한 다음 포토 리소그라피공정을 수행하여 희생 절연막 패턴을 형성한다. 상기 희생 절연막 패턴 및 기판상에 도전막을 증착한 다음 식각하여 상기 희생 절연막 패턴의 측벽에 도전막 패턴을 형성한다. 상기 희생 절연막 패턴을 제거하여 미세선폭을 갖는 도전막 패턴을 얻는다. 종래의 방법은 미세선폭의 도전막 패턴을 형성하기 위하여 희생 절연막의 증착 공정 및 포토리소그라피 공정을 이용한 식각공정을 수행하여야 하므로 공정이 복잡하였다. 또한 도전막의 증착 균일도에 따라 도전막 패턴의 선폭이 결정되므로 상기 도전막 패턴의 선폭을 콘트롤하기가 어렵다.
따라서, 본 발명이 이루고자 하는 기술적 과제는 패턴 불량이 방지되고 미스얼라인이 개선된 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체 소자의 제조방법을 제공하는 것이다.
본 발명의 또 다른 기술적 과제는 저온공정이 가능한 ALD_질화막을 이용하여 공정을 단순화한 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체 소자의 제조방법을 제공하는 것이다.
상기한 본 발명의 기술적 과제를 달성하기 위하여, 본 발명의 실시예에 따른 반도체 소자의 미세패턴 형성방법은 먼저, 반도체 기판상에 제1하드 마스크층과 상기 제1하드 마스크층에 대하여 식각선택비를 갖는 제2하드 마스크층을 순차 형성한다. 상기 제2하드 마스크층상에 제1선폭 및 제1피치를 갖는 감광막 패턴을 형성한다. 상기 감광막 패턴 및 상기 기판상에 원자층 증착법으로 마스크 물질층을 형성한다. 상기 마스크 물질층을 상기 감광막 패턴이 노출될 때까지 식각하여 상기 감광막 패턴의 측벽에 마스크 패턴을 형성한다. 상기 마스크 패턴은 상기 제1피치의 1/2인 제2피치를 갖는다. 상기 감광막 패턴을 제거하는 단계; 상기 마스크 패턴을 이용하여 상기 제2하드 마스크층을 식각하여 제2하드 마스크 패턴을 형성한다. 상기 제2하드 마스크 패턴을 마스크로 이용하여 상기 제1하드 마스크층을 식각하여 제1하드 마스크패턴을 형성한다. 상기 제1하드 마스크 패턴을 마스크로 이용하여 상기 반도체 기판을 식각하여 상기 제2피치를 갖는 미세패턴을 형성한다.
상기 감광막 패턴을 형성하기 전에, 상기 제2하드 마스크층상에 유기반사방지막을 형성할 수도 있다. 상기 감광막 패턴을 형성하는 것은 상기 제2하드 마스크층상에 감광막을 코팅하고 상기 제1선폭보다 큰 제2선폭을 갖도록 노광 및 현상하며, O2 플라즈마를 이용하여 상기 제1선폭을 갖도록 상기 감광막 패턴을 트리밍하는 것을 포함할 수 있다.
상기 감광막 패턴을 형성한 다음 상기 마스크 물질층을 형성하기 전에 상기 감광막 패턴의 선폭거칠기를 개선하기 위하여 표면처리를 할 수도 있다.
상기 제1하드 마스크층은 스핀 온 카본층 또는 바텀 포토레지스트막을 포함 하고, 상기 제2하드 마스크층은 실리콘 반사방지막을 포함하거나 또는 상기 제1하드 마스크층은 비정질 카본층을 포함하고, 상기 제2하드 마스크층은 산화막을 포함한다. 상기 마스크 물질층은 질화막을 포함한다.
상기 제2하드 마스크 패턴을 형성한 다음 상기 제1하드 마스크 패턴을 형성하기 전에 상기 마스크 패턴을 제거하고, 상기 제1하드 마스크 패턴을 형성한 다음 상기 미세패턴을 형성하기 전에 상기 제2하드 마스크 패턴을 제거하며, 상기 미세패턴을 형성한 다음에 상기 제1하드 마스크 패턴을 제거할 수도 있다.
본 발명의 다른 견지에 따른 반도체 장치의 제조방법은 먼저, 반도체 기판상에 절연막을 형성한다. 상기 절연막상에 제1하드 마스크층과 상기 제1하드 마스크층에 대하여 식각선택비를 갖는 제2하드 마스크층을 순차 형성한다. 상기 제2하드 마스크층상에 제1선폭 및 제1피치를 갖는 제1감광막 패턴을 형성한다. 상기 제1감광막 패턴 및 상기 기판상에 원자층 증착법으로 마스크 물질층을 형성한다. 상기 마스크 물질층을 상기 제1감광막 패턴이 노출될 때까지 식각하여 상기 제1감광막 패턴의 측벽에 마스크 패턴을 형성한다. 상기 마스크 패턴은 상기 제1피치의 1/2인 제2피치를 갖는다. 상기 마스크 패턴을 이용하여 상기 제2하드 마스크층을 일정두께만큼 부분 식각한다. 상기 제2하드 마스크층의 상기 식각된 부분중 일부분이 노출되도록 상기 제2하드 마스크층상에 제2감광막 패턴을 형성한다. 상기 제2감광막 패턴을 이용하여 상기 제1하드 마스크층이 노출될 때까지 상기 제2하드 마스크층의 상기 노출된 일부분을 식각하여 제2하드 마스크 패턴을 형성한다. 상기 제2하드 마스크 패턴을 마스크로 이용하여 상기 제1하드 마스크층을 식각하여 제1하드 마스크 패턴을 형성한다. 상기 제1하드 마스크 패턴을 마스크로 이용하여 상기 절연막을 식각하여 콘택홀을 형성한다.
상기 제2하드 마스크층을 부분 식각한 다음 상기 제2감광막 패턴을 형성하기 전에 상기 마스크 패턴을 제거할 수 있다. 상기 제1감광막 패턴 및 제2감광막 패턴을 형성하기 전에, 상기 제2하드 마스크층상에 제1 및 유기 반사방지막을 각각 형성할 수도 있다.
상기 제1감광막 패턴을 형성한 다음 상기 마스크 물질층을 형성하기 전에 그리고 상기 제2감광막 패턴을 형성한 다음 상기 제2하드 마스크 패턴을 형성하기 전에 각각 상기 제1 및 제2감광막 패턴의 선폭거칠기를 개선하기 위하여 표면처리를 할 수도 있다.
상기 마스크 패턴을 형성한 다음 상기 제2하드 마스크층을 부분 식각하기 전에 상기 제1감광막 패턴을 제거하고, 상기 제2하드 마스크 패턴을 형성한 다음 상기 제1하드 마스크 패턴을 형성하기 전에 상기 제2감광막 패턴을 제거하며, 상기 제1하드 마스크 패턴을 형성한 다음 상기 콘택홀을 형성하기 전에 상기 제2하드 마스크 패턴을 제거하고, 상기 콘택홀을 형성한 다음에 상기 제1하드 마스크 패턴을 제거할 수도 있다.
이하 첨부한 도면에 의거하여 본 발명의 바람직한 실시예를 설명하도록 한다. 그러나, 본 발명의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예들로 인해 한정되어지는 것으로 해석되어져서는 안 된다. 본 발명의 실시예들은 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되어지는 것이다. 따라서, 도면에서의 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장되어진 것이며, 도면상에서 동일한 부호로 표시된 요소는 동일한 요소를 의미한다.
도 2a 내지 2h는 본 발명의 일 실시예에 따른 반도체 소자의 미세패턴 형성방법을 설명하기 위한 단면도이다. 도 2a를 참조하면, 반도체 기판(20)상에 하부막(22)을 형성한다. 상기 하부막(22)은 도전막 또는 절연막을 포함할 수 있다. 상기 하부막(22)상에 제1하드 마스크층(24)을 형성한다. 제1하드 마스크층(24)은 스핀온 카본(SOC, spin on carbon)층 또는 바텀 포토레지스트막을 약 2300 내지 2800Å의 두께로 코팅하여 형성한다. 상기 제1하드 마스크층(24)상에 제2하드 마스크층(26)을 형성한다. 상기 제2하드 마스크층(26)은 상기 제1하드 마스크층(24)에 대하여 식각선택비를 갖는 물질을 포함한다. 상기 제2하드 마스크층(26)은 실리콘을 함유하는 막을 포함할 수 있다. 예를 들어, 상기 제2하드 마스크층(26)은 실리콘 반사방지막(ARC, anti-reflective cotaing)을 포함할 수 있다. 상기 제2하드 마스크층(26)으로 실리콘막을 600 내지 800Å의 두께로 형성한다. 상기 제2하드 마스크층(26)상에 반사방지막(28)을 형성한다. 상기 반사방지막(28)은 유기 반사방지막을 포함할 수 있다. 상기 반사방지막(28)은 약 270 내지 330Å의 두께를 갖는다. 상기 반사방지막(28)상에 감광막을 약 1000 내지 1400Å의 두께로 코팅한다. 마스크(도면상에는 도시되지 않음)를 이용하여 상기 감광막을 노광 및 현상하여 감광막 패턴(30)을 형성한다. 상기 감광막 패턴(30)은 제1선폭(W11)을 갖는다.
도 2b를 참조하면, 상기 감광막 패턴(30)을 O2 플라즈마를 이용하여 트리밍 시켜 준다. 상기 감광막 패턴(30)은 상기 제1선폭(W11)보다 작은 제2선폭(W12)을 가지며, 제1피치(P11)를 갖는다. 예를 들어, 원하는 미세패턴의 선폭이 30nm 이고 원하는 피치가 60nm 라 하면, 상기 감광막 패턴(30)은 약 50nm의 제1선폭(W11)을 갖도록 패터닝된 다음, 상기 트리밍공정을 통해 30nm 의 제2선폭(W12)을 갖도록 트리밍된다. 따라서, 상기 감광막 패턴(30)은 30nm의 제2선폭(W12)을 갖고, 120nm의 제1피치(P11)를 갖도록 형성된다. 상기 감광막 패턴(30)을 패터닝한 다음 트리밍하기전에 상기 감광막 패턴(30)의 선폭 거칠기(LWR, line width roughness)를 개선하기 위하여 표면처리공정을 수행한다. 상기 표면처리공정은 HBr 플라즈마 처리, UV 큐어링 및 전자빔 큐어링 등의 방법을 이용하여 수행한다. 상기 감광막 패턴(30)을 이용하여 상기 반사방지막(28)을 식각하여 반사방지막 패턴(28a)을 형성한다.
도 2c를 참조하면, 상기 제2하드 마스크층(26)과 상기 감광막 패턴(30) 및 반사방지막 패턴(28a)상에 마스크 물질층(32)을 원자층 증착(ALD) 법으로 증착한다. 상기 마스크 물질층(32)은 원자층 증착법으로 증착하여 저온공정이 가능하고, 이에 따라 마스크 패턴을 형성하기 위한 희생층으로서 감광막 패턴(30)을 사용할 수 있는 것이다. 따라서, 상기 희생층으로 노광 및 현상공정을 통해 상기 감광막 패턴(30)을 형성하는 것이 가능하므로, 공정을 단순화할 수 있게 된다. 상기 마스크 물질층(32)은 ALD_질화막(30)을 포함할 수 있다. 상기 마스크 물질층(32)의 두께는 원하는 미세패턴의 선폭에 따라 정하여진다. 상기 마스크 물질층(32)은 약 30 내지 130℃의 저온에서 약 50 내지 700Å의 두께로 증착한다. 상기 마스크 물질층(32)은 상기 제2하드 마스크층(26)보다 단단한 물질을 포함하는 것이 바람직하 다. 이는 후속공정에서 마스크 물질층(30)을 이용하여 상기 제2하드 마스크층(26)을 식각할 때, 단단한 상기 마스크 물질층(32)을 이용하여 무른 제2하드 마스크층(32)을 식각하게 되므로, 제2하드 마스크 패턴이 우수한 LWR을 갖기 때문이다. 상기 마스크 물질층(32)은 상기 제2하드 마스크층(26)을 구성하는 산화막 또는 실리콘 함유막보다 단단한 질화막을 포함할 수 있다.
도 2d를 참조하면, 상기 마스크 물질층(32)을 상기 감광막 패턴(30)이 노출될 때까지 에치백하여 상기 감광막 패턴(30)과 반사방지막 패턴(28a)의 양 측벽에 마스크 패턴(34)을 형성한다. 도 2e를 참조하면, 상기 감광막 패턴(30) 및 반사방지막 패턴(28a)을 O2 플라즈마를 이용하여 제거한다. 상기 마스크 패턴(34)은 상기 제2하드 마스층(26)을 패터닝하기 위한 마스크로 작용한다. 상기 마스크 패턴(34)은 실제로 상기 감광막 패턴(30)의 제2선폭(W12)과 동일한 선폭을 가지고, 제2피치(P12)를 갖는다. 상기 제2피치(P12)는 상기 제1피치(P11)의 1/2이다. 따라서, 제2선폭(W12)이 30nm 이고 제1피치(P11)가 120nm 라하면, 상기 마스크 패턴(34)은 30nm 의 선폭(W12)과 60nm 의 피치(P12)를 갖는다.
도 2f를 참조하면, 상기 마스크 패턴(34)을 마스크로 이용하여 제2하드 마스크층(26)을 식각하여 제2하드 마스크 패턴(26a)을 형성한다. 도 2g를 참조하면, 상기 마스크 패턴(34)을 제거한다. 상기 제2하드 마스크 패턴(26a)을 이용하여 상기 제1하드 마스크층(24)을 식각하여 제1하드 마스크 패턴(24a)을 형성한다. 상기 마스크 패턴(34)을 제거하기 않은 상태에서 상기 제1하드 마스크층(24)을 식각할 수도 있다. 도 2h를 참조하면, 상기 제2하드 마스크 패턴(26a)을 제거한다. 상기 제1 하드 마스크 패턴(24a)을 이용하여 상기 하부막(22)을 식각하여 미세패턴(22a)을 형성한다. 상기 미세패턴(22a)은 실제로 상기 감광막 패턴(30)의 제2선폭(W12)과 동일한 선폭을 가지며, 상기 제2피치(P12)를 갖는다.
본 발명의 다른 예로서, 상기 제2하드 마스크층(26)과 감광막 패턴(30)사이에 형성되는 반사방지막(28)을 생략할 수도 있다. 또한, 상기 제1하드 마스크층(24)으로 비정질 카본층(ACL, amorphous carbon layer)을 형성할 수도 있다. 이때, 상기 제2하드 마스크층(26)으로는 300 내지 600Å의 두께를 갖는 박막의 산화막을 형성할 수 있다. 상기 산화막은 PE_산화막, 중온 산화막(MTO) 또는 ALD_산화막 등을 포함할 수 있다.
도 3a, 도 3b 및 도 3c 내지 도 14a, 도 14b 및 도 14c는 본 발명의 미세패턴 형성방법을 이용하여 반도체 장치의 콘택홀을 형성하는 방법을 설명하기 위한 도면이다. 도 3a 내지 도 14a는 본 발명의 미세패턴 형성방법을 이용하여 반도체 장치의 콘택홀을 형성하는 방법을 설명하기 위한 평면도이다. 도 3b 내지 도 14b는 도 3a 내지 도 14a의 B-B선에 따른 단면도이고, 도 3c 내지 도 14c는 도 3a 내지 도 14a의 C-C선에 따른 단면도이다.
도 3a, 도 3b 및 도 3c를 참조하면, 반도체 기판(100)상에 콘택홀이 형성될 층간 절연막(110)을 형성한다. 상기 층간 절연막(110)상에 제1하드 마스크층(120)을 형성하고, 상기 제1하드 마스크층(120)상에 제2하드 마스크층(130)을 형성한다. 상기 제2하드 마스크층(130)은 상기 제1하드 마스크층(120)에 대하여 식각선택비를 갖는 물질을 포함한다. 예를 들어, 상기 제1하드 마스크층(120)으로 비정질 카본층 을 약 1300 내지 1700Å의 두께로 증착하고, 상기 제2하드 마스크층(130)은 산화막, 예를 들어 PE_산화막을 약 900 내지 1100Å의 두께로 증착한다. 상기 제1하드 마스크층(120)으로 스핀온카본층 또는 바텀 포토레지스트를 형성하고, 상기 제2하드 마스크층(130)으로 실리콘을 함유한 막, 예를 들어 실리콘 반사방지막(Si ARC) 또는 스핀온 글래스막 (SOG, spin on glass) 막을 형성할 수도 있다.
도 4a, 도 4b 및 도 4c를 참조하면, 상기 제2하드 마스크층(130)상에 제1반사방지막, 예를 들어 유기 반사방지막을 약 270 내지 330Å의 두께로 형성하고, 상기 제1반사방지막상에 제1감광막을 약 1000 내지 1400Å의 두께로 코팅한다. 상기 제1감광막을 노광 및 현상하여 제1감광막 패턴(150)을 형성한다. 상기 제1감광막 패턴(150)의 선폭 거칠기(LWR)를 개선하기 위한 표면처리공정을 수행할 수도 있다. 상기 표면처리공정은 HBr 플라즈마 처리, UV 큐어링 및 전자빔 큐어링 등을 이용할 수 있다. 이어서, O2 플라즈마를 이용한 트리밍공정을 수행한다. 상기 제1감광막 패턴(150)은 제1선폭(W21)과 제1피치(P21)를 갖는다. 상기 제1감광막 패턴(150)은 상기 제1선폭(W21)보다 큰 선폭을 갖도록 패터닝된 다음 트리밍공정을 통해 트리밍되어 제1선폭(W21)을 갖게 된다. 상기 제1감광막 패턴(150)의 제1선폭(W21)은 후속공정에서 형성될 콘택홀의 단축 방향의 CD(critical dimension)에 의해 결정되어진다. 상기 제1감광막 패턴(150)을 마스크로 하여 상기 제1반사방지막을 식각하여 제1반사방지막 패턴(140)을 형성한다.
도 5a, 도 5b 및 도 5c를 참조하면, 상기 제1감광막 패턴(150) 및 상기 제1반사방지막 패턴(140)과 상기 제2하드 마스크층(130)상에 마스크 물질층(160)을 원 자층 증착법을 이용하여 약 30 내지 130℃ 의 저온에서 형성한다. 상기 마스크 물질층(160)은 후속에서 형성될 마스크패턴의 선폭에 따라 그의 두께가 결정되어진다. 상기 마스크 물질층(160)은 예를 들어 약 50 내지 700Å의 두께로 증착할 수 있다. 상기 마스크 물질층(160)은 상기 제2하드 마스크층(130)보다 단단한 물질을 포함하는 것이 바람직하다. 이는 후속공정에서 마스크 물질층(160)을 이용하여 상기 제2하드 마스크층(130)을 식각할 때, 단단한 상기 마스크 물질층(160)을 이용하여 무른 제2하드 마스크층(130)을 식각하게 되므로, 제2하드 마스크 패턴이 우수한 LWR을 갖기 때문이다. 상기 마스크 물질층(160)은 상기 제2하드 마스크층(130)을 구성하는 산화막 또는 실리콘 함유막보다 단단한 질화막을 포함할 수 있다.
도 6a, 도 6b, 도 6c를 참조하면, 상기 제1감광막 패턴(150)이 노출될 때까지 상기 마스크 물질층(160)을 에치백하여 상기 제1감광막 패턴(150)의 측벽에 마스크 패턴(165)을 형성한다. 상기 마스크 패턴(165)은 실제로 상기 제1감광막 패턴(150)의 제1선폭(W21)과 동일한 선폭을 가지며, 후속공정에서 형성될 콘택홀의 단축방향, 즉 B-B 선에 따른 방향에서 제2피치(P22)를 갖는다. 상기 제2피치(P22)는 상기 제1피치(P21)의 1/2이다. 상기 마스크 패턴(165)이 상기 감광막 패턴(150)의 모든 측벽을 감싸도록 패터닝하는 대신에 상기 감광막 패턴(150)의 양 측벽에만 남도록 패터닝하여 라인/스페이스 패턴을 가질 수도 있다. 도 7a, 도 7b, 도 7c를 참조하면, 상기 제1감광막 패턴(150)과 제1반사방지막 패턴(140)을 O2 플라즈마를 이용하여 제거한다.
도 8a, 도 8b 및 도 8c를 참조하면, 상기 마스크 패턴(165)을 이용하여 상기 제2하드 마스크층(130)을 일정두께만큼, 예를 들어 약 450 내지 550Å 의 두께만큼 부분 식각한다. 상기 제2하드 마스크층(130)중 식각된 부분(131)은 콘택홀이 형성될 부분에 대응한다. 도 9a, 도 9b 및 도 9c를 참조하면, 상기 마스크 패턴(165)을 습식식각공정을 통해 제거한다. 도 10a, 도 10b 및 도 10c를 참조하면, 상기 기판상에 제2반사방지막과 제2감광막을 순차 형성한 다음 패터닝하여 제2반사방지막 패턴(170)과 제2감광막 패턴(180)을 형성하고, 상기 제2하드마스크층(130)의 일부분을 노출시켜 준다. 따라서, 상기 제2하드 마스크층(130)중 1차 식각된 부분(131)의 일부분이 노출되도록 상기 제2하드 마스크층(130)상에 제2감광막 패턴(180)이 형성된다. 이때, 상기 제2감광막 패턴(180)간의 간격(D21)은 콘택홀의 장축방향(C-C 선에 따른 방향)의 CD에 따라 결정된다. 상기 제2감광막 패턴(180)을 형성한 다음 트리밍공정 또는 표면처리공정을 수행할 수도 있다.
도 11a, 도 11b 및 도 11c를 참조하면, 상기 제2감광막 패턴(180)을 마스크로 하여 상기 제2하드 마스크층(130)의 상기 노출된 부분을 식각하여 제2하드 마스크 패턴(132)을 형성한다. 이때, 상기 제2하드 마스크층(130)의 1차 식각된 부분(131)중 상기 노출된 부분이 모두 제거되어 상기 제1하드 마스크층(120)이 노출될 때까지 식각공정이 수행된다. 도 12a, 도 12b 및 도 12c를 참조하면, 상기 제2반사방지막(170) 및 제2감광막 패턴(180)을 O2 플라즈마 공정을 통해 제거한다. 이때, 상기 제2하드 마스크 패턴(132)중 130a으로 표시된 부분은 제2하드 마스크층(130)중 1차 및 2차 식각공정에서 식각되지 않고 남아있는 부분을 나타내고, 131a는 1차 식각된 부분(131)중 2차식각공정에서 식각되지 않고 남아있는 부분을 나타내며, 131b는 제2하드 마스크층(130)중 2차 식각시 식각된 부분을 나타낸다. 상기 제2하드 마스크 패턴(132)을 이용하여 제1하드 마스크층(120)을 식각하여 제1하드 마스크 패턴(122)을 형성한다.
다른 실시예로서, 도 9a, 도 9b 및 도 9c의 공정에서 ALD_질화막으로 된 마스크 패턴(165)을 제거하지 않은 상태에서 도 10a, 도 10b 및 도 10c와 같이 제2반사방지막 패턴(170) 및 제2감광막 패턴(180)을 형성하고, 도 11a, 도 11b 및 도 11c에서 상기 감광막 패턴(180)과 상기 마스크 패턴(165)을 이용하여 상기 제2하드 마스크층(130)을 식각하여 제2하드 마스크 패턴(132)을 형성할 수 있다. 또한, 상기 제2반사방지막(170)과 상기 제2감광막 패턴(180)을 제거하지 않은 상태에서 상기 제2하드 마스크 패턴(132)을 이용하여 상기 제1하드 마스크층(120)을 식각하여 제1하드 마스크 패턴(122)을 형성할 수도 있다. 상기 제1감광막 패턴(150) 및 제2감광막 패턴(180) 하부에 각각 제1반사방지막(140) 및/또는 제2반사방지막(170)을 형성하지 않을 수도 있다.
도 13a, 도 13b 및 도 13c를 참조하면, 상기 제2하드 마스크 패턴(132)을 제거한 다음 상기 제1하드 마스크 패턴(122)을 마스크로 하여 상기 층간 절연막(110)을 식각하여 콘택홀(115)를 형성한다. 상기 콘택홀(115)의 단축방향(B-B 선에 따른 방향)의 CD 는 상기 제1선폭(21)과 실제적으로 동일하며, 상기 장축방향(C-C선에 따른 방향)의 CD 는 상기 간격(D21)과 실제적으로 동일하다. 상기 콘택홀(115)간의 피치는 상기 제2피치(P22)와 실제적으로 동일하다. 도 14a, 도 14b, 도 14c를 참조하면, 상기 제1하드 마스크 패턴(122)을 제거한다. 상기 제2하드 마스크 패턴(132) 을 제거하지 않은 상태에서 상기 층간 절연막(110)을 식각하여 콘택홀(115)을 형성할 수도 있다. 상기 콘택홀(115)은 B-B 선에 따른 CD 와 C-C선에 따른 CD 가 동일하도록 형성할 수도 있다.
이상에서 자세히 설명한 바와 같이, 본 발명의 미세패턴 형성방법은 마스크물질로 저온공정이 가능한 ALD_SiN 막을 사용하여 포토 레지스트막의 패터닝공정만으로 마스크 패턴을 형성하는 것이 가능하므로, 별도의 희생산화막의 증착 및 식각공정이 배제되므로 공정을 단순화할 수 있으며, 더블 패터닝공정에서의 반사방지막의 잔존물에 의한 패턴불량을 방지할 수 있다. 또한, 본 발명은 통상적인 노광장비를 이용하여, 상기 통상적인 노광장비에서 얻어지는 패턴보다 미세선폭을 갖는 미세패턴을 형성할 수 있다. 본 발명은 미스얼라인 및 LWR가 개선되어 양호한 특성의 미세패턴을 갖는 반도체 소자의 제작이 가능하다.
이상 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상의 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형이 가능하다.
Claims (18)
- 반도체 기판상에 제1하드 마스크층과 상기 제1하드 마스크층에 대하여 식각선택비를 갖는 제2하드 마스크층을 순차 형성하는 단계;상기 제2하드 마스크층상에 제1선폭 및 제1피치를 갖는 감광막 패턴을 형성하는 단계;상기 감광막 패턴 및 상기 기판상에 저온 원자층 증착법으로 마스크 물질층을 형성하되, 상기 마스크 물질층은 상기 제2하드 마스크층보다 단단한 물질을 포함하는 단계;상기 마스크 물질층을 상기 감광막 패턴이 노출될 때까지 식각하여 상기 감광막 패턴의 측벽에 마스크 패턴을 형성하되, 상기 마스크 패턴이 상기 제1피치의 1/2인 제2피치를 갖도록 형성하는 단계;상기 감광막 패턴을 제거하는 단계;상기 마스크 패턴을 이용하여 상기 제2하드 마스크층을 식각하여 제2하드 마스크 패턴을 형성하는 단계;상기 제2하드 마스크 패턴을 마스크로 이용하여 상기 제1하드 마스크층을 식각하여 제1하드 마스크패턴을 형성하는 단계; 및상기 제1하드 마스크 패턴을 마스크로 이용하여 상기 반도체 기판을 식각하여 상기 제2피치를 갖는 미세패턴을 형성하는 단계를 포함하는 반도체 소자의 미세패턴 형성방법.
- 제1항에 있어서, 상기 감광막 패턴 형성단계전에, 상기 제2하드 마스크층상에 유기반사방지막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 미세패턴 형성방법.
- 제1항에 있어서, 상기 감광막 패턴 형성단계는 상기 제2하드 마스크층상에 감광막을 코팅하고 상기 제1선폭보다 큰 제2선폭을 갖도록 노광 및 현상하고, O2 플라즈마를 이용하여 상기 제1선폭을 갖도록 상기 감광막 패턴을 트리밍하는 것을 특징으로 하는 반도체 소자의 미세패턴 형성방법.
- 제1항에 있어서, 상기 감광막 패턴 형성단계 및 상기 마스크 물질층 형성단계사이에 상기 감광막 패턴의 선폭거칠기를 개선하기 위한 표면처리공정을 더 수행하는 것을 특징으로 하는 반도체 소자의 미세패턴 형성방법.
- 제1항에 있어서, 상기 제1하드 마스크층은 스핀 온 카본층 또는 바텀 포토레지스트막을 포함하고, 상기 제2하드 마스크층은 실리콘을 함유한 막을 포함하는 것을 특징으로 하는 반도체 소자의 미세패턴 형성방법.
- 제1항에 있어서, 상기 제1하드 마스크층은 비정질 카본층을 포함하고, 상기 제2하드 마스크층은 산화막을 포함하는 것을 특징으로 하는 반도체 소자의 미세패 턴 형성방법.
- 제5항 또는 제6항에 있어서, 상기 마스크 물질층은 약 30 내지 130℃에서 증착된 저온 ALD_질화막을 포함하는 것을 특징으로 하는 반도체 장치의 미세패턴 형성방법.
- 제1항에 있어서, 상기 제2하드 마스크 패턴 형성단계와 상기 제1하드 마스크 패턴 형성단계사이에 상기 마스크 패턴을 제거하는 단계; 상기 제1하드 마스크 패턴 형성단계와 상기 미세패턴 형성단계사이에 상기 제2하드 마스크 패턴을 제거하는 단계; 및 상기 미세패턴 형성단계 다음에 상기 제1하드 마스크 패턴을 제거하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 미세패턴 형성방법.
- 제1항에 있어서, 상기 반도체 기판은 도전막 또는 절연막으로 된 하부막을 포함하는 것을 특징으로 하는 반도체 장치의 미세패턴 형성방법.
- 반도체 기판상에 절연막을 형성하는 단계;상기 절연막상에 제1하드 마스크층과 상기 제1하드 마스크층에 대하여 식각선택비를 갖는 제2하드 마스크층을 순차 형성하는 단계;상기 제2하드 마스크층상에 제1선폭 및 제1피치를 갖는 제1감광막 패턴을 형성하는 단계;상기 제1감광막 패턴 및 상기 기판상에 원자층 증착법으로 마스크 물질층을 형성하되, 상기 마스크 물질층은 상기 제2하드 마스크층보다 단단한 물질을 포함하는 단계;상기 마스크 물질층을 상기 제1감광막 패턴이 노출될 때까지 식각하여 상기 제1감광막 패턴의 측벽에 마스크 패턴을 형성하되, 상기 마스크 패턴이 상기 제1피치의 1/2인 제2피치를 갖도록 형성하는 단계;상기 마스크 패턴을 이용하여 상기 제2하드 마스크층을 일정두께만큼 부분 식각하는 단계;상기 제2하드 마스크층의 상기 식각된 부분중 일부분이 노출되도록 상기 제2하드 마스크층상에 제2감광막 패턴을 형성하는 단계;상기 제2감광막 패턴을 이용하여 상기 제1하드 마스크층이 노출될 때까지 상기 제2하드 마스크층의 상기 노출된 일부분을 식각하여 제2하드 마스크 패턴을 형성하는 단계;상기 제2하드 마스크 패턴을 마스크로 이용하여 상기 제1하드 마스크층을 식각하여 제1하드 마스크패턴을 형성하는 단계; 및상기 제1하드 마스크 패턴을 마스크로 이용하여 상기 절연막을 식각하여 콘택홀을 형성하는 단계를 포함하는 반도체 소자의 제조방법.
- 제10항에 있어서, 상기 제2하드 마스크층의 부분 식각단계 및 상기 제2감광막 패턴 형성단계사이에 상기 마스크 패턴을 제거하는 단계를 더 포함하는 것을 특 징으로 하는 반도체 소자의 제조방법.
- 제10항에 있어서, 상기 제1감광막 패턴 및 상기 제2감광막 패턴을 형성하는 단계전에, 상기 제2하드 마스크층상에 제1 및 유기 반사방지막을 각각 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
- 제10항에 있어서, 상기 제1감광막 패턴을 형성하는 단계는 상기 제2하드 마스크층상에 감광막을 코팅하고 상기 제1선폭보다 큰 제2선폭을 갖도록 노광 및 현상하고, 상기 제1감광막 패턴이 상기 제1선폭을 갖도록 O2 플라즈마를 이용하여 트리밍하는 것을 특징으로 하는 반도체 소자의 제조방법.
- 제10항에 있어서, 상기 제1감광막 패턴을 형성하는 단계 및 상기 마스크 물질층을 형성하는 단계사이 그리고 상기 제2감광막 패턴을 형성하는 단계 및 상기 제2하드 마스크 패턴을 형성하는 단계사이에 각각 상기 제1 및 제2감광막 패턴의 선폭거칠기를 개선하기 위한 표면처리공정을 더 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.
- 제10항에 있어서, 상기 제1하드 마스크층은 스핀 온 카본층 또는 바텀 포토레지스트막을 포함하고, 상기 제2하드 마스크층은 실리콘을 함유한 막을 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
- 제10항에 있어서, 상기 제1하드 마스크층은 비정질 카본층을 포함하고, 상기 제2하드 마스크층은 산화막을 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
- 제15항 또는 제16항에 있어서, 상기 마스크 물질층은 약 30 내지 130℃의 저온에서 증착된 저온 ALD_질화막을 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
- 제10항에 있어서, 상기 마스크 패턴 형성단계와 상기 제2하드 마스크층의 부분 식각단계사이에 상기 제1감광막 패턴을 제거하는 단계; 상기 제2하드 마스크 패턴 형성단계와 상기 제1하드 마스크 패턴 형성단계사이에 상기 제2감광막 패턴을 제거하는 단계; 상기 제1하드 마스크 패턴 형성단계와 상기 콘택홀 형성단계사이에 상기 제2하드 마스크 패턴을 제거하는 단계; 및 상기 콘택홀 형성단계 다음에 상기 제1하드 마스크 패턴을 제거하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020060086994A KR100761857B1 (ko) | 2006-09-08 | 2006-09-08 | 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법 |
US11/554,324 US20080076070A1 (en) | 2006-09-08 | 2006-10-30 | Methods of Forming Fine Patterns In Integrated Circuits Using Atomic Layer Deposition |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020060086994A KR100761857B1 (ko) | 2006-09-08 | 2006-09-08 | 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법 |
Publications (1)
Publication Number | Publication Date |
---|---|
KR100761857B1 true KR100761857B1 (ko) | 2007-09-28 |
Family
ID=38738728
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020060086994A KR100761857B1 (ko) | 2006-09-08 | 2006-09-08 | 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법 |
Country Status (2)
Country | Link |
---|---|
US (1) | US20080076070A1 (ko) |
KR (1) | KR100761857B1 (ko) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2009062123A2 (en) * | 2007-11-08 | 2009-05-14 | Lam Research Corporation | Pitch reduction using oxide spacer |
KR101046747B1 (ko) * | 2007-12-21 | 2011-07-05 | 주식회사 하이닉스반도체 | 반도체 소자의 미세패턴 형성방법 |
KR101057191B1 (ko) | 2008-12-30 | 2011-08-16 | 주식회사 하이닉스반도체 | 반도체 소자의 미세 패턴 형성방법 |
KR101079625B1 (ko) | 2008-09-29 | 2011-11-03 | 도쿄엘렉트론가부시키가이샤 | 마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치 |
Families Citing this family (332)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8852851B2 (en) | 2006-07-10 | 2014-10-07 | Micron Technology, Inc. | Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same |
KR100983724B1 (ko) * | 2007-12-20 | 2010-09-24 | 주식회사 하이닉스반도체 | 반도체 소자의 형성 방법 |
TWI362692B (en) * | 2008-03-11 | 2012-04-21 | Nanya Technology Corp | Method for promoting a semiconductor lithography resolution |
US7989307B2 (en) | 2008-05-05 | 2011-08-02 | Micron Technology, Inc. | Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same |
US10151981B2 (en) * | 2008-05-22 | 2018-12-11 | Micron Technology, Inc. | Methods of forming structures supported by semiconductor substrates |
US8232212B2 (en) * | 2008-07-11 | 2012-07-31 | Applied Materials, Inc. | Within-sequence metrology based process tuning for adaptive self-aligned double patterning |
US8080443B2 (en) | 2008-10-27 | 2011-12-20 | Sandisk 3D Llc | Method of making pillars using photoresist spacer mask |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8247302B2 (en) * | 2008-12-04 | 2012-08-21 | Micron Technology, Inc. | Methods of fabricating substrates |
US8796155B2 (en) * | 2008-12-04 | 2014-08-05 | Micron Technology, Inc. | Methods of fabricating substrates |
US8273634B2 (en) * | 2008-12-04 | 2012-09-25 | Micron Technology, Inc. | Methods of fabricating substrates |
US8114765B2 (en) * | 2008-12-31 | 2012-02-14 | Sandisk 3D Llc | Methods for increased array feature density |
US8084347B2 (en) | 2008-12-31 | 2011-12-27 | Sandisk 3D Llc | Resist feature and removable spacer pitch doubling patterning method for pillar structures |
US8268543B2 (en) * | 2009-03-23 | 2012-09-18 | Micron Technology, Inc. | Methods of forming patterns on substrates |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US9330934B2 (en) | 2009-05-18 | 2016-05-03 | Micron Technology, Inc. | Methods of forming patterns on substrates |
US8026172B2 (en) * | 2009-06-29 | 2011-09-27 | Sandisk 3D Llc | Method of forming contact hole arrays using a hybrid spacer technique |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8455364B2 (en) * | 2009-11-06 | 2013-06-04 | International Business Machines Corporation | Sidewall image transfer using the lithographic stack as the mandrel |
KR20110055912A (ko) * | 2009-11-20 | 2011-05-26 | 주식회사 하이닉스반도체 | 반도체 소자의 콘택홀 형성방법 |
US20110129991A1 (en) * | 2009-12-02 | 2011-06-02 | Kyle Armstrong | Methods Of Patterning Materials, And Methods Of Forming Memory Cells |
JP5391055B2 (ja) * | 2009-12-25 | 2014-01-15 | 東京エレクトロン株式会社 | 半導体装置の製造方法及び半導体装置の製造システム |
US8252691B2 (en) * | 2010-04-14 | 2012-08-28 | Asm Genitech Korea Ltd. | Method of forming semiconductor patterns |
US8455341B2 (en) | 2010-09-02 | 2013-06-04 | Micron Technology, Inc. | Methods of forming features of integrated circuitry |
US8940475B2 (en) * | 2010-11-23 | 2015-01-27 | Tokyo Electron Limited | Double patterning with inline critical dimension slimming |
US8575032B2 (en) | 2011-05-05 | 2013-11-05 | Micron Technology, Inc. | Methods of forming a pattern on a substrate |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9177794B2 (en) | 2012-01-13 | 2015-11-03 | Micron Technology, Inc. | Methods of patterning substrates |
US8629048B1 (en) | 2012-07-06 | 2014-01-14 | Micron Technology, Inc. | Methods of forming a pattern on a substrate |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
CN104103574B (zh) * | 2013-04-10 | 2017-12-29 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件的制作方法 |
US9437443B2 (en) | 2013-06-12 | 2016-09-06 | Globalfoundries Inc. | Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides |
CN104425223B (zh) * | 2013-08-28 | 2017-11-03 | 中芯国际集成电路制造(上海)有限公司 | 图形化方法 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US9786504B1 (en) * | 2016-05-16 | 2017-10-10 | Micron Technology, Inc. | Method for forming a patterned layer |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
CN108091553B (zh) * | 2016-11-23 | 2020-10-09 | 中芯国际集成电路制造(北京)有限公司 | 掩模图形的形成方法 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US9941142B1 (en) | 2017-01-12 | 2018-04-10 | International Business Machines Corporation | Tunable TiOxNy hardmask for multilayer patterning |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10510540B2 (en) * | 2017-07-15 | 2019-12-17 | Micromaterials Llc | Mask scheme for cut pattern flow with enlarged EPE window |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10147607B1 (en) | 2017-08-24 | 2018-12-04 | Micron Technology, Inc. | Semiconductor pitch patterning |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
EP3765401A1 (en) | 2018-03-14 | 2021-01-20 | Obsidian Sensors, Inc. | Mems and nems structures |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH10150027A (ja) | 1996-11-19 | 1998-06-02 | Nittetsu Semiconductor Kk | 半導体装置の微細レジストパターンの形成方法 |
KR19990027887A (ko) * | 1997-09-30 | 1999-04-15 | 윤종용 | 스페이서를 이용한 반도체장치의 미세 패턴 형성방법 |
KR20020002573A (ko) * | 2000-06-30 | 2002-01-10 | 박종섭 | 반도체소자의 미세패턴 형성방법 |
KR20020024415A (ko) * | 2000-09-25 | 2002-03-30 | 윤종용 | 반도체 소자의 패턴 형성방법 |
Family Cites Families (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7521405B2 (en) * | 2002-08-12 | 2009-04-21 | Air Products And Chemicals, Inc. | Process solutions containing surfactants |
US6638441B2 (en) * | 2002-01-07 | 2003-10-28 | Macronix International Co., Ltd. | Method for pitch reduction |
US6924191B2 (en) * | 2002-06-20 | 2005-08-02 | Applied Materials, Inc. | Method for fabricating a gate structure of a field effect transistor |
KR100480610B1 (ko) * | 2002-08-09 | 2005-03-31 | 삼성전자주식회사 | 실리콘 산화막을 이용한 미세 패턴 형성방법 |
DE10349764B4 (de) * | 2003-10-24 | 2006-08-24 | Infineon Technologies Ag | Hartmaske zur Strukturierung einer Schicht und Verfahren zur Generierung einer Hartmaske für die Strukturierung einer Schicht |
US20050287747A1 (en) * | 2004-06-29 | 2005-12-29 | International Business Machines Corporation | Doped nitride film, doped oxide film and other doped films |
JP4619839B2 (ja) * | 2005-03-16 | 2011-01-26 | 株式会社東芝 | パターン形成方法 |
-
2006
- 2006-09-08 KR KR1020060086994A patent/KR100761857B1/ko not_active IP Right Cessation
- 2006-10-30 US US11/554,324 patent/US20080076070A1/en not_active Abandoned
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH10150027A (ja) | 1996-11-19 | 1998-06-02 | Nittetsu Semiconductor Kk | 半導体装置の微細レジストパターンの形成方法 |
KR19990027887A (ko) * | 1997-09-30 | 1999-04-15 | 윤종용 | 스페이서를 이용한 반도체장치의 미세 패턴 형성방법 |
KR20020002573A (ko) * | 2000-06-30 | 2002-01-10 | 박종섭 | 반도체소자의 미세패턴 형성방법 |
KR20020024415A (ko) * | 2000-09-25 | 2002-03-30 | 윤종용 | 반도체 소자의 패턴 형성방법 |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2009062123A2 (en) * | 2007-11-08 | 2009-05-14 | Lam Research Corporation | Pitch reduction using oxide spacer |
WO2009062123A3 (en) * | 2007-11-08 | 2009-07-30 | Lam Res Corp | Pitch reduction using oxide spacer |
JP2011504295A (ja) * | 2007-11-08 | 2011-02-03 | ラム リサーチ コーポレーション | 酸化物スペーサを使用したピッチ低減 |
US8592318B2 (en) | 2007-11-08 | 2013-11-26 | Lam Research Corporation | Pitch reduction using oxide spacer |
KR101573949B1 (ko) | 2007-11-08 | 2015-12-02 | 램 리써치 코포레이션 | 산화물 스페이서를 이용한 피치 감소 |
KR101046747B1 (ko) * | 2007-12-21 | 2011-07-05 | 주식회사 하이닉스반도체 | 반도체 소자의 미세패턴 형성방법 |
KR101079625B1 (ko) | 2008-09-29 | 2011-11-03 | 도쿄엘렉트론가부시키가이샤 | 마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치 |
KR101108613B1 (ko) | 2008-09-29 | 2012-02-08 | 도쿄엘렉트론가부시키가이샤 | 미세 패턴의 형성 방법 및 성막 장치 |
KR101057191B1 (ko) | 2008-12-30 | 2011-08-16 | 주식회사 하이닉스반도체 | 반도체 소자의 미세 패턴 형성방법 |
Also Published As
Publication number | Publication date |
---|---|
US20080076070A1 (en) | 2008-03-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100761857B1 (ko) | 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법 | |
KR100479600B1 (ko) | 콘택 형성 방법 | |
JP6133585B2 (ja) | Euvフォトレジスト封入 | |
US8071261B2 (en) | Lithography masks and methods of manufacture thereof | |
KR101004691B1 (ko) | 반도체 소자의 미세패턴 형성방법 | |
JP5690882B2 (ja) | 炭素質ハードマスクによる二重露光パターニング | |
KR101150639B1 (ko) | 반도체 소자의 패턴 형성 방법 | |
US9214356B2 (en) | Mechanisms for forming patterns | |
US8303831B2 (en) | Methods for fabricating semiconductor devices | |
JP4956370B2 (ja) | 半導体素子のパターン形成方法 | |
KR100310257B1 (ko) | 반도체소자의 미세 패턴의 제조방법 | |
KR20080012055A (ko) | 마스크 패턴 형성 방법 | |
KR101070302B1 (ko) | 반도체 소자의 미세패턴 제조방법 | |
KR100816210B1 (ko) | 반도체 장치 형성 방법 | |
KR20070087728A (ko) | 폴리머를 이용한 반도체 소자의 게이트 형성 방법 | |
KR100818387B1 (ko) | 반도체 소자의 금속 배선 형성 방법 | |
KR20070106277A (ko) | 피치 감소 방법 | |
KR20080004211A (ko) | 반도체 소자의 미세 패턴 형성방법 | |
KR100827520B1 (ko) | 반도체 소자의 제조 방법 | |
KR20070083383A (ko) | 금속 산화층 및 탄소층으로 이루어진 하드 마스크 패턴을이용하여 패턴을 형성하는 방법 | |
KR100944344B1 (ko) | 반도체소자의 제조방법 | |
KR101034540B1 (ko) | 위상 반전 마스크 제조 방법 | |
US20120302062A1 (en) | Method for via formation in a semiconductor device | |
KR20030058247A (ko) | 패턴 변형을 방지할 수 있는 반도체 소자 제조 방법 | |
JP6028378B2 (ja) | フォトマスクの製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
G170 | Re-publication after modification of scope of protection [patent] | ||
FPAY | Annual fee payment |
Payment date: 20120831 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20130902 Year of fee payment: 7 |
|
LAPS | Lapse due to unpaid annual fee |