KR100480610B1 - 실리콘 산화막을 이용한 미세 패턴 형성방법 - Google Patents

실리콘 산화막을 이용한 미세 패턴 형성방법 Download PDF

Info

Publication number
KR100480610B1
KR100480610B1 KR10-2002-0047233A KR20020047233A KR100480610B1 KR 100480610 B1 KR100480610 B1 KR 100480610B1 KR 20020047233 A KR20020047233 A KR 20020047233A KR 100480610 B1 KR100480610 B1 KR 100480610B1
Authority
KR
South Korea
Prior art keywords
pattern
film
silicon oxide
photoresist
layer
Prior art date
Application number
KR10-2002-0047233A
Other languages
English (en)
Other versions
KR20040014064A (ko
Inventor
박재언
추강수
이주원
양종호
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2002-0047233A priority Critical patent/KR100480610B1/ko
Priority to US10/452,413 priority patent/US6989231B2/en
Priority to JP2003290758A priority patent/JP2004080033A/ja
Publication of KR20040014064A publication Critical patent/KR20040014064A/ko
Application granted granted Critical
Publication of KR100480610B1 publication Critical patent/KR100480610B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Semiconductor Memories (AREA)

Abstract

포토레지스트 상에 실리콘 산화막을 형성한 뒤에 건식 식각 공정을 진행하여 미세 패턴을 형성하는 방법에 관하여 개시한다. 본 발명에 의하면 미세 패턴을 형성하고자 하는 물질막 상에 포토레지스트 패턴을 형성한다. 그리고 그 위에 실리콘 산화막을 증착하는데 하부의 포토레지스트 패턴에 손상을 가하지 않고 정합적으로 얇게 형성할 수 있어야 한다. 다음으로 하부막에 대하여 건식 식각을 실시하는데 초기에는 포토레지스트 패턴의 측벽에 스페이서를 형성하고 다음으로 포토레지스트 패턴 상에 폴리머막을 형성한다. 본 발명에 의하면 포토레지스트 패턴이 얇아지는 현상을 방지하여 식각 프로파일을 유지할 수 있고, 패터닝된 물질막에 스트리에이션(striation)이나 위글링(wiggling) 등의 현상이 생기는 것을 방지할 수 있다.

Description

실리콘 산화막을 이용한 미세 패턴 형성방법{Forming method for fine patterns using silicon oxide layer}
본 발명은 반도체 소자의 미세 패턴 형성방법에 관한 것으로, 보다 구체적으로는 얇은 실리콘 산화막을 포토레지스트막 상에 형성한 후에 건식 식각을 함으로써 미세 패턴을 형성하는 방법에 관한 것이다.
반도체 장치의 집적도가 증가함에 따라 단위 셀의 면적 또한 급속히 감소하고 있다. 단위 셀의 면적 감소는 단위 셀에 구현되는 개별 소자의 크기의 감소를 수반한다. 트랜지스터나 커패시터의 크기가 작아짐은 물론 배선의 폭, 배선과 배선 사이의 간격 및 상, 하부 소자를 전기적으로 연결하는 콘택의 크기도 계속 미세화되고 있다.
이렇게 미세화된 소자를 제조하기 위해서는 여러 가지 공정 조건들이 갖추어져야 한다. 특히, 미세화된 패턴을 정교하게 제조할 수 있기 위해서는 이를 뒷받침할 수 있는 포토리소그라피 기술이 필수적이다.
우선, 소자의 미세화로 패턴의 크기가 작아지므로 임계 크기(critical dimension, CD)가 작은 포토레지스트 패턴이 필요하다. 그리고, 식각 공정 특히 건식 식각 공정 중에 미세한 포토레지스트 패턴에 손상이 생기지 말아야 한다.
포토레지스트 패턴에 발생하는 손상에는 패턴이 얇아지는 것(thinning)과 패턴에 발생하는 여러 가지 변형(deformation)이 포함된다. 패턴이 얇아지면 식각되는 막의 패턴 프로파일이 열화되며, 포토레지스트에 발생한 변형으로 인하여 식각되는 하부막에 스트리에이션(striation) 및/또는 위글링(wiggling) 등이 발생하는 문제가 생긴다.
상기한 문제점을 해결하기 위한 방법으로 다층 레지스트(multi-layer resist, MLR)를 이용하는 방법 또는 포토레지스트의 표면에 폴리머를 생성시키는 방법 등이 제시되고 있다. 그러나 상기한 방법들은 CD가 아주 작아지면서 적용할 수 없으며, Si-CARL(Silicon-Chemical Amplication of resist Lines) 공정을 이용할 경우에는 미세한 패턴 사이에 과도한 불순물이 발생하여 공정의 부담을 가중시킨다.
본 발명이 이루고자 하는 기술적 과제는 미세화된 포토레지스트 패턴에 손상이 생기는 것을 방지함으로써, 패턴의 얇아짐(thinning)이나 스트리에이션 및 위글링 등이 생기지 않고 일정한 패턴 프로파일을 가지고 있는 고집적 반도체 소자의 미세 패턴을 효율적으로 형성하는 방법을 제공하는데 있다.
상기한 기술적 과제를 달성하기 위한 본 발명에 의한 미세 패턴 형성방법은 포토레지스트 패턴 상에 실리콘 산화막을 얇게 형성한 다음에 건식 식각 공정을 실시한다.
본 발명의 바람직한 실시예에 의하면 패턴을 형성하고자 하는 물질막 상에 포토레지스트막을 형성하고, 이를 노광 및 현상하여 포토레지스트 패턴을 형성한다. 다음으로 그 위에 상기한 포토레지스트 패턴에 손상이 생기지 않도록 정합적으로 제 1 실리콘 산화막을 얇게 형성한다. 그리고 나서, 상기한 물질막을 건식 식각함으로써 물질막 패턴을 형성한다.
포토레지스트 패턴에 손상이 생기지 않도록 제 1 실리콘 산화막은 상온에서 400℃ 사이의 온도에서 형성하는 것이 바람직하며, 200Å 이하의 두께로 얇게 형성하는 것이 바람직하다. 이러한 제 1 실리콘 산화막은 원자층 증착법(Atomic Layer Deposition, ALD)을 이용하여 형성할 수 있다.
형성된 물질막 패턴은 바아 타입(bar type) 패턴일 수 있는데, 이 경우에 상기한 물질막 패턴은 비트 라인 또는 워드 라인과 같은 도전 라인 패턴일 수 있다. 상기한 도전 라인을 형성하기 위한 물질막은 제 2 실리콘 산화막, 폴리 실리콘막, 금속 실리사이드막 및 반사 방지막의 적층체일 수 있다.
그리고 형성된 물질막 패턴은 홀 타입(hole type) 패턴일 수도 있다.
본 발명의 바람직한 다른 실시예에 의하면 물질막 상에 포토레지스트막을 형성하고, 이를 노광 및 현상하여 포토레지스트 패턴을 형성한다. 다음으로 그 위에 상기한 포토레지스트 패턴에 손상이 생기지 않도록 정합적으로 제 1 실리콘 산화막을 형성한다. 그리고 나서, 상기한 물질막을 건식 식각하는데 우선 포토레지스트 패턴보다 제 1 실리콘 산화막에 대하여 식각이 더 잘 일어나는 공정 조건(process recipe)에서 식각을 실시한 다음, 제 1 실리콘 산화막보다 포토레지스트 패턴에 대하여 식각이 더 잘 일어나는 공정 조건에서 식각을 실시하여 물질막 패턴을 형성하는 단계를 포함한다.
포토레지스트 패턴에 손상이 생기지 않도록 제 1 실리콘 산화막은 상온에서 400℃ 사이의 온도에서 형성하는 것이 바람직하며, 200Å 이하의 두께로 얇게 형성하는 것이 바람직하다. 이러한 제 1 실리콘 산화막은 원자층 증착법(Atomic Layer Deposition, ALD)을 이용하여 형성할 수 있다.
상기한 물질막 패턴 형성 단계에서 제 1 실리콘 산화막이 더 잘 식각되는 공정 조건에서는 포토레지스트 패턴의 측벽에 실리콘 산화막으로 스페이서를 형성하는 단계를 포함할 수 있으며, 포토레지스트 패턴이 더 잘 식각되는 공정 조건에서는 CxFy를 포함하는 폴리머막이 포토레지스트 패턴 상부에 형성되는 단계를 포함할 수 있다.
이렇게 형성된 물질막 패턴은 바아 타입(bar type) 패턴일 수 있는데, 이 경우에 상기한 물질막 패턴은 비트 라인 또는 워드 라인과 같은 도전 라인 패턴일 수 있다. 상기한 도전 라인을 형성하기 위한 물질막은 제 2 실리콘 산화막, 폴리 실리콘막, 금속 실리사이드막 및 반사 방지막의 적층체일 수 있다.
그리고 형성된 물질막 패턴은 홀 타입(hole type) 패턴일 수도 있다.
이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예에 한정되지 않고 다른 형태로 구체화될 수 있다. 오히려, 여기서 소개되는 실시예는 본 발명의 기술적 사상이 철저하고 완전하게 개시될 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 도면들에 있어서, 층 영역들의 두께는 명확성을 기하기 위하여 과장되어진 것이다. 또한, 층이 다른 층 또는 기판 "상"에 있다고 언급되어지는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제3의 층이 개재될 수도 있다. 명세서 전체에 걸쳐서 동일한 참조 번호들은 동일한 구성요소를 나타낸다.
도 1a 내지 도 1d는 본 발명에 실시예에 따라 하부 물질막에 미세 패턴을 형성하는 방법을 보여주기 위하여 공정 순서에 따라 개략적으로 도시한 단면도들이다.
도 1a를 참조하면 기판(100) 상에 물질막(110)이 형성되어 있다. 이 물질막(110)은 이후에 식각되어 소정의 패턴이 형성될 막이다. 물질막(110)은 특정한 물질로 형성된 하나의 막일 수도 있고, 여러 가지 다른 물질들로 형성된 막이 쌓여 있는 막의 적층체일 수도 있다. 예컨대 물질막(110)은 절연 물질로 된 막이거나 도전 물질로 된 막일 수도 있으며, 또한 단결정 실리콘과 같이 반도체 물질로 만들어진 막일 수도 있다. 또한, 절연 물질로 된 막 및/또는 도전 물질로 된 막이 함께 적층되어 있는 막일 수도 있다. 도 1a 내지 도 1d에는 물질막이 단일한 막이 경우를 도시하고 있으나, 도 2a 내지 도 2c에는 여러 물질의 막이 적층되어 있는 경우를 도시하고 있다.
다음으로, 물질막(110) 상에 포토레지스트막을 소정의 두께로 형성한 다음에 노광 및 현상 공정을 실시한다. 그러면 도면에서와 같이 특정한 모양을 가진 포토레지스트 패턴(120)이 만들어진다. 본 실시예에서는 포토레지스트막으로 사용되는 물질에는 아무런 제한이 없다. 그리고 형성하고자 하는 패턴의 모양에도 아무런 제한이 없다. 즉, 상기한 패턴은 비트 라인이나 워드 라인과 같은 도전 라인을 형성하기 위한 바아 타입(bar type)의 패턴일 수도 있고, 콘택을 형성하기 위한 홀 타입(hole type)의 패턴일 수도 있다.
도 1b를 참조하면, 물질막(110) 및 포토레지스트 패턴(120) 상에 실리콘 산화막(130)을 증착한다. 본 발명의 기술적 과제를 달성하기 위하여 포토레지스트 패턴(120) 상에 실리콘 산화막(130)을 증착함에 있어서 다음과 같은 점을 고려해야 한다.
첫째, 실리콘 산화막(130)을 증착하는 동안에 포토레지스트 패턴(120)의 구조나 물성에 변화가 생기지 않아야 한다. 즉, 증착하는 과정에서 화학 물질이나 플라즈마 또는 열에 의하여 포토레지스트 패턴(120)에 물리적, 화학적 손상이 발생하지 않아야 한다.
둘째, 실리콘 산화막(130)이 물질막(110) 상뿐만이 아니라 포토레지스트 패턴(120) 상에도 정합적으로 증착되어야 한다. 가능하다면 포토레지스트 패턴(120) 상에만 형성하는 것이 더욱 바람직하다. 그렇지 않으면, 후속 식각 공정에서 실리콘 산화막(130)이 두께에 따라서 식각률이 차이가 나기 때문에 식각 프로파일이 나빠질 수 있다.
셋째, 증착되는 실리콘 산화막(130)의 두께를 조절할 수 있어야 한다. 미세화된 패턴의 임계 크기를 고려하면, 두께를 Å 단위로 미세하게 조절할 수 있어야 한다.
상기한 조건을 만족시키는 공정으로 대표적인 것이 원자층 증착법(atomic layer deposition : ALD)이 있다. 원자층 증착법은 일반적으로 낮은 온도에서도 실시가 가능하며, 스텝 카버리지(step coverage) 특성이 우수하고 로딩 효과(loading effect)도 거의 나타나지 않는다. 또한, 원자층을 하나의 층씩 쌓는 방법(layer by layer)으로 증착이 되기 때문에 두께의 미세 조절이 가능하다. 따라서, 원자층 증착법을 사용하면 포토레지스트 패턴(120)의 손상없이 원하는 두께의 실리콘 산화막을 정합적으로 형성하는 것이 가능하다. 그러나, 본 실시예는 원자층 증착법을 사용한 실리콘 산화막의 형성에는 한정되지 않고, 상기한 조건을 만족시키는 것이면 어떠한 공정에도 적용이 가능하다.
본 실시예에서 실리콘 산화막(130)은 상온에서 400℃이하의 온도 사이에서 형성하는 것이 바람직하다. 그리고, 실리콘 산화막(130)은 약 200Å 이하의 두께로 형성하는 것이 바람직한데, 정확한 두께는 포토레지스트 패턴(120) 사이의 간격과 형성하고자 하는 물질막 패턴의 프로파일 등을 고려하여 결정한다.
다음으로, 상기한 결과물에 대하여 건식 식각 공정을 실시하여 물질막 패턴(110a)을 형성한다. 이 과정이 도 1c 및 도 1d에 도시되어 있다.
도 1c를 참조하면, 먼저 물질막(110)을 건식 식각하는 초기에 포토레지스트 패턴(120)의 측벽에 실리콘 산화막으로 된 스페이서(130a)를 형성한다. 이를 위하여 공정의 초기에는 공정 조건(recipe)을 조절하여 포토레지스트 패턴(120)보다 실리콘 산화막(130)이 식각이 더 잘되게 할 수 있다. 스페이서(130a)가 형성되는 동안에 물질막(110)도 약간 식각될 수 있다.
이렇게 형성된 스페이서(130a)는 식각이 진행되는 동안에 포토레지스트 패턴(120)의 폭이 얇아지는 것을 방지하는 역할을 한다. 스페이서(130a)로 인하여 포토레지스트 패턴(120)의 측벽에는 원자나 플라즈마 이온이 충돌하는 것을 방지할 수 있기 때문이다. 또한, 스페이서는 도 1d에 도시된 바와 같이 포토레지스트 패턴(120)의 손상으로 생기는 폴리머가 포토레지스트 패턴(120)의 상부에 적층되는 것도 도와주는 역할도 한다.
도 1d를 참조하면, 식각 공정을 계속 진행하여 물질막 패턴(110a)을 형성한다. 이 때에는 종전과는 달리 실리콘 산화막(130) 보다 포토레지스트 패턴(120)이 식각이 더 잘되게 공정 조건을 조절하여 식각을 진행할 수 있다. 그러면, 물질막(110)을 식각하여 물질막 패턴(110a)을 형성하는 동안에 스페이서(130a)에 대한 식각율은 낮아지고 포토레지스트 패턴(120a)에 대한 식각률은 높아져 포토레지스트 패턴(120a)에 손상이 생기게 된다.
포토레지스트 패턴에 손상이 생기면 포토레지스트 물질과 식각 가스가 반응하여 유기물질의 폴리머를 생성한다. 예컨대 이러한 폴리머는 탄소(C)와 불소(F)를 포함하는 물질일 수가 있다. 폴리머가 생성되면 전술한 바와 같이 포토레지스트 패턴(120)의 측벽에는 스페이서(130a)가 있기 때문에, 포토레지스트 패턴(120)의 상부에 쌓여 폴리머막(121)이 형성되게 된다. 폴리머막(121)은 그 하부에 남아 있는 포토레지스트 패턴(120a)에 더 이상 손상이 생기는 것을 방지하는 역할을 한다. 포토레지스트 패턴(120a)에 더 이상 손상이 생기지 않으면 식각되는 물질막 패턴(110a)에 얇아짐이나 스트리에이션 및 위글링 등이 생기지 않는다.
이와 같이 건식 식각 공정을 계속 진행하게 되면 물질막 패턴(110a)이 만들어지게 된다. 도면에는 물질막 패턴(110a)이 전체 물질막에 형성되는 경우를 도시하고 있으나, 본 실시예는 형성되는 물질막 패턴(110a)의 깊이에는 아무런 제한이 없이 적용이 가능하다. 예를 들어, 물질막(110)이 단일한 막인 경우에 그 전체 깊이까지 다 식각되어 물질막 패턴(110a)이 형성될 수도 있고, 전체 깊이의 일부만 식각되어 물질막 패턴이 형성될 수도 있다. 물질막(110)이 여러 물질의 막이 적층되어 있는 막인 경우에도 적층체의 전부 또는 일부에 패턴이 형성될 수도 있다.
그리고 식각 공정이 계속 진행되면 실리콘 산화막으로 얇게 형성된 스페이서(130a)이 모두 제거될 수도 있다.
도 2a 내지 도 2c 및 도 3은 본 발명에 따라서 바아 타입(type)의 패턴을 형성하는 과정 및 그에 대한 결과를 주사전자현미경(SEM)으로 촬영한 사진을 도시하고 있다. 도 3의 사진 중에서 첫 번째 사진은 본 실시예와 비교하기 위한 것으로 도 2a에 도시되어 있는 상태에서 제 1 실리콘 산화막(230)을 형성함이 없이 식각 공정을 실시한 후의 패턴 프로파일을 보여주는 사진이다. 그리고 두 번째 내지 네 번째 사진은 도 2b와 같이 제 1 실리콘 산화막(230)을 형성한 상태에서 식각 공정을 실시한 후의 패턴 프로파일을 보여주는 사진들로서, 제 1 실리콘 산화막(230)을 각각 10Å, 50Å 및 100Å 두께로 형성한 경우이다.
도 2a 내지 도 2c참조를 참조하면, 기판(200) 상에 제 2 실리콘 산화막(212), 폴리 실리콘막(214), 금속 실리사이드막(216) 및 식각 방지막(218)의 적층체가 형성되어 있다. 이 적층체는 예컨대 비트 라인이나 워드 라인과 같이 바아 타입의 패턴 형성에 사용될 수 있다.
본 실시예에서 제 2 실리콘 산화막(212)은 약 1200Å 정도, 폴리 실리콘막(214)은 약 1150Å 정도, 금속 실리사이드막(216)은 텅스텐 실리사이드(WSi)를 약 1500Å 정도 그리고 반사 방지막(118)은 약 240Å 정도의 두께로 증착하였다. 그리고 반사 방지막(118) 상에 포토레지스트 패턴(220)을 형성한 다음, 반사 방지막(118) 및 포토레지스트 패턴(220) 상에 제 1 실리콘 산화막(230)을 원자층 증착법을 이용하여 형성하고 건식 식각 공정을 실시하였다.
도 3의 식각 결과를 살펴보면, 제 1 실리콘 산화막(230)의 두께가 증가할수록 패턴의 프로파일이 향상됨을 알 수 있다. 즉 제 1 실리콘 산화막(230)을 형성하지 않은 경우보다는 형성한 경우에 패턴의 프로파일이 더욱 선명하고, 제 1 실리콘 산화막(230)을 100Å으로 두껍게 형성한 경우에는 원하는 패턴의 프로파일과 거의 유사함을 알 수 있다.
하지만, 소정의 물질막을 식각하여 원하는 모양의 패턴을 형성하기 위해서는 패턴 프로파일 결과만이 아니라 형성하고자 하는 패턴의 크기 및 인접한 패턴간의 간격 등 여러 가지를 고려해야 한다. 패턴의 크기 및 인접한 패턴 사이의 간격으로 인하여 증착할 수 있는 제 1 실리콘 산화막의 두께가 제한을 받기 때문에 식각 공정을 진행하기 전에 이점을 고려하여야 한다.
다음으로, 도 4를 참조하여 본 발명의 실시예를 적용할 수 있는 다른 예에 대하여 살펴보기로 한다. 도 4는 홀 타입(hole type)의 패턴을 형성하는 방법을 설명하기 위한 개략적인 단면도이다. 여기에서 진행되는 공정은 상기한 실시예에서 사용한 공정과 같다.
본 발명의 실시예로 홀 타입의 패턴을 형성하는 경우에는 전술한 바와 같이 원하는 식각 프로파일을 아무런 손상없이 만들 수 있다. 또한, 아주 미세한 패턴을 형성하고자 하는 경우에는 포토레지스트 패턴(420)간의 간격보다 크기가 더 작은 홀을 형성할 수도 있다.
도 4는 도 1c에 대응하는 도면이다. 도 4를 참조하면 기판(400) 상에 홀을 형성하고자 하는 물질막(410)이 형성되어 있고 그 위에는 포토레지스트 패턴(420)이 형성되어 있다. 그리고 포토레지스트 패턴(420)의 측벽에는 실리콘 산화막으로 스페이서(430a)가 형성되어 있다. 실리콘 산화막을 전술한 실시예보다 조금 두껍게 형성하면, 식각 공정의 진행 중에 스페이서(430a)는 종전보다 오랫동안 포토레지스트 패턴(420)의 측벽에 남아 있을 수 있다. 그러면 홀의 크기는 패턴간의 간격(d1) 보다 더 작은 간격(d2)의 크기로 형성할 수 있다.
본 발명에 의한 미세 패턴 형성방법에 의하면, 포토레지스트 패턴을 형성한 다음에 그 위에 실리콘 산화막을 형성함으로써 후속되는 건식 식각 공정 중에 포토레지스트 패턴에 손상이 생기는 것을 방지할 수 있다. 따라서, 미세화된 패턴을 원하는 프로파일로 형성할 수 있고, 패터닝된 하부막이 얇아지거나 그 하부막에 스트리에이션(striation)이나 위글링(wiggling)이 생기는 것을 방지할 수 있다. 그리고, 홀 타입 패턴의 경우에는 패턴간의 간격보다 더 작은 크기의 홀을 형성할 수도 있다.
도 1a 내지 도 1d는 본 발명의 실시예에 따라 미세 패턴을 형성하는 방법을 개략적으로 보여주기 위한 단면도이고,
도 2a 내지 도 2c는 본 발명의 일 실시예에 따라서 실리콘 산화막을 이용하여 바아 타입(bar type)의 미세 패턴을 형성하는 모습을 개략적으로 보여주는 도면으로서, 도 2a 및 도 2b는 단면도이고 도 2c는 사시도이며,
도 3은 도 2c의 반도체 소자에 대하여 정면에서 촬영한 주사 전자 현미경(SEM) 사진으로서, 첫 번째 사진은 실리콘 산화막없이 공정을 진행하여 촬영한 것이고 나머지 사진은 제 1 실리콘 산화막을 10Å, 50Å 및 100Å 두께로 형성한 후에 공정을 진행하여 촬영한 사진이며,
도 4는 홀 타입(hole type)의 미세 패턴을 형성하는 모습을 개략적으로 보여주는 단면도이다.
<도면의 주요부분에 대한 부호의 설명>
100, 200, 400 : 기판 110, 410 : 물질막
120, 220, 420 : 포토레지스트 패턴
130, 230 : 제 1 실리콘 산화막 130a, 430a : 스페이서
121 : 폴리머막 110a : 물질막 패턴
212 : 제 2 실리콘 산화막 214 : 폴리 실리콘막
216 : 금속 실리사이드막 218 : 반사 방지막

Claims (18)

  1. 삭제
  2. 물질막 상에 포토레지스트막을 형성하는 단계;
    상기 포토레지스트막을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계;
    상기 물질막 및 포토레지스트 패턴 상에 상기 포토레지스트 패턴에 손상이 생기지 않도록 정합적으로 제 1 실리콘 산화막을 형성하는 단계; 및
    상기 물질막을 건식 식각하여 물질막 패턴을 형성하는 단계를 포함하고,
    상기 제 1 실리콘 산화막은 상온에서 400℃ 사이의 온도에서 형성하는 것을 특징으로 하는 미세 패턴 형성방법.
  3. 제2항에 있어서, 상기 제 1 실리콘 산화막은 200Å 이하의 두께로 형성하는 것을 특징으로 하는 미세 패턴 형성방법.
  4. 제3항에 있어서, 상기 제 1 실리콘 산화막은 원자층 증착법(Atomic Layer Deposition, ALD)을 이용하여 형성하는 것을 특징으로 하는 패턴 형성방법.
  5. 제2항에 있어서, 상기 물질막 패턴은 바아 타입(bar type) 패턴인 것을 특징으로 하는 미세 패턴 형성방법.
  6. 제5항에 있어서, 상기 물질막 패턴은 비트 라인 패턴 또는 워드 라인 패턴인 것을 특징으로 하는 미세 패턴 형성방법.
  7. 제6항에 있어서, 상기 물질막은 제 2 실리콘 산화막, 폴리 실리콘막, 금속 실리사이드막 및 반사 방지막의 적층체인 것을 특징으로 하는 미세 패턴 형성방법.
  8. 제2항에 있어서, 상기 물질막 패턴은 홀 타입(hole type) 패턴인 것을 특징으로 하는 미세 패턴 형성방법.
  9. 삭제
  10. 물질막 상에 포토레지스트막을 형성하는 단계;
    상기 포토레지스트막을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계;
    상기 물질막 및 포토레지스트 패턴 상에 상기 포토레지스트 패턴에 손상이 생기지 않도록 정합적으로 실리콘 산화막을 형성하는 단계;
    상기 포토레지스트 패턴보다 상기 제 1 실리콘 산화막에 대하여 식각이 더 잘 일어나는 공정 조건(process recipe)에서 상기 물질막을 식각하는 제 1 건식 식각 단계; 및
    상기 제 1 실리콘 산화막보다 상기 포토레지스트 패턴에 대하여 식각이 더 잘 일어나는 공정 조건에서 상기 물질막을 식각하여 물질막 패턴을 형성하는 제 2 건식 식각 단계를 포함하고,
    상기 제 1 실리콘 산화막은 상온에서 400℃ 사이의 온도에서 형성하는 것을 특징으로 하는 미세 패턴 형성방법.
  11. 제10항에 있어서, 상기 제 1 실리콘 산화막은 200Å 이하의 두께로 형성하는 것을 특징으로 하는 미세 패턴 형성방법.
  12. 제11항에 있어서, 상기 제 1 실리콘 산화막은 원자층 증착법(Atomic Layer Deposition, ALD)을 이용하여 형성하는 것을 특징으로 하는 패턴 형성방법.
  13. 제10항에 있어서, 상기 제 1 건식 식각 단계에서는 상기 포토레지스트 패턴의 측벽에 상기 제 1 실리콘 산화막으로 스페이서를 형성하는 것을 특징으로 하는 미세 패턴 형성방법.
  14. 제10항에 있어서, 상기 제 2 건식 식각 단계에서는 폴리머막이 상기 포토레지스트 패턴 상부에 형성되는 것을 특징으로 하는 미세 패턴 형성방법.
  15. 제10항에 있어서, 상기 물질막 패턴은 바아 타입(bar type) 패턴인 것을 특징으로 하는 미세 패턴 형성방법.
  16. 제15항에 있어서, 상기 물질막 패턴은 비트 라인 패턴 또는 워드 라인 패턴인 것을 특징으로 하는 미세 패턴 형성방법.
  17. 제16항에 있어서, 상기 물질막은 제 2 실리콘 산화막, 폴리 실리콘막, 금속 실리사이드막 및 반사 방지막의 적층체인 것을 특징으로 하는 미세 패턴 형성방법.
  18. 제10항에 있어서, 상기 물질막 패턴은 홀 타입(hole type) 패턴인 것을 특징으로 하는 미세 패턴 형성방법.
KR10-2002-0047233A 2002-08-09 2002-08-09 실리콘 산화막을 이용한 미세 패턴 형성방법 KR100480610B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2002-0047233A KR100480610B1 (ko) 2002-08-09 2002-08-09 실리콘 산화막을 이용한 미세 패턴 형성방법
US10/452,413 US6989231B2 (en) 2002-08-09 2003-06-03 Method of forming fine patterns using silicon oxide layer
JP2003290758A JP2004080033A (ja) 2002-08-09 2003-08-08 シリコン酸化膜を利用した微細パターン形成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0047233A KR100480610B1 (ko) 2002-08-09 2002-08-09 실리콘 산화막을 이용한 미세 패턴 형성방법

Publications (2)

Publication Number Publication Date
KR20040014064A KR20040014064A (ko) 2004-02-14
KR100480610B1 true KR100480610B1 (ko) 2005-03-31

Family

ID=31492862

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0047233A KR100480610B1 (ko) 2002-08-09 2002-08-09 실리콘 산화막을 이용한 미세 패턴 형성방법

Country Status (3)

Country Link
US (1) US6989231B2 (ko)
JP (1) JP2004080033A (ko)
KR (1) KR100480610B1 (ko)

Families Citing this family (388)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100618850B1 (ko) * 2004-07-22 2006-09-01 삼성전자주식회사 반도체 소자 제조용 마스크 패턴 및 그 형성 방법과 미세패턴을 가지는 반도체 소자의 제조 방법
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
KR100788371B1 (ko) * 2006-08-02 2008-01-02 동부일렉트로닉스 주식회사 플래시 메모리 소자 제조 방법
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7906275B2 (en) * 2006-08-31 2011-03-15 Stc.Unm Self-aligned spatial frequency doubling
KR100761857B1 (ko) * 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US20080157159A1 (en) * 2006-12-28 2008-07-03 International Business Machines Corporation Highly tunable metal-on-semiconductor varactor
KR101368544B1 (ko) 2007-05-14 2014-02-27 마이크론 테크놀로지, 인크. 간이화한 피치 더블링 프로세스 플로우
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR101101785B1 (ko) 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
CN101680089A (zh) * 2007-06-15 2010-03-24 应用材料股份有限公司 用于图案加载应用的低温sacvd工艺
CN102203921A (zh) * 2007-06-15 2011-09-28 应用材料股份有限公司 在基板间隙中形成氧化物牺牲衬层的氧气sacvd方法
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
KR100858877B1 (ko) * 2007-08-13 2008-09-17 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP2009094279A (ja) * 2007-10-09 2009-04-30 Elpida Memory Inc ホールパターンの形成方法および半導体装置の製造方法
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR100946080B1 (ko) * 2007-12-28 2010-03-10 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US8435874B2 (en) * 2008-01-23 2013-05-07 Freescale Semiconductor, Inc. Method of forming openings in a semiconductor device and a semiconductor device fabricated by the method
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
JP4550126B2 (ja) * 2008-04-25 2010-09-22 東京エレクトロン株式会社 エッチングマスク形成方法、エッチング方法、および半導体デバイスの製造方法
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
KR20100044029A (ko) * 2008-10-21 2010-04-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP2010161162A (ja) 2009-01-07 2010-07-22 Tokyo Electron Ltd 微細パターンの形成方法
JP5329265B2 (ja) * 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110008972A1 (en) * 2009-07-13 2011-01-13 Daniel Damjanovic Methods for forming an ald sio2 film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5632240B2 (ja) * 2010-08-31 2014-11-26 東京エレクトロン株式会社 微細パターンの形成方法
US8470187B2 (en) * 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6127535B2 (ja) * 2012-02-03 2017-05-17 大日本印刷株式会社 ナノインプリント用テンプレートの製造方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6357753B2 (ja) * 2012-10-30 2018-07-18 大日本印刷株式会社 ナノインプリントモールドの製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9508719B2 (en) * 2014-11-26 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device with controlled end-to-end critical dimension and method for forming the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9721766B2 (en) 2015-10-06 2017-08-01 Tokyo Electron Limited Method for processing target object
JP6537473B2 (ja) * 2015-10-06 2019-07-03 東京エレクトロン株式会社 被処理体を処理する方法
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6541618B2 (ja) 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6788400B2 (ja) 2016-07-08 2020-11-25 東京エレクトロン株式会社 被処理体を処理する方法
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP2018022830A (ja) 2016-08-05 2018-02-08 東京エレクトロン株式会社 被処理体を処理する方法
JP6757624B2 (ja) 2016-08-12 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
JP6759004B2 (ja) 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
JP6763750B2 (ja) 2016-11-07 2020-09-30 東京エレクトロン株式会社 被処理体を処理する方法
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6804280B2 (ja) 2016-12-07 2020-12-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP6817168B2 (ja) 2017-08-25 2021-01-20 東京エレクトロン株式会社 被処理体を処理する方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP7145031B2 (ja) 2017-12-25 2022-09-30 東京エレクトロン株式会社 基板を処理する方法、プラズマ処理装置、及び基板処理装置
CN110010464B (zh) 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
JP6960351B2 (ja) * 2018-02-19 2021-11-05 東京エレクトロン株式会社 処理方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7323409B2 (ja) 2019-10-01 2023-08-08 東京エレクトロン株式会社 基板処理方法、及び、プラズマ処理装置
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11424123B2 (en) * 2020-02-25 2022-08-23 Tokyo Electron Limited Forming a semiconductor feature using atomic layer etch
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62194624A (ja) * 1986-02-21 1987-08-27 Oki Electric Ind Co Ltd 微細パタ−ンの形成方法
KR970024184A (ko) * 1995-10-16 1997-05-30 김광호 반도체장치의 캐패시터 제조방법(Method of fabricating a capacitor in semiconductor device)
KR19980054746A (ko) * 1996-12-27 1998-09-25 김광호 반도체 장치의 패턴 분리방법

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6004853A (en) * 1999-05-27 1999-12-21 Vanguard International Semiconductor Corporation Method to improve uniformity and the critical dimensions of a DRAM gate structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62194624A (ja) * 1986-02-21 1987-08-27 Oki Electric Ind Co Ltd 微細パタ−ンの形成方法
KR970024184A (ko) * 1995-10-16 1997-05-30 김광호 반도체장치의 캐패시터 제조방법(Method of fabricating a capacitor in semiconductor device)
KR19980054746A (ko) * 1996-12-27 1998-09-25 김광호 반도체 장치의 패턴 분리방법

Also Published As

Publication number Publication date
US6989231B2 (en) 2006-01-24
US20040029052A1 (en) 2004-02-12
KR20040014064A (ko) 2004-02-14
JP2004080033A (ja) 2004-03-11

Similar Documents

Publication Publication Date Title
KR100480610B1 (ko) 실리콘 산화막을 이용한 미세 패턴 형성방법
US6995437B1 (en) Semiconductor device with core and periphery regions
US7892981B2 (en) Method of forming a micro pattern of a semiconductor device
JP2002217170A (ja) 微細パターンの形成方法、半導体装置の製造方法および半導体装置
KR100437451B1 (ko) 트랩형 비휘발성 메모리 장치의 제조 방법
KR100342306B1 (ko) 트랜지스터 및 이의 형성 방법
KR100263905B1 (ko) 식각 장벽막 패턴을 이용한 콘택홀의 제조방법
US6417083B1 (en) Methods for manufacturing semiconductor devices
US6345399B1 (en) Hard mask process to prevent surface roughness for selective dielectric etching
KR20040022996A (ko) 브롬화수소(HBr) 및 헬륨(He) 가스를 사용한 부유게이트 패턴 형성방법 및 이를 이용하는 플래쉬 메모리장치 제조방법
US6316368B1 (en) Method of fabricating a node contact
US6753265B2 (en) Method for manufacturing bit line
KR19990031075A (ko) 반도체 장치의 콘택홀 형성 방법
KR100561970B1 (ko) 반도체 소자의 제조방법
KR20070001590A (ko) 반도체 소자의 리세스 게이트 형성방법
KR100312973B1 (ko) 메모리소자의 메탈 전극 형성방법
US7097921B2 (en) Sandwich arc structure for preventing metal to contact from shifting
KR100275116B1 (ko) 반도체소자의커패시터형성방법
JPH11135628A (ja) 半導体装置の製造方法
KR100744671B1 (ko) 반도체 소자의 미세 패턴 형성방법
KR20050068363A (ko) 하드 마스크를 이용한 미세 패턴 형성 방법
KR20040065442A (ko) 반도체 소자의 제조 방법
KR100652361B1 (ko) 자기정렬 방식에 의한 반도체 소자의 제조방법
JP3076951B2 (ja) 半導体装置の製造方法
KR20010108988A (ko) 플래쉬 메모리 소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130228

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140228

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150302

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170228

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20180228

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20190228

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20200228

Year of fee payment: 16