JP2004080033A - シリコン酸化膜を利用した微細パターン形成方法 - Google Patents

シリコン酸化膜を利用した微細パターン形成方法 Download PDF

Info

Publication number
JP2004080033A
JP2004080033A JP2003290758A JP2003290758A JP2004080033A JP 2004080033 A JP2004080033 A JP 2004080033A JP 2003290758 A JP2003290758 A JP 2003290758A JP 2003290758 A JP2003290758 A JP 2003290758A JP 2004080033 A JP2004080033 A JP 2004080033A
Authority
JP
Japan
Prior art keywords
pattern
film
silicon oxide
oxide film
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003290758A
Other languages
English (en)
Inventor
Jae-Eun Park
朴 哉彦
Koshu Shu
秋 岡秀
Joo-Won Lee
李 周遠
Jong-Ho Yang
梁 鍾虎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2004080033A publication Critical patent/JP2004080033A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Abstract

【課題】 シリコン酸化膜を利用した微細パターン形成方法を提供する。
【解決手段】 微細パターンを形成しようとする物質膜上にフォトレジストパターンを形成する。そして、その上にシリコン酸化膜を蒸着するが、下部のフォトレジストパターンに損傷を加えずにコンフォーマルに薄く形成しなければならない。次に、下部膜に対してドライエッチングを実施するが、初期にはフォトレジストパターンの側壁にスペーサを形成し、次にフォトレジストパターン上にポリマー膜を形成する。本発明によれば、フォトレジストパターンの薄型化現象を防止してエッチングプロファイルを維持でき、パターニングされた物質膜にストリエーションやウィグリングなどの現象が生じることが防止できる。
【選択図】 図1B

Description

 本発明は、半導体素子の微細パターン形成方法に係り、より具体的には、薄いシリコン酸化膜をフォトレジスト膜上に形成した後でドライエッチングすることによって微細パターンを形成する方法に関する。
 半導体装置の集積度が増加するにつれて、単位セルの面積もまた急速に狭まっている。単位セルの面積縮小は単位セルに具現される個別素子のサイズの縮小を伴う。トランジスタやキャパシタのサイズが小さくなるのと同時に配線の幅、配線と配線との間隔及び上下部素子を電気的に連結するコンタクトのサイズも微細化し続けている。
 このように微細化した素子を製造するためには色々な工程条件が備えられなければならない。特に、微細化したパターンを精巧に製造できるためにはそれを裏付けられるフォトリソグラフィ技術が必須である。
 まず、素子の微細化でパターンのサイズが小さくなるので、臨界寸法(CD:critical dimension)の小さいフォトレジストパターンが必要である。そして、エッチング工程、特にドライエッチング工程中に微細なフォトレジストパターンに損傷が生じてはならない。
 フォトレジストパターンに生じる損傷には、パターンがの薄型化とパターンに生じる色々な変形が含まれる。パターンが薄くなればエッチングされる膜のパターンプロファイルが劣化され、フォトレジストに発生した変形によってエッチングされる下部膜にストリエーション及び/またはウィグリングなどが発生する問題が生じる。
 前記した問題点を解決するための方法では、多層レジスト(MLR:Multi―Layer Resist)を利用する方法(特許文献1参照)またはフォトレジストの表面にポリマーを生成させる方法(特許文献2参照)などが提示されている。しかし、前記した方法らはCDがごく小さくなるにつれて適用できなくなり、Si−CARL(Silicon−Chemical Amplication of resist Lines)工程を利用する場合には微細なパターン間に過度な不純物が発生して工程の負担を加重させる。
韓国特許出願第1998−0035832号公報(李ソンスら発明、三星電子株式会社出願) 韓国特許出願第1998−0039712号公報(朴賛同ら発明、現代電子産業株式会社出願)
 本発明が解決しようとする技術的課題は、微細化したフォトレジストパターンに損傷が生じることを防止することによって、パターンの薄型化、ストリエーション及び/またはウィグリングなどが発生せずに、一定のパターンプロファイルを有している高集積半導体素子の微細パターンを効率的に形成する方法を提供するところにある。
 前記した技術的課題を達成するための本発明による微細パターン形成方法は、フォトレジストパターン上にシリコン酸化膜を薄く形成した後でドライエッチング工程を実施する。
 本発明の望ましい実施例によれば、パターンを形成しようとする物質膜上にフォトレジスト膜を形成し、これを露光及び現像してフォトレジストパターンを形成する。次に、その上に前記したフォトレジストパターンに損傷が生じないようにコンフォーマルに(整合的に)第1シリコン酸化膜を薄く形成する。それから、前記した物質膜をドライエッチングすることによって物質膜パターンを形成する。
 フォトレジストパターンに損傷が生じないように第1シリコン酸化膜は常温〜400℃の間の温度で形成するのが望ましく、200Å以下の厚さに薄く形成するのが望ましい。このような第1シリコン酸化膜は原子層蒸着法(ALD)を利用して形成できる。
 形成された物質膜パターンはラインタイプパターンであることがあるが、この場合に前記した物質膜パターンはビットラインまたはワードラインのような導電ラインパターンでありうる。前記した導電ラインを形成するための物質膜は第2シリコン酸化膜、ポリシリコン膜、金属シリサイド膜及び反射防止膜の積層体でありうる。
 そして、形成された物質膜パターンはホールタイプパターンでありうる。
 本発明の望ましい他の実施例によれば、物質膜上にフォトレジスト膜を形成し、これを露光及び現像してフォトレジストパターンを形成する。次にその上に前記したフォトレジストパターンに損傷が生じないようにコンフォーマルに第1シリコン酸化膜を形成する。それから、前記した物質膜をドライエッチングするが、まずフォトレジストパターンより第1シリコン酸化膜に対してよりエッチングされ易い工程条件でエッチングした後、第1シリコン酸化膜よりフォトレジストパターンに対してよりエッチングされ易い工程条件でエッチングを実施して物質膜パターンを形成する段階を含む。
 フォトレジストパターンに損傷が生じないように第1シリコン酸化膜は常温〜400℃の間の温度で形成するのが望ましく、200Å以下の厚さに薄く形成するのが望ましい。このような第1シリコン酸化膜はALDを利用して形成できる。
 前記した物質膜パターン形成段階で、第1シリコン酸化膜がよりエッチングされ易い工程条件ではフォトレジストパターンの側壁にシリコン酸化膜でスペーサを形成する段階を含むことができ、フォトレジストパターンがよりエッチングされやすい工程条件ではCを含むポリマー膜がフォトレジストパターン上部に形成される段階を含むことができる。
 このように形成された物質膜パターンはラインタイプパターンでありうるが、この場合に前記した物質膜パターンはビットラインまたはワードラインのような導電ラインパターンでありうる。前記した導電ラインを形成するための物質膜は第2シリコン酸化膜、ポリシリコン膜、金属シリサイド膜及び反射防止膜の積層体でありうる。
 そして、形成された物質膜パターンはホールタイプパターンでありうる。
 本発明による微細パターン形成方法によれば、フォトレジストパターンを形成した後でその上にシリコン酸化膜を形成することによって後続のドライエッチング工程中にフォトレジストパターンに損傷が生じることが防止できる。したがって、微細化したパターンを所望のプロファイルに形成でき、パターニングされた下部膜が薄くなったり、その下部膜にストリエーションやウィグリングが生じることが防止できる。そして、ホールタイプパターンの場合にはパターン間の間隔よりさらに小さいサイズのホールが形成できる。
 以下、図面を参照して本発明の望ましい実施例を詳細に説明する。しかし、本発明はここで説明する実施例に限定されず、他の形態に具体化できる。むしろ、ここで紹介される実施例は本発明の技術的思想が徹底かつ完全に開示できるように、そして当業者に本発明の思想が十分に伝えられるようにするために提供されるものである。図面において、層領域の厚さは明確性を期するために誇張されている。また、ある層が他の層または基板“上”にあると言及した場合に、それは他の層または基板上に直接形成されたり、またはそれらの間に第3の層が介在されたことを意味する。明細書全体にかけて同じ参照番号は同じ構成要素を示す。
 図1Aないし図1Dは、本発明に実施例によって下部物質膜に微細パターンを形成する方法を示すために工程順序によって概略的に示す断面図である。
 図1Aを参照すれば、基板100上に物質膜110が形成されている。この物質膜110は以後にエッチングされて所定のパターンが形成される膜である。物質膜110は特定の物質で形成された1つの膜である場合もあり、色々な他の物質で形成された膜が積み上げられている膜の積層体でありうる。例えば、物質膜110は絶縁物質よりなる膜であったり、導電物質よりなる膜である場合もあり、また単結晶シリコンのように半導体物質で形成された膜でありうる。また、絶縁物質よりなる膜及び/または導電物質よりなる膜が共に積層されている膜でありうる。図1Aないし図1Dには、物質膜が単一膜である場合を示しているが、図2Aないし図2Cにはいろいろな物質の膜が積層されている場合を示している。
 次に、物質膜110上にフォトレジスト膜を所定の厚さに形成した後で、露光及び現象工程を実施する。それでは、図面でのように特定な形を有するフォトレジストパターン120が形成される。本実施例では、フォトレジスト膜に使われる物質には何の制限がない。そして、形成しようとするパターンの形にも何の制限がない。すなわち、前記したパターンはビットラインやワードラインのような導電ラインを形成するためのラインタイプのパターンである場合もあり、コンタクトを形成するためのホールタイプのパターンでもある。
 図1Bを参照すれば、物質膜110及びフォトレジストパターン120上にシリコン酸化膜130を蒸着する。本発明の技術的課題を達成するためにフォトレジストパターン120上にシリコン酸化膜130を蒸着するにおいて、次のような点を考慮せねばならない。
 第1に、シリコン酸化膜130を蒸着する間にフォトレジストパターン120の構造や物性に変化が生じてはならない。すなわち、蒸着過程で化学物質やプラズマまたは熱によってフォトレジストパターン120に物理的、化学的損傷が生じてはならない。
 第2に、シリコン酸化膜130が物質膜110上だけではなくフォトレジストパターン120上にもコンフォーマルに蒸着されねばならない。可能であれば、フォトレジストパターン120上にだけ形成するのがさらに望ましい。それとも、後続エッチング工程でシリコン酸化膜130の厚さによってエッチング率に差がつくので、エッチングプロファイルが悪くなる恐れがある。
 第3に、蒸着されるシリコン酸化膜130の厚さを調節せねばならない。微細化したパターンの臨界寸法を考慮すれば、厚さをÅ単位に微細に調節可能でなければならない。
 前記した条件を満足させる代表的な工程は、ALDがある。ALDは一般的に低温でも実施可能であり、ステップカバレッジ特性に優れてローディング効果もほとんど現れない。また、原子層を1層ずつ積み重ねる方法で蒸着されるので、厚さの微細調節が可能である。したがって、ALDを使用すれば、フォトレジストパターン120の損傷なしに所望の厚さのシリコン酸化膜をコンフォーマルに形成できる。しかし、本実施例はALDを使用したシリコン酸化膜の形成に限定されず、前記した条件を満足させるのであれば、いかなる工程にも適用可能である。
 本実施例で、シリコン酸化膜130は常温〜400℃以下の温度で形成するのが望ましい。そして、シリコン酸化膜130は約200Å以下の厚さに形成するのが望ましいが、正確な厚さはフォトレジストパターン120間の間隔と形成しようとする物質膜パターンのプロファイルなどを考慮して決定する。
 次に、前記した結果物に対してドライエッチング工程を実施して物質膜パターン110aを形成する。この過程が図1C及び図1Dに示されている。
 図1Cを参照すれば、まず物質膜110のドライエッチング初期にフォトレジストパターン120の側壁にシリコン酸化膜よりなるスペーサ130aを形成する。このために工程初期には工程条件を調節してフォトレジストパターン120よりシリコン酸化膜130がよりエッチングされ易くする。スペーサ130aが形成される間に物質膜110も若干エッチングされうる。
 このように形成されたスペーサ130aはエッチングが進行される間に、フォトレジストパターン120の幅が薄くなることを防止する役割を果たす。スペーサ130aによってフォトレジストパターン120の側壁に原子やプラズマイオンが衝突することを防止できるからである。また、スペーサは、図1Dに示すようにフォトレジストパターン120の損傷で生じるポリマーがフォトレジストパターン120の上部に積層されることを助ける役割もする。
 図1Dを参照すれば、エッチング工程を進行し続けて物質膜パターン110aを形成する。この時には従来とは違ってシリコン酸化膜130よりフォトレジストパターン120がよりエッチングされ易く工程条件を調節してエッチングを進められる。それでは、物質膜110をエッチングして物質膜パターン110aを形成する間にスペーサ130aに対するエッチング率は低くなって、フォトレジストパターン120aに対するエッチング率は高まり、フォトレジストパターン120aに損傷が生じる。
 フォトレジストパターンに損傷が生じれば、フォトレジスト物質とエッチングガスとが反応して有機物質のポリマーを生成する。例えば、このようなポリマーは炭素とフッ素とを含む物質でありうる。ポリマーが生成されれば前述したようにフォトレジストパターン120の側壁にはスペーサ130aがあるので、フォトレジストパターン120の上部に積み上げられてポリマー膜121が形成される。ポリマー膜121はその下部に残っているフォトレジストパターン120aにこれ以上損傷が生じることを防止する役割を果たす。フォトレジストパターン120aにこれ以上損傷が生じないとエッチングされる物質膜パターン110aの薄型化、ストリエーション及びウィグリングなどが生じない。
 このように、ドライエッチング工程を進行し続けると、物質膜パターン110aが形成される。図面には物質膜パターン110aが全体物質膜に形成される場合を示しているが、本実施例は形成される物質膜パターン110aの深さには関係なく適用可能である。例えば、物質膜110が単一膜である場合にその全体深さまでエッチングされて物質膜パターン110aが形成される場合もあり、全体深さの一部だけエッチングされて物質膜パターンが形成される場合もある。物質膜110がいろいろな物質の膜が積層されている膜である場合にも積層体の全部または一部にパターンが形成されうる。
 そして、エッチング工程を進行し続ければ、シリコン酸化膜で薄く形成されたスペーサ130aがすべて除去されることもある。
 図2Aないし図2C及び図3は、本発明によってラインタイプのパターンを形成する過程及びそれに関する結果を走査電子顕微鏡(SEM)で撮影した写真を示している。図3の写真のうちから最初の写真は本実施例と比較するためのものであって、図2Aに示している状態で第1シリコン酸化膜230を形成せずにエッチング工程を実施した後のパターンプロファイルを示す写真である。そして、第2ないし第4の写真は、図2Bのように第1シリコン酸化膜230を形成した状態でエッチング工程を実施した後のパターンプロファイルを示す写真であって、第1シリコン酸化膜230をそれぞれ10Å、50Å及び100Åの厚さに形成した場合である。
 図2Aないし図2Cを参照すれば、基板200上に第2シリコン酸化膜212、ポリシリコン膜214、金属シリサイド膜216及びエッチング防止膜218の積層体が形成されている。この積層体は、例えばビットラインやワードラインのようにラインタイプのパターン形成に使われうる。
 本実施例で、第2シリコン酸化膜212は、約1200Å程度、ポリシリコン膜214は約1150Å程度、金属シリサイド膜216はタングステンシリサイド(WSi)を約1500Å程度、そして反射防止膜118は約240Å程度の厚さに蒸着した。そして、反射防止膜118上にフォトレジストパターン220を形成した後、反射防止膜118及びフォトレジストパターン220上に第1シリコン酸化膜230をALDを利用して形成し、ドライエッチング工程を実施した。
 図3のエッチング結果を述べれば、第1シリコン酸化膜230が厚くなるほどパターンのプロファイルが向上することが分かる。すなわち、第1シリコン酸化膜230を形成しない場合よりは形成した場合にパターンのプロファイルがさらに鮮明であり、第1シリコン酸化膜230を100Åに厚く形成した場合には所望のパターンのプロファイルとほとんど類似しているのが分かる。
 しかし、所定の物質膜をエッチングして所望の形のパターンを形成するためにはパターンプロファイル結果だけでなく形成しようとするパターンのサイズ及び隣接したパターン間の間隔など色々な条件を考慮すべきである。パターンのサイズ及び隣接したパターン間の間隔によって蒸着できる第1シリコン酸化膜の厚さが制限されるので、エッチング工程を進行する前にこれを考慮すべきである。
 次に、図4を参照して本発明の実施例を適用可能な他の例について述べる。図4は、ホールタイプのパターンを形成する方法を説明するための概略的な断面図である。ここで進行される工程は前記した実施例で使用した工程と同じである。
 本発明の実施例でホールタイプのパターンを形成する場合には前述したように所望のエッチングプロファイルを何の損傷なしに形成できる。また、ごく微細なパターンを形成しようとする場合にはフォトレジストパターン420間の間隔より小さいホールを形成することもできる。
 図4は、図1Cに対応する図面である。図4を参照すれば基板400上にホールを形成しようとする物質膜410が形成されており、その上にはフォトレジストパターン420が形成されている。そして、フォトレジストパターン420の側壁にはシリコン酸化膜でスペーサ430aが形成されている。シリコン酸化膜を前述した実施例より少し厚く形成すれば、エッチング工程の進行中にスペーサ430aは従来より長くフォトレジストパターン420の側壁に残ることができる。それでは、ホールはパターン間の間隔dより狭い間隔dのサイズに形成できる。
 以上、本発明を望ましい実施例を挙げて詳細に説明したが、本発明は前記実施例に限定されず、本発明の技術的思想の範囲内で、当業者によって色々な変形が可能である。
 本発明は、半導体集積回路の製造に、広く使うことができる。特に、シリコンウェーハを始めとする半導体基板上の導電ラインパターンやコンタクトホールのようなごく微細なパターンを形成するのに有用に活用できる。
本発明の実施例によって微細パターンを形成する方法を概略的に示す断面図である。 本発明の実施例によって微細パターンを形成する方法を概略的に示す断面図である。 本発明の実施例によって微細パターンを形成する方法を概略的に示す断面図である。 本発明の実施例によって微細パターンを形成する方法を概略的に示す断面図である。 本発明の一実施例によってシリコン酸化膜を利用してラインタイプの微細パターンを形成する模様を概略的に示す断面図である。 本発明の一実施例によってシリコン酸化膜を利用してラインタイプの微細パターンを形成する模様を概略的に示す断面図である。 本発明の一実施例によってシリコン酸化膜を利用してラインタイプの微細パターンを形成する模様を概略的に示す斜視図である。 図2Cの半導体素子に対して正面から撮影したSEMの写真であって、最初の写真はシリコン酸化膜なしに工程を進行して撮影したものであり、他の写真は第1シリコン酸化膜を10Å、50Å及び100Åの厚さに形成した後で工程を進行して撮影した写真である。 ホールタイプの微細パターンを形成する模様を概略的に示す断面図である。
符号の説明
 100 基板
 110 物質膜
 120 フォトレジストパターン
 130 シリコン酸化膜

Claims (18)

  1.  半導体基板上に形成された物質膜をエッチングして微細パターンを形成する方法において、
     前記物質膜上にフォトレジスト膜を形成する段階と、
     前記フォトレジスト膜を露光及び現像してフォトレジストパターンを形成する段階と、
     前記物質膜及びフォトレジストパターン上に前記フォトレジストパターンに損傷が生じないようにコンフォーマルに第1シリコン酸化膜を形成する段階と、
     前記物質膜をドライエッチングして物質膜パターンを形成する段階と、を含むことを特徴とする微細パターン形成方法。
  2.  前記第1シリコン酸化膜は常温〜400℃の間の温度で形成することを特徴とする請求項1に記載の微細パターン形成方法。
  3.  前記第1シリコン酸化膜は200Å以下の厚さに形成することを特徴とする請求項1に記載の微細パターン形成方法。
  4.  前記第1シリコン酸化膜はALDを利用して形成することを特徴とする請求項3に記載のパターン形成方法。
  5.  前記物質膜パターンはラインタイプのパターンであることを特徴とする請求項1に記載の微細パターン形成方法。
  6.  前記物質膜パターンはビットラインパターンまたはワードラインパターンであることを特徴とする請求項5に記載の微細パターン形成方法。
  7.  前記物質膜は第2シリコン酸化膜、ポリシリコン膜、金属シリサイド膜及び反射防止膜の積層体であることを特徴とする請求項6に記載の微細パターン形成方法。
  8.  前記物質膜パターンはホールタイプのパターンであることを特徴とする請求項1に記載の微細パターン形成方法。
  9.  半導体基板上に形成された物質膜をエッチングして微細パターンを形成する方法において、
     前記物質膜上にフォトレジスト膜を形成する段階と、
     前記フォトレジスト膜を露光及び現像してフォトレジストパターンを形成する段階と、
     前記物質膜及びフォトレジストパターン上に前記フォトレジストパターンに損傷が生じないようにコンフォーマルにシリコン酸化膜を形成する段階と、
     エッチングが前記フォトレジストパターンより前記第1シリコン酸化膜に対してもっとよく生じる工程条件で前記物質膜をエッチングする第1ドライエッチング段階と、
     エッチングが前記第1シリコン酸化膜より前記フォトレジストパターンに対してもっとよくよく生じる工程条件で前記物質膜をエッチングして物質膜パターンを形成する第2ドライエッチング段階と、を含むことを特徴とする微細パターン形成方法。
  10.  前記第1シリコン酸化膜は常温〜400℃の間の温度で形成することを特徴とする請求項9に記載の微細パターン形成方法。
  11.  前記第1シリコン酸化膜は200Å以下の厚さに形成することを特徴とする請求項9に記載の微細パターン形成方法。
  12.  前記第1シリコン酸化膜はALDを利用して形成することを特徴とする請求項11に記載のパターン形成方法。
  13.  前記第1ドライエッチング段階では、前記フォトレジストパターンの側壁に前記第1シリコン酸化膜でスペーサを形成することを特徴とする請求項9に記載の微細パターン形成方法。
  14.  前記第2ドライエッチング段階では、ポリマー膜が前記フォトレジストパターンの上部に形成されることを特徴とする請求項9に記載の微細パターン形成方法。
  15.  前記物質膜パターンはラインタイプのパターンであることを特徴とする請求項9に記載の微細パターン形成方法。
  16.  前記物質膜パターンはビットラインパターンまたはワードラインパターンであることを特徴とする請求項15に記載の微細パターン形成方法。
  17.  前記物質膜は第2シリコン酸化膜、ポリシリコン膜、金属シリサイド膜及び反射防止膜の積層体であることを特徴とする請求項16に記載の微細パターン形成方法。
  18.  前記物質膜パターンはホールタイプパターンであることを特徴とする請求項9に記載の微細パターン形成方法。
     
     
JP2003290758A 2002-08-09 2003-08-08 シリコン酸化膜を利用した微細パターン形成方法 Pending JP2004080033A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0047233A KR100480610B1 (ko) 2002-08-09 2002-08-09 실리콘 산화막을 이용한 미세 패턴 형성방법

Publications (1)

Publication Number Publication Date
JP2004080033A true JP2004080033A (ja) 2004-03-11

Family

ID=31492862

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003290758A Pending JP2004080033A (ja) 2002-08-09 2003-08-08 シリコン酸化膜を利用した微細パターン形成方法

Country Status (3)

Country Link
US (1) US6989231B2 (ja)
JP (1) JP2004080033A (ja)
KR (1) KR100480610B1 (ja)

Cited By (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006048035A (ja) * 2004-07-22 2006-02-16 Samsung Electronics Co Ltd 半導体素子製造用のマスクパターンとその形成方法、及び微細パターンを有する半導体素子の製造方法
JP2009505421A (ja) * 2005-08-18 2009-02-05 ラム リサーチ コーポレーション ラインエッジ粗さを低減させた特徴のエッチング
JP2009094279A (ja) * 2007-10-09 2009-04-30 Elpida Memory Inc ホールパターンの形成方法および半導体装置の製造方法
JP2009267112A (ja) * 2008-04-25 2009-11-12 Tokyo Electron Ltd エッチングマスク形成方法、エッチング方法、および半導体デバイスの製造方法
JP2010527138A (ja) * 2006-04-07 2010-08-05 マイクロン テクノロジー, インク. 簡易化ピッチダブリング工程
JP2010530139A (ja) * 2007-06-15 2010-09-02 アプライド マテリアルズ インコーポレイテッド パターンローディング用途向けの低温sacvdプロセス
JP2010212371A (ja) * 2009-03-09 2010-09-24 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
JP2010534924A (ja) * 2007-06-15 2010-11-11 アプライド マテリアルズ インコーポレイテッド 基板ギャップ内に犠牲酸化物ライナを形成する酸素sacvd
JP2011082560A (ja) * 2007-06-08 2011-04-21 Tokyo Electron Ltd 微細パターンの形成方法
JP2012054343A (ja) * 2010-08-31 2012-03-15 Tokyo Electron Ltd 微細パターンの形成方法
US8207583B2 (en) 2006-03-02 2012-06-26 Micron Technology, Inc. Memory device comprising an array portion and a logic portion
US8266558B2 (en) 2005-09-01 2012-09-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US8273258B2 (en) 2009-01-07 2012-09-25 Tokyo Electron Limited Fine pattern forming method
US8334211B2 (en) 2006-04-25 2012-12-18 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US8338085B2 (en) 2004-09-02 2012-12-25 Micron Technology, Inc. Method to align mask patterns
US8390034B2 (en) 2007-12-18 2013-03-05 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8426118B2 (en) 2005-08-31 2013-04-23 Micron Technology, Inc. Method of forming pitch multiplied contacts
US8426117B2 (en) 2008-09-29 2013-04-23 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US8449805B2 (en) 2006-06-01 2013-05-28 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US8450829B2 (en) 2006-09-14 2013-05-28 Micron Technology, Inc. Efficient pitch multiplication process
US8479384B2 (en) 2005-09-01 2013-07-09 Micron Technology, Inc. Methods for integrated circuit fabrication with protective coating for planarization
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8507384B2 (en) 2008-03-21 2013-08-13 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8507341B2 (en) 2005-03-28 2013-08-13 Micron Technology, Inc. Integrated circuit fabrication
US8557704B2 (en) 2006-08-30 2013-10-15 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8592940B2 (en) 2006-06-02 2013-11-26 Micron Technology, Inc. Topography based patterning
US8598041B2 (en) 2005-06-02 2013-12-03 Micron Technology, Inc. Method for positioning spacers in pitch multiplication
KR101368544B1 (ko) 2007-05-14 2014-02-27 마이크론 테크놀로지, 인크. 간이화한 피치 더블링 프로세스 플로우
US8703000B2 (en) 2010-12-20 2014-04-22 Tokyo Electron Limited Slimming method of carbon-containing thin film and oxidation apparatus
JP2014112655A (ja) * 2012-10-30 2014-06-19 Dainippon Printing Co Ltd ナノインプリントモールドおよびその製造方法
US8871648B2 (en) 2007-12-06 2014-10-28 Micron Technology, Inc. Method for forming high density patterns
US8895232B2 (en) 2004-09-01 2014-11-25 Micron Technology, Inc. Mask material conversion
US8928111B2 (en) 2008-07-03 2015-01-06 Micron Technology, Inc. Transistor with high breakdown voltage having separated drain extensions
US9099402B2 (en) 2005-05-23 2015-08-04 Micron Technology, Inc. Integrated circuit structure having arrays of small, closely spaced features
US9099314B2 (en) 2005-09-01 2015-08-04 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US9721766B2 (en) 2015-10-06 2017-08-01 Tokyo Electron Limited Method for processing target object
KR20180018416A (ko) 2016-08-12 2018-02-21 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20180025202A (ko) 2016-08-29 2018-03-08 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20180065901A (ko) 2016-12-07 2018-06-18 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20190009758A (ko) 2016-05-25 2019-01-29 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20190022394A (ko) 2017-08-25 2019-03-06 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20190026844A (ko) 2016-07-08 2019-03-13 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20190034645A (ko) 2016-08-05 2019-04-02 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20190075952A (ko) 2016-11-07 2019-07-01 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20190077234A (ko) 2017-12-25 2019-07-03 도쿄엘렉트론가부시키가이샤 기판을 처리하는 방법
JP2019145608A (ja) * 2018-02-19 2019-08-29 東京エレクトロン株式会社 処理方法
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US10777425B2 (en) 2017-12-15 2020-09-15 Tokyo Electron Limited Method of processing substrate
KR20210039308A (ko) 2019-10-01 2021-04-09 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 플라즈마 처리 장치

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100788371B1 (ko) * 2006-08-02 2008-01-02 동부일렉트로닉스 주식회사 플래시 메모리 소자 제조 방법
US7906275B2 (en) * 2006-08-31 2011-03-15 Stc.Unm Self-aligned spatial frequency doubling
KR100761857B1 (ko) * 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US20080157159A1 (en) * 2006-12-28 2008-07-03 International Business Machines Corporation Highly tunable metal-on-semiconductor varactor
KR100858877B1 (ko) * 2007-08-13 2008-09-17 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR100946080B1 (ko) * 2007-12-28 2010-03-10 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
WO2009093102A1 (en) * 2008-01-23 2009-07-30 Freescale Semiconductor, Inc. Method of forming openings in a semiconductor device and a semiconductor device fabricated by the method
KR20100044029A (ko) * 2008-10-21 2010-04-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110008972A1 (en) * 2009-07-13 2011-01-13 Daniel Damjanovic Methods for forming an ald sio2 film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8470187B2 (en) * 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6127535B2 (ja) * 2012-02-03 2017-05-17 大日本印刷株式会社 ナノインプリント用テンプレートの製造方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9508719B2 (en) * 2014-11-26 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device with controlled end-to-end critical dimension and method for forming the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6537473B2 (ja) * 2015-10-06 2019-07-03 東京エレクトロン株式会社 被処理体を処理する方法
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11424123B2 (en) * 2020-02-25 2022-08-23 Tokyo Electron Limited Forming a semiconductor feature using atomic layer etch
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62194624A (ja) * 1986-02-21 1987-08-27 Oki Electric Ind Co Ltd 微細パタ−ンの形成方法
KR970024184A (ko) * 1995-10-16 1997-05-30 김광호 반도체장치의 캐패시터 제조방법(Method of fabricating a capacitor in semiconductor device)
KR19980054746A (ko) * 1996-12-27 1998-09-25 김광호 반도체 장치의 패턴 분리방법
US6004853A (en) * 1999-05-27 1999-12-21 Vanguard International Semiconductor Corporation Method to improve uniformity and the critical dimensions of a DRAM gate structure

Cited By (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006048035A (ja) * 2004-07-22 2006-02-16 Samsung Electronics Co Ltd 半導体素子製造用のマスクパターンとその形成方法、及び微細パターンを有する半導体素子の製造方法
US8895232B2 (en) 2004-09-01 2014-11-25 Micron Technology, Inc. Mask material conversion
US8338085B2 (en) 2004-09-02 2012-12-25 Micron Technology, Inc. Method to align mask patterns
US9147608B2 (en) 2005-03-28 2015-09-29 Micron Technology, Inc. Integrated circuit fabrication
US8859362B2 (en) 2005-03-28 2014-10-14 Micron Technology, Inc. Integrated circuit fabrication
US9412594B2 (en) 2005-03-28 2016-08-09 Micron Technology, Inc. Integrated circuit fabrication
US8507341B2 (en) 2005-03-28 2013-08-13 Micron Technology, Inc. Integrated circuit fabrication
US9099402B2 (en) 2005-05-23 2015-08-04 Micron Technology, Inc. Integrated circuit structure having arrays of small, closely spaced features
US8865598B2 (en) 2005-06-02 2014-10-21 Micron Technology, Inc. Method for positioning spacers in pitch multiplication
US8598041B2 (en) 2005-06-02 2013-12-03 Micron Technology, Inc. Method for positioning spacers in pitch multiplication
US9117766B2 (en) 2005-06-02 2015-08-25 Micron Technology, Inc. Method for positioning spacers in pitch multiplication
KR101257532B1 (ko) * 2005-08-18 2013-04-23 램 리써치 코포레이션 감소된 라인 에지 거칠기를 갖는 피처 에칭
JP2009505421A (ja) * 2005-08-18 2009-02-05 ラム リサーチ コーポレーション ラインエッジ粗さを低減させた特徴のエッチング
US8609324B2 (en) 2005-08-31 2013-12-17 Micron Technology, Inc. Method of forming pitch multiplied contacts
US8426118B2 (en) 2005-08-31 2013-04-23 Micron Technology, Inc. Method of forming pitch multiplied contacts
US8601410B2 (en) 2005-09-01 2013-12-03 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US9003651B2 (en) 2005-09-01 2015-04-14 Micron Technology, Inc. Methods for integrated circuit fabrication with protective coating for planarization
US9679781B2 (en) 2005-09-01 2017-06-13 Micron Technology, Inc. Methods for integrated circuit fabrication with protective coating for planarization
US8266558B2 (en) 2005-09-01 2012-09-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US8479384B2 (en) 2005-09-01 2013-07-09 Micron Technology, Inc. Methods for integrated circuit fabrication with protective coating for planarization
US9082829B2 (en) 2005-09-01 2015-07-14 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US9099314B2 (en) 2005-09-01 2015-08-04 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US10396281B2 (en) 2005-09-01 2019-08-27 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US8772840B2 (en) 2006-03-02 2014-07-08 Micron Technology, Inc. Memory device comprising an array portion and a logic portion
US8207583B2 (en) 2006-03-02 2012-06-26 Micron Technology, Inc. Memory device comprising an array portion and a logic portion
JP2010527138A (ja) * 2006-04-07 2010-08-05 マイクロン テクノロジー, インク. 簡易化ピッチダブリング工程
US9184159B2 (en) 2006-04-07 2015-11-10 Micron Technology, Inc. Simplified pitch doubling process flow
US9553082B2 (en) 2006-04-25 2017-01-24 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US8889020B2 (en) 2006-04-25 2014-11-18 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US8334211B2 (en) 2006-04-25 2012-12-18 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US8449805B2 (en) 2006-06-01 2013-05-28 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US8663532B2 (en) 2006-06-01 2014-03-04 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US8592940B2 (en) 2006-06-02 2013-11-26 Micron Technology, Inc. Topography based patterning
US9478497B2 (en) 2006-08-30 2016-10-25 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US8557704B2 (en) 2006-08-30 2013-10-15 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US8883644B2 (en) 2006-08-30 2014-11-11 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US9035416B2 (en) 2006-09-14 2015-05-19 Micron Technology, Inc. Efficient pitch multiplication process
US8450829B2 (en) 2006-09-14 2013-05-28 Micron Technology, Inc. Efficient pitch multiplication process
KR101368544B1 (ko) 2007-05-14 2014-02-27 마이크론 테크놀로지, 인크. 간이화한 피치 더블링 프로세스 플로우
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8383522B2 (en) 2007-06-08 2013-02-26 Tokyo Electron Limited Micro pattern forming method
JP2011082560A (ja) * 2007-06-08 2011-04-21 Tokyo Electron Ltd 微細パターンの形成方法
US7989354B2 (en) 2007-06-08 2011-08-02 Tokyo Electron Limited Patterning method
JP2010534924A (ja) * 2007-06-15 2010-11-11 アプライド マテリアルズ インコーポレイテッド 基板ギャップ内に犠牲酸化物ライナを形成する酸素sacvd
JP2010530139A (ja) * 2007-06-15 2010-09-02 アプライド マテリアルズ インコーポレイテッド パターンローディング用途向けの低温sacvdプロセス
US9412591B2 (en) 2007-07-31 2016-08-09 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP2009094279A (ja) * 2007-10-09 2009-04-30 Elpida Memory Inc ホールパターンの形成方法および半導体装置の製造方法
US8871648B2 (en) 2007-12-06 2014-10-28 Micron Technology, Inc. Method for forming high density patterns
US8932960B2 (en) 2007-12-18 2015-01-13 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US10497611B2 (en) 2007-12-18 2019-12-03 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US9666695B2 (en) 2007-12-18 2017-05-30 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8390034B2 (en) 2007-12-18 2013-03-05 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US9941155B2 (en) 2007-12-18 2018-04-10 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8507384B2 (en) 2008-03-21 2013-08-13 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US9048194B2 (en) 2008-03-21 2015-06-02 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
JP4550126B2 (ja) * 2008-04-25 2010-09-22 東京エレクトロン株式会社 エッチングマスク形成方法、エッチング方法、および半導体デバイスの製造方法
JP2009267112A (ja) * 2008-04-25 2009-11-12 Tokyo Electron Ltd エッチングマスク形成方法、エッチング方法、および半導体デバイスの製造方法
US8928111B2 (en) 2008-07-03 2015-01-06 Micron Technology, Inc. Transistor with high breakdown voltage having separated drain extensions
US8426117B2 (en) 2008-09-29 2013-04-23 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US10879066B2 (en) 2008-09-29 2020-12-29 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US11404271B2 (en) 2008-09-29 2022-08-02 Tokyo Electron Limited Film deposition apparatus for fine pattern forming
US10191378B2 (en) 2008-09-29 2019-01-29 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US10176992B2 (en) 2008-09-29 2019-01-08 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US11881379B2 (en) 2008-09-29 2024-01-23 Tokyo Electron Limited Film deposition apparatus for fine pattern forming
US10141187B2 (en) 2008-09-29 2018-11-27 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US11404272B2 (en) 2008-09-29 2022-08-02 Tokyo Electron Limited Film deposition apparatus for fine pattern forming
US8871646B2 (en) 2008-11-24 2014-10-28 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8273258B2 (en) 2009-01-07 2012-09-25 Tokyo Electron Limited Fine pattern forming method
JP2010212371A (ja) * 2009-03-09 2010-09-24 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
JP2012054343A (ja) * 2010-08-31 2012-03-15 Tokyo Electron Ltd 微細パターンの形成方法
US8703000B2 (en) 2010-12-20 2014-04-22 Tokyo Electron Limited Slimming method of carbon-containing thin film and oxidation apparatus
JP2014112655A (ja) * 2012-10-30 2014-06-19 Dainippon Printing Co Ltd ナノインプリントモールドおよびその製造方法
US9721766B2 (en) 2015-10-06 2017-08-01 Tokyo Electron Limited Method for processing target object
KR20190009758A (ko) 2016-05-25 2019-01-29 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US10777422B2 (en) 2016-05-25 2020-09-15 Tokyo Electron Limited Method for processing target object
KR20220119756A (ko) 2016-05-25 2022-08-30 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US10504745B2 (en) 2016-05-25 2019-12-10 Tokyo Electron Limited Method for processing target object
KR20190026844A (ko) 2016-07-08 2019-03-13 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US10692726B2 (en) 2016-07-08 2020-06-23 Tokyo Electron Limited Method for processing workpiece
US11056370B2 (en) 2016-08-05 2021-07-06 Tokyo Electron Limited Method for processing workpiece
KR20190034645A (ko) 2016-08-05 2019-04-02 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US10707088B2 (en) 2016-08-12 2020-07-07 Tokyo Electron Limited Method of processing target object
KR20180018416A (ko) 2016-08-12 2018-02-21 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US10475659B2 (en) 2016-08-29 2019-11-12 Tokyo Electron Limited Method of processing target object
KR20230066287A (ko) 2016-08-29 2023-05-15 도쿄엘렉트론가부시키가이샤 기판 처리 장치
US10217643B2 (en) 2016-08-29 2019-02-26 Tokyo Electron Limited Method of processing target object
US11658036B2 (en) 2016-08-29 2023-05-23 Tokyo Electron Limited Apparatus for processing substrate
KR20180025202A (ko) 2016-08-29 2018-03-08 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US11380551B2 (en) 2016-08-29 2022-07-05 Tokyo Electron Limited Method of processing target object
KR20190075952A (ko) 2016-11-07 2019-07-01 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20230127373A (ko) 2016-11-07 2023-08-31 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US11081360B2 (en) 2016-11-07 2021-08-03 Tokyo Electron Limited Method for processing workpiece
US10832891B2 (en) 2016-12-07 2020-11-10 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR20180065901A (ko) 2016-12-07 2018-06-18 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US10748766B2 (en) 2017-08-25 2020-08-18 Tokyo Electron Limited Workpiece processing method
US11322354B2 (en) 2017-08-25 2022-05-03 Tokyo Electron Limited Workpiece processing method
KR20190022394A (ko) 2017-08-25 2019-03-06 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US11735423B2 (en) 2017-08-25 2023-08-22 Tokyo Electron Limited Workpiece processing method
US10777425B2 (en) 2017-12-15 2020-09-15 Tokyo Electron Limited Method of processing substrate
US11488836B2 (en) 2017-12-25 2022-11-01 Tokyo Electron Limited Apparatus for substrate processing
KR20190077234A (ko) 2017-12-25 2019-07-03 도쿄엘렉트론가부시키가이샤 기판을 처리하는 방법
JP2019145608A (ja) * 2018-02-19 2019-08-29 東京エレクトロン株式会社 処理方法
US11469111B2 (en) 2019-10-01 2022-10-11 Tokyo Electron Limited Substrate processing method and plasma processing apparatus
KR20210039308A (ko) 2019-10-01 2021-04-09 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 플라즈마 처리 장치

Also Published As

Publication number Publication date
US20040029052A1 (en) 2004-02-12
US6989231B2 (en) 2006-01-24
KR20040014064A (ko) 2004-02-14
KR100480610B1 (ko) 2005-03-31

Similar Documents

Publication Publication Date Title
JP2004080033A (ja) シリコン酸化膜を利用した微細パターン形成方法
KR100967740B1 (ko) 피치 멀티플리케이션을 위한 스페이서들을 갖는 마스크패턴들 및 그의 형성 방법
US7892981B2 (en) Method of forming a micro pattern of a semiconductor device
US7943498B2 (en) Method of forming micro pattern in semiconductor device
US8110340B2 (en) Method of forming a pattern of a semiconductor device
TWI251296B (en) Method for fabricating semiconductor device capable of preventing damage by wet cleaning process
US20020163026A1 (en) Capacitor and method of manufacturing the same
US20060124587A1 (en) Method for fabricating semiconductor device using ArF photolithography capable of protecting tapered profile of hard mask
US20080261389A1 (en) Method of forming micro pattern of semiconductor device
JP2010045264A (ja) 半導体装置の製造方法
JP4384199B2 (ja) 半導体装置の製造方法
US6204117B1 (en) Removal of silicon oxynitride on a capacitor electrode for selective hemispherical grain growth
KR100399071B1 (ko) 캐패시터의 제조 방법
KR100634258B1 (ko) 반도체 장치의 제조방법
KR100312973B1 (ko) 메모리소자의 메탈 전극 형성방법
KR100388453B1 (ko) 캐패시터 제조 방법
JP2002016134A (ja) 半導体装置の製造方法
KR100275934B1 (ko) 반도체장치의 미세도전라인 형성방법
JPH11135628A (ja) 半導体装置の製造方法
KR100910868B1 (ko) 반도체소자 제조 방법
KR100744671B1 (ko) 반도체 소자의 미세 패턴 형성방법
JP3076951B2 (ja) 半導体装置の製造方法
JP2002026020A (ja) 半導体装置の製造方法
KR20060118734A (ko) 플래시 메모리 소자의 제조 방법
KR20040065442A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060407

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090203

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090430

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100223