KR20180025202A - 피처리체를 처리하는 방법 - Google Patents

피처리체를 처리하는 방법 Download PDF

Info

Publication number
KR20180025202A
KR20180025202A KR1020170106562A KR20170106562A KR20180025202A KR 20180025202 A KR20180025202 A KR 20180025202A KR 1020170106562 A KR1020170106562 A KR 1020170106562A KR 20170106562 A KR20170106562 A KR 20170106562A KR 20180025202 A KR20180025202 A KR 20180025202A
Authority
KR
South Korea
Prior art keywords
gas
processed
protective film
sequence
plasma
Prior art date
Application number
KR1020170106562A
Other languages
English (en)
Other versions
KR102528430B1 (ko
Inventor
마사히로 타바타
요시히데 키하라
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180025202A publication Critical patent/KR20180025202A/ko
Priority to KR1020230055515A priority Critical patent/KR20230066287A/ko
Application granted granted Critical
Publication of KR102528430B1 publication Critical patent/KR102528430B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

피처리체 상의 패턴 형성에 있어서, 고집적화에 수반하는 미세화와 함께 다양한 형상의 패턴의 형성에 대응 가능한 기술을 제공한다. 일실시 형태에 따른 피처리체를 처리하는 방법에서는, 피처리체는 제 1 볼록부와 제 2 볼록부와 피에칭층과 홈부를 구비하고 있으며, 홈부는 피처리체의 주면에 마련되고 피에칭층에 마련되며 제 1 볼록부와 제 2 볼록부에 의해 구획 형성되어 있고, 홈부의 내측의 표면은 피처리체의 당해 주면에 포함되어 있으며, 이 방법은, 제 1 시퀀스를 N(N은 2 이상의 정수) 회 반복하여 실행하고, 제 1 시퀀스는 (a) 피처리체가 수용된 플라즈마 처리 장치의 처리 용기 내에 있어서 피처리체의 주면에 보호막을 컨포멀하게 형성하는 공정과 (b) 상기 공정 (a)의 실행 후에 있어서, 처리 용기 내에서 발생시킨 가스의 플라즈마에 의해 피처리체에 있어서의 홈부의 바닥부를 에칭하는 공정을 포함한다.

Description

피처리체를 처리하는 방법 {METHOD OF PROCESSING TARGET OBJECT}
본 발명의 실시 형태는 피처리체를 처리하는 방법에 관한 것으로서, 특히 플라즈마를 이용하여 반도체 기판의 표면 처리를 행하는 방법에 관한 것이다.
플라즈마 처리 장치를 이용하여 웨이퍼 등의 피처리체에 대하여 플라즈마 처리가 행해지는 경우가 있다. 플라즈마 처리의 일종으로서 플라즈마 에칭이 있다. 플라즈마 에칭은 피에칭층 상에 마련된 마스크의 패턴을 당해 피에칭층에 전사하기 위하여 행해진다. 마스크로서는 일반적으로 레지스트 마스크가 이용된다. 레지스트 마스크는 포토리소그래피 기술에 의해 형성된다. 따라서, 피에칭층에 형성되는 패턴의 한계 치수는 포토리소그래피 기술에 의해 형성되는 레지스트 마스크의 해상도에 의존한다.
그러나, 전자 디바이스의 고집적화에 대한 요구가 점점 높아지고 있어, 레지스트 마스크의 해상 한계보다 작은 치수의 패턴을 형성하는 것이 요구되고 있지만, 레지스트 마스크의 해상도에는 해상 한계가 있다. 이 때문에, 특허 문헌 1에 기재되어 있는 바와 같이, 레지스트 마스크 상에 실리콘 산화막을 형성함으로써 당해 레지스트 마스크의 치수를 조정하여, 당해 레지스트 마스크에 의해 제공되는 개구의 폭을 축소하는 기술이 제안되고 있다.
일본특허공개공보 2004-080033호
한편, 최근의 전자 디바이스의 고집적화에 수반하는 미세화에 따라, 피처리체 상의 패턴 형성에 있어서, 고정밀도의 최소 선폭(CD : Critical Dimension)의 제어가 요구되고, 또한, 다양한 형상의 패턴의 형성이 요구되는 경우가 있다.
이상과 같이, 피처리체 상의 패턴 형성에 있어서는, 고집적화에 수반하는 미세화와 함께 다양한 형상의 패턴의 형성에 대응 가능한 기술의 개발이 요망되고 있다.
일태양에 있어서는 피처리체를 처리하는 방법이 제공된다. 이 피처리체는, 제 1 볼록부와 제 2 볼록부와 피에칭층과 홈부를 구비하고 있으며, 피에칭층은, 제 1 볼록부에 포함되어 있는 영역과 제 2 볼록부에 포함되어 있는 영역을 포함하고 있고, 홈부는, 피처리체의 주면(主面)에 마련되며, 피에칭층에 마련되고, 제 1 볼록부와 이 제 2 볼록부에 의해 구획 형성되어 있으며, 홈부의 내측의 표면은, 피처리체의 이 주면에 포함되어 있다. 이 방법은 제 1 시퀀스를 N(N은 2 이상의 정수) 회 반복하여 실행한다. 제 1 시퀀스는, 피처리체가 수용된 플라즈마 처리 장치의 처리 용기 내에 있어서, 피처리체의 주면에 보호막을 컨포멀로 형성하는 공정(공정(a)이라고 함)과, 공정(a)의 실행 후에, 처리 용기 내에서 발생시킨 가스의 플라즈마에 의해 피처리체에 있어서의 홈부의 바닥부를 에칭하는 공정(공정(b)라고 함)을 구비한다.
상기 방법에서는, 피처리체의 주면(홈부의 내측의 표면을 포함함)에 보호막을 컨포멀로 형성하는 공정(a)과, 당해 주면에 마련된 홈부의 바닥부를 공정(a)의 실행 후에 에칭하는 공정(b)이, 교호로 반복하여 실행될 수 있다. 따라서, 복수회 실행되는 공정(a)마다 보호막의 막 두께 등을 적합하게 조절하고, 또한, 복수회 실행되는 공정(b)마다 에칭량 등을 적합하게 조절함으로써, 원하는 홈부의 다양한 형상에 따라 비교적 정밀하게 홈부의 가공이 가능해진다.
일실시 형태에 있어서, 공정(a)에서는, 처리 용기 내에 제 1 가스를 공급하는 공정(공정(c)이라고 함)과, 공정(c)의 실행 후에, 처리 용기 내의 공간을 퍼지하는 공정(공정(d)이라고 함)과, 공정(d)의 실행 후에, 처리 용기 내에 있어서 제 2 가스의 플라즈마를 생성하는 공정(공정(e)이라고 함)과, 공정(e)의 실행 후에, 처리 용기 내의 공간을 퍼지하는 공정을 포함하는 제 2 시퀀스를 반복하여 실행함으로써, 피처리체의 주면에 보호막을 컨포멀로 형성한다. 공정(c)은, 제 1 가스의 플라즈마를 생성하지 않는다. 이와 같이, 공정(a)은, ALD(Atomic Layer Deposition)법과 동일한 방법에 의해, 피처리체의 주면(홈부의 내측의 표면을 포함함)에 보호막이 컨포멀로 형성되므로, 피처리체의 주면에 대한 보호의 강도가 향상되고, 또한 피처리체의 주면을 보호하는 보호막이 균일한 막 두께로 형성될 수 있다.
일실시 형태에 있어서, 공정(a)에서는, 처리 용기 내에 제 1 가스를 공급하는 공정(공정(f)이라고 함)과, 공정(f)의 실행 후에, 처리 용기 내의 공간을 퍼지하는 공정을 실행함으로써, 피처리체의 주면에 보호막을 컨포멀로 형성한다. 이 공정(a)에 이어지는 공정(b)에서는 처리 용기 내에서 발생시킨 산소를 함유하는 가스의 플라즈마에 의해 피처리체에 있어서의 홈부의 이 바닥부를 에칭한다. 공정(f)은, 이 제 1 가스의 플라즈마를 생성하지 않는다. 이와 같이, 공정(a)은, 제 1 가스에 의해 피처리체의 주면(홈부의 내측의 표면을 포함함)에 반응 전구체가 형성될 수 있는 공정(f) 및 공정(f)의 실행 후에 처리 용기 내의 공간을 퍼지하는 공정에 의해서만 이루어지므로, 이 공정(a)에 의해 형성되는 보호막은, 공정(f)에서 형성되는 반응 전구체가 되고, 따라서, 비교적 얇은 막이 될 수 있다. 또한, 이 공정(a)에 이어지는 공정(b)에서는 산소를 함유하는 가스의 플라즈마가 이용되므로, 공정(f)에서 형성된 반응 전구체에 대하여 산소의 첨가가 가능해지며, ALD법과 동일한 방법에 의해 형성되는 보호막과 동일한 조성을 가지는 보호막이 비교적 얇은 막 두께로 형성 가능해지고, 또한, 산소 가스의 첨가가 공정(b)의 에칭 시에 행할 수 있으므로, 처리 공정의 효율화가 실현될 수 있다.
일실시 형태에 있어서, 제 1 시퀀스의 N 회의 실행에서는, 제 1 처리를 포함하는 이 제 1 시퀀스를 M(M은 1 이상 또한 N-1 이하의 정수) 회 실행하고, 제 2 처리를 포함하는 이 제 1 시퀀스를 N-M 회 실행한다. 제 1 처리는 공정(a)에 포함되어 있다. 제 1 처리에서는, 처리 용기 내에 제 1 가스를 공급하는 공정(공정(g)이라고 함)과, 공정(g)의 실행 후에, 처리 용기 내의 공간을 퍼지하는 공정(공정(h)이라고 함)과, 공정(h)의 실행 후에, 처리 용기 내에 있어서 제 2 가스의 플라즈마를 생성하는 공정(공정(i)이라고 함)과, 공정(i)의 실행 후에, 처리 용기 내의 공간을 퍼지하는 공정을 포함하는 제 2 시퀀스를 반복하여 실행함으로써, 피처리체의 주면에 보호막을 컨포멀로 형성한다. 제 2 처리는 공정(a)에 포함되어 있다. 제 2 처리에서는, 처리 용기 내에 제 1 가스를 공급하는 공정(공정(j)이라고 함)과, 공정(j)의 실행 후에, 처리 용기 내의 공간을 퍼지하는 공정을 실행함으로써, 피처리체의 주면에 보호막을 컨포멀로 형성한다. 제 2 처리에 이어지는 공정(b)에서는 처리 용기 내에서 발생시킨 산소를 함유하는 가스의 플라즈마에 의해 피처리체에 있어서의 홈부의 이 바닥부를 에칭한다. 제 1 처리에 있어서 실행되는 공정(g) 및 제 2 처리에 있어서 실행되는 공정(j) 중 어느 공정에 있어서도, 제 1 가스의 플라즈마를 생성하지 않는다. 이와 같이, 제 1 처리에서는, ALD법과 동일한 방법에 의해, 피처리체의 주면(홈부의 내측의 표면을 포함함)에 보호막이 컨포멀로 형성되므로, 피처리체의 주면에 대한 보호의 강도가 향상되고, 또한 피처리체의 주면을 보호하는 보호막이 균일한 막 두께로 형성될 수 있다. 또한, 제 2 처리에서는, 제 1 가스에 의해 피처리체의 주면(홈부의 내측의 표면을 포함함)에 반응 전구체가 형성될 수 있는 공정(j) 및 공정(j)의 실행 후에 처리 용기 내의 공간을 퍼지하는 공정에 의해서만 이루어지므로, 이 제 2 처리에 의해 형성되는 보호막은, 공정(j)에서 형성되는 반응 전구체가 되고, 따라서, 비교적 얇은 막이 될 수 있다. 또한, 이 제 2 처리에 이어지는 공정(b)에서는 산소를 함유하는 가스의 플라즈마가 이용되므로, 공정(j)에서 형성된 반응 전구체에 대하여 산소의 첨가가 가능해지며, ALD법과 동일한 방법에 의해 형성되는 보호막과 동일한 조성을 가지는 보호막이 비교적 얇은 막 두께로 형성 가능해지고, 또한, 산소 가스의 첨가가 공정(b)의 에칭 시에 행할 수 있으므로, 처리 공정의 효율화가 실현될 수 있다. 그리고, 제 1 시퀀스의 N 회의 실행에서는, 상기한 제 1 처리를 포함하는 제 1 시퀀스를 M 회 실행하고, 상기한 제 2 처리를 포함하는 제 1 시퀀스를 N-M 회 실행하므로, 홈부의 다양한 형상의 형성에 충분하게 대응할 수 있다.
일실시 형태에 있어서, 제 2 가스는 산소 원자를 포함할 수 있다. 예를 들면, 제 2 가스는 이산화탄소 가스 또는 산소 가스를 포함할 수 있다. 이와 같이, 제 2 가스가 산소 원자를 포함하므로, 공정(e, i)의 각각에 있어서, 공정(c, g)의 각각에 있어서 형성된 실리콘의 반응 전구체와 당해 산소 원자가 결합함으로써, 산화 실리콘의 보호막이 컨포멀로 형성될 수 있다. 또한, 제 2 가스가 이산화탄소 가스인 경우, 제 2 가스가 탄소 원자를 포함하므로, 산소 원자에 의한 침식이 당해 탄소 원자에 의해 억제될 수 있다.
일실시 형태에 있어서, 제 1 가스는 아미노실란계 가스를 포함할 수 있다. 이와 같이, 제 1 가스가 아미노실란계 가스를 포함하므로, 공정(c, f, g)의 각각에 의해, 실리콘의 반응 전구체가 피처리체의 주면의 원자층을 따라 형성될 수 있다.
일실시 형태에 있어서, 제 1 가스는 모노아미노실란을 포함할 수 있다. 이와 같이, 모노아미노실란을 포함하는 제 1 가스를 이용하여, 공정(c, f, g)의 각각에 있어서 실리콘의 반응 전구체의 형성을 행할 수 있다.
일실시 형태에 있어서, 제 1 가스에 포함되는 아미노실란계 가스는, 1 ∼ 3 개의 규소 원자를 가지는 아미노실란을 포함할 수 있다. 제 1 가스에 포함되는 아미노실란계 가스는, 1 ∼ 3 개의 아미노기를 가지는 아미노실란을 포함할 수 있다. 이와 같이, 제 1 가스에 포함되는 아미노실란계 가스에는, 1 ∼ 3 개의 규소 원자를 가지는 아미노실란을 이용할 수 있다. 또한, 제 1 가스에 포함되는 아미노실란계 가스에는, 1 ∼ 3 개의 아미노기를 가지는 아미노실란을 이용할 수 있다.
일실시 형태에 있어서, 공정(b)의 실행 전에 있어서, 공정(a)에서 형성된 보호막의 막 두께는, 2[nm] 이상 8[nm] 이하일 수 있다. 이와 같이, 공정(b)의 실행 전에 있어서, 공정(a)에서 형성된 보호막의 막 두께가 2[nm] 이상 8[nm] 이하인 경우에는, 특히 보호막의 막 두께가 2[nm]를 하회하는 경우와 비교하여, 이 보호막에 의해 덮여지는 피처리체의 모서리부에 대한 에칭의 효과를 저감할 수 있다. 따라서, 공정(b)의 에칭에 의한 피처리체의 변형의 정도를 저감할 수 있다.
이상 설명한 바와 같이, 피처리체 상의 패턴 형성에 있어서, 고집적화에 수반하는 미세화와 함께 다양한 형상의 패턴의 형성에 대응 가능한 기술이 제공된다.
도 1은 (a)부, (b)부 및 (c)부를 포함하고, 도 1의 (a)부는, 일실시 형태에 따른 방법의 주요한 부분을 나타내는 흐름도이며, 도 1의 (b)부는, 도 1의 (a)부에 나타내는 공정의 일부를 구체적으로 나타내는 흐름도이고, 도 1의 (c)부는, 도 1의 (a)부에 나타내는 공정의 일부를 구체적으로 나타내는 다른 흐름도이다.
도 2는 플라즈마 처리 장치의 일례를 나타내는 도이다.
도 3은 도 1에 나타내는 각 공정의 실행 전의 피처리체의 상태를 나타내는 단면도이다.
도 4는 (a)부 및 (b)부를 포함하고, 도 1에 나타내는 각 공정의 실행 후의 피처리체의 상태를, (a)부, (b)부의 순서로 나타내는 단면도이다.
도 5는 (a)부 및 (b)부를 포함하고, 도 1에 나타내는 각 공정의 실행 후의 피처리체의 상태를, (a)부 (b)부의 순서로 나타내는 단면도이다.
도 6은 (a)부, (b)부 및 (c)부를 포함하고, 도 1에 나타내는 보호막을 형성하는 시퀀스에 있어서의 보호막의 형성의 모습을, (a)부, (b)부, (c)부의 순서로, 모식적으로 나타내는 도이다.
도 7은 일실시 형태에 따른 방법에 있어서, 도 4의 (a)부에 나타내는 보호막의 막 두께와 도 4의 (b)부에 나타내는 에칭에 의해 형성되는 피에칭층의 모서리부의 높이의 대응을 나타내는 측정 결과의 일례를 나타내는 도이다.
이하, 도면을 참조하여 다양한 실시 형태에 대하여 상세하게 설명한다. 또한, 각 도면에 있어서 동일 또는 상당한 부분에 대해서는 동일한 부호를 부여하는 것으로 한다.
도 1은 일실시 형태의 방법을 나타내는 흐름도이다. 도 1에 나타내는 일실시 형태의 방법(MT)은 피처리체(이하, '웨이퍼'라고 하는 경우가 있음)를 처리하는 방법이다. 방법(MT)은, 도 1의 (a)부에 나타내는 바와 같이, 시퀀스(SQ1)(제 1 시퀀스)를 포함한다. 시퀀스(SQ1)는 공정(ST1) 및 공정(ST2)을 구비한다. 방법(MT)은 공정(ST3)을 더 포함한다. 공정(ST1)은, 도 1의 (b)부에 나타내는 공정(ST1a)(제 1 처리)을 포함하는 경우가 있다. 공정(ST1)은, 도 1의 (c)부에 나타내는 공정(ST1b)(제 2 처리)을 포함하는 경우가 있다. 또한, 일실시 형태의 방법(MT)은, 단일의 플라즈마 처리 장치(후술의 플라즈마 처리 장치(10))를 이용하여 실행하는 것이 가능하지만, 방법(MT)의 각 공정에 따라, 복수의 플라즈마 처리 장치(10)가 이용될 수 있다.
도 2는 플라즈마 처리 장치의 일례를 나타내는 도이다. 도 2에는, 피처리체를 처리하는 방법의 다양한 실시 형태에서 이용 가능한 플라즈마 처리 장치(10)의 단면구조가 개략적으로 나타나 있다. 도 2에 나타내는 바와 같이, 플라즈마 처리 장치(10)는 용량 결합형 플라즈마 에칭 장치이다.
플라즈마 처리 장치(10)는, 처리 용기(12), 배기구(12e), 반입반출구(12g), 지지부(14), 배치대(PD), 직류 전원(22), 스위치(23), 냉매 유로(24), 배관(26a), 배관(26b), 상부 전극(30), 절연성 차폐 부재(32), 전극판(34), 가스 토출홀(34a), 전극 지지체(36), 가스 확산실(36a), 가스 통류홀(36b), 가스 도입구(36c), 가스 공급관(38), 가스 소스군(群)(40), 밸브군(42), 유량 제어기군(45), 퇴적물 실드(46), 배기 플레이트(48), 배기 장치(50), 배기관(52), 게이트 밸브(54), 제 1 고주파 전원(62), 제 2 고주파 전원(64), 정합기(66), 정합기(68), 전원(70), 제어부(Cnt), 포커스 링(FR), 히터 전원(HP), 히터(HT)를 구비한다. 배치대(PD)는 정전 척(ESC), 하부 전극(LE)을 구비한다. 하부 전극(LE)은 제 1 플레이트(18a), 제 2 플레이트(18b)를 구비한다. 처리 용기(12)는 처리 공간(Sp)을 구획 형성한다.
처리 용기(12)는 대략 원통 형상을 가진다. 처리 용기(12)는 예를 들면, 알루미늄으로 구성된다. 처리 용기(12)의 내벽면은 양극 산화 처리가 실시되어 있다. 처리 용기(12)는 보안 접지된다.
지지부(14)는, 처리 용기(12)의 내측에 있어서, 처리 용기(12)의 바닥부 상에 마련된다. 지지부(14)는 대략 원통 형상의 형상을 구비한다. 지지부(14)는 예를 들면 절연 재료로 구성된다. 지지부(14)를 구성하는 절연 재료는 석영과 같이 산소를 포함할 수 있다. 지지부(14)는 처리 용기(12) 내에 있어서 처리 용기(12)의 바닥부로부터 연직 방향으로 연장된다.
배치대(PD)는 처리 용기(12) 내에 마련된다. 배치대(PD)는 지지부(14)에 의해 지지된다. 배치대(PD)는 배치대(PD)의 상면에 있어서 웨이퍼(W)를 유지한다. 웨이퍼(W)는 피처리체이다. 배치대(PD)는 하부 전극(LE) 및 정전 척(ESC)을 가진다.
하부 전극(LE)은 제 1 플레이트(18a) 및 제 2 플레이트(18b)를 포함한다. 제 1 플레이트(18a) 및 제 2 플레이트(18b)는, 예를 들면 알루미늄과 같은 금속으로 구성된다. 제 1 플레이트(18a) 및 제 2 플레이트(18b)는 대략 원반 형상의 형상을 구비한다. 제 2 플레이트(18b)는 제 1 플레이트(18a) 상에 마련된다. 제 2 플레이트(18b)는 제 1 플레이트(18a)에 전기적으로 접속된다.
정전 척(ESC)은 제 2 플레이트(18b) 상에 마련된다. 정전 척(ESC)은 한 쌍의 절연층의 사이, 또는, 한 쌍의 절연 시트의 사이에 있어서 도전막의 전극을 배치한 구조를 가진다. 직류 전원(22)은 스위치(23)를 개재하여 정전 척(ESC)의 전극에 전기적으로 접속된다. 정전 척(ESC)은 직류 전원(22)으로부터의 직류 전압에 의해 발생한 쿨롱력 등의 정전력에 의해 웨이퍼(W)를 흡착한다. 이에 의해, 정전 척(ESC)은 웨이퍼(W)를 유지할 수 있다.
포커스 링(FR)은, 웨이퍼(W)의 엣지 및 정전 척(ESC)을 둘러싸도록, 제 2 플레이트(18b)의 주연부 상에 배치된다. 포커스 링(FR)은 에칭의 균일성을 향상시키기 위하여 마련된다. 포커스 링(FR)은, 에칭 대상의 막의 재료에 의해 적절히 선택되는 재료로 구성되어 있으며, 예를 들면 석영으로 구성될 수 있다.
냉매 유로(24)는 제 2 플레이트(18b)의 내부에 마련된다. 냉매 유로(24)는 온도 조절 기구를 구성한다. 냉매 유로(24)에는 처리 용기(12)의 외부에 마련되는 칠러 유닛으로부터 배관(26a)을 통하여 냉매가 공급된다. 냉매 유로(24)에 공급되는 냉매는 배관(26b)을 통하여 칠러 유닛으로 복귀된다. 이와 같이, 냉매 유로(24)에는 냉매가 순환하도록 공급된다. 이 냉매의 온도를 제어함으로써, 정전 척(ESC)에 의해 지지되는 웨이퍼(W)의 온도가 제어된다. 가스 공급 라인(28)은 전열 가스 공급 기구로부터의 전열 가스, 예를 들면 He 가스를, 정전 척(ESC)의 상면과 웨이퍼(W)의 이면의 사이로 공급한다.
히터(HT)는 가열 소자이다. 히터(HT)는, 예를 들면, 제 2 플레이트(18b) 내에 매립된다. 히터 전원(HP)은 히터(HT)에 접속된다. 히터 전원(HP)으로부터 히터(HT)로 전력이 공급됨으로써, 배치대(PD)의 온도가 조정되고, 그리고, 배치대(PD) 상에 배치되는 웨이퍼(W)의 온도가 조정된다. 또한, 히터(HT)는 정전 척(ESC)에 내장될 수 있다.
상부 전극(30)은 배치대(PD)의 상방에 있어서, 배치대(PD)와 대향 배치된다. 하부 전극(LE)과 상부 전극(30)은 서로 대략 평행하게 마련된다. 상부 전극(30)과 하부 전극(LE)의 사이에는 처리 공간(Sp)이 제공된다. 처리 공간(Sp)은, 플라즈마 처리를 웨이퍼(W)에 행하기 위한 공간 영역이다.
상부 전극(30)은, 절연성 차폐 부재(32)를 개재하여, 처리 용기(12)의 상부에 지지된다. 절연성 차폐 부재(32)는 절연 재료로 구성되어 있으며, 예를 들면, 석영과 같이 산소를 포함할 수 있다. 상부 전극(30)은 전극판(34) 및 전극 지지체(36)를 포함할 수 있다. 전극판(34)은 처리 공간(Sp)에 면하고 있다. 전극판(34)은 복수의 가스 토출홀(34a)을 구비한다. 전극판(34)은 일실시 형태에서는 실리콘으로 구성될 수 있다. 다른 실시 형태에서는, 전극판(34)은 산화 실리콘으로 구성될 수 있다.
전극 지지체(36)는 전극판(34)을 착탈 가능하게 지지하는 것이며, 예를 들면 알루미늄과 같은 도전성 재료로 구성될 수 있다. 전극 지지체(36)는 수냉 구조를 가질 수 있다. 가스 확산실(36a)은 전극 지지체(36)의 내부에 마련된다. 복수의 가스 통류홀(36b)의 각각은 가스 토출홀(34a)에 연통된다. 복수의 가스 통류홀(36b)의 각각은 가스 확산실(36a)로부터 하방으로(배치대(PD)의 측을 향해) 연장된다.
가스 도입구(36c)는 가스 확산실(36a)에 대하여 처리 가스를 유도한다. 가스 도입구(36c)는 전극 지지체(36)에 마련된다. 가스 공급관(38)은 가스 도입구(36c)에 접속된다.
가스 소스군(40)은 밸브군(42) 및 유량 제어기군(45)을 개재하여, 가스 공급관(38)에 접속된다. 가스 소스군(40)은 복수의 가스 소스를 가진다. 복수의 가스 소스는 아미노실란계 가스의 소스, 플루오로카본 가스(하이드로플루오로카본 가스)의 소스, 산소(O2) 가스의 소스, 불활성 가스의 소스, 희가스의 소스 및 이산화탄소 가스의 소스를 포함할 수 있다. 아미노실란계 가스(후술의 제 1 가스(G1)에 포함되는 가스)로서는, 아미노기의 수가 비교적 적은 분자 구조의 것이 이용될 수 있고, 예를 들면, 모노아미노실란(H3-Si-R(R은 유기를 포함하고 있어 치환되어 있어도 되는 아미노기))이 이용될 수 있다. 상기의 아미노실란계 가스(후술의 제 1 가스(G1)에 포함되는 가스)는 1 ∼ 3 개의 규소 원자를 가질 수 있는 아미노실란을 포함할 수 있고, 1 ∼ 3 개의 아미노기를 가지는 아미노실란을 포함할 수 있다. 1 ∼ 3 개의 규소 원자를 가지는 아미노실란은 1 ∼ 3 개의 아미노기를 가지는 모노실란(모노아미노실란), 1 ∼ 3 개의 아미노기를 가지는 디실란 또는 1 ∼ 3 개의 아미노기를 가지는 트리실란일 수 있다. 또한, 상기의 아미노실란은 치환되어 있어도 되는 아미노기를 가질 수 있다. 또한, 상기의 아미노기는 메틸기, 에틸기, 프로필기 및 부틸기 중 어느 것에 의해 치환될 수 있다. 또한, 상기의 메틸기, 에틸기, 프로필기 또는 부틸기는, 할로겐에 의해 치환될 수 있다. 플루오로카본 가스로서는, 예를 들면 CF4 가스, C4F6 가스, C4F8 가스와 같은 임의의 플루오로카본 가스가 이용될 수 있다. 불활성 가스로서는, 예를 들면 질소(N2) 가스 등이 이용될 수 있다. 희가스로서는, 예를 들면 아르곤(Ar) 가스 등이 이용될 수 있다.
밸브군(42)은 복수의 밸브를 포함한다. 유량 제어기군(45)은 매스 플로우 컨트롤러와 같은 복수의 유량 제어기를 포함한다. 가스 소스군(40)의 복수의 가스 소스의 각각은 밸브군(42)의 대응의 밸브 및 유량 제어기군(45)의 대응의 유량 제어기를 개재하여 가스 공급관(38)에 접속된다. 따라서, 플라즈마 처리 장치(10)는 가스 소스군(40)의 복수의 가스 소스 중 선택된 1 이상의 가스 소스로부터의 가스를 개별적으로 조정된 유량으로 처리 용기(12) 내로 공급하는 것이 가능하다. 또한, 플라즈마 처리 장치(10)에서는 처리 용기(12)의 내벽을 따라 퇴적물 실드(46)가 착탈 가능하게 마련되어 있다. 퇴적물 실드(46)는 지지부(14)의 외주에도 마련되어 있다. 퇴적물 실드(46)는 처리 용기(12)에 에칭 부생물(퇴적물)이 부착되는 것을 방지하는 것이며, 알루미늄재에 Y2O3 등의 세라믹을 피복함으로써 구성될 수 있다. 퇴적물 실드는, Y2O3 외, 예를 들면 석영과 같이 산소를 포함하는 재료로 구성될 수 있다.
배기 플레이트(48)는 처리 용기(12)의 바닥부측으로서 또한 지지부(14)와 처리 용기(12)의 측벽의 사이에 마련되어 있다. 배기 플레이트(48)는, 예를 들면, 알루미늄재에 Y2O3 등의 세라믹을 피복함으로써 구성될 수 있다. 배기구(12e)는 배기 플레이트(48)의 하방에 있어서, 처리 용기(12)에 마련되어 있다. 배기 장치(50)는 배기관(52)을 개재하여 배기구(12e)에 접속된다. 배기 장치(50)는 터보 분자 펌프 등의 진공펌프를 가지고 있으며, 처리 용기(12) 내의 공간을 원하는 진공도까지 감압할 수 있다. 반입반출구(12g)는 웨이퍼(W)의 반입반출구이다. 반입반출구(12g)는 처리 용기(12)의 측벽에 마련된다. 반입반출구(12g)는 게이트 밸브(54)에 의해 개폐 가능하다.
제 1 고주파 전원(62)은 플라즈마 생성용의 제 1 고주파 전력을 발생시키는 전원이며, 27 ∼ 100[MHz]의 주파수, 일례에 있어서는 40[MHz]의 고주파 전력을 발생시킨다. 제 1 고주파 전원(62)은 정합기(66)를 개재하여 상부 전극(30)에 접속된다. 정합기(66)는 제 1 고주파 전원(62)의 출력 임피던스와 부하측(하부 전극(LE)측)의 입력 임피던스를 정합시키기 위한 회로이다. 또한, 제 1 고주파 전원(62)은 정합기(66)를 개재하여 하부 전극(LE)에 접속될 수도 있다.
제 2 고주파 전원(64)은 웨이퍼(W)에 이온을 인입하기 위한 제 2 고주파 전력, 즉 고주파 바이어스 전력을 발생시키는 전원이며, 400[kHz] ∼ 40.68[MHz]의 범위 내의 주파수, 일례에 있어서는 3.2[MHz]의 고주파 바이어스 전력을 발생시킨다. 제 2 고주파 전원(64)은 정합기(68)를 개재하여 하부 전극(LE)에 접속된다. 정합기(68)는 제 2 고주파 전원(64)의 출력 임피던스와 부하측(하부 전극(LE)측)의 입력 임피던스를 정합시키기 위한 회로이다. 또한, 전원(70)은 상부 전극(30)에 접속된다. 전원(70)은 처리 공간(Sp) 내에 존재하는 정 이온을 전극판(34)에 인입하기 위한 전압을 상부 전극(30)에 인가한다. 일례에 있어서는, 전원(70)은 부(負)의 직류 전압을 발생시키는 직류전원이다. 이러한 전압이 전원(70)으로부터 상부 전극(30)에 인가되면, 처리 공간(Sp)에 존재하는 정 이온이 전극판(34)에 충돌한다. 이에 따라, 전극판(34)으로부터 이차 전자 및 실리콘 중 적어도 하나가 방출된다.
제어부(Cnt)는 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터이며, 플라즈마 처리 장치(10)의 각부(各部)를 제어한다. 구체적으로, 제어부(Cnt)는 밸브군(42), 유량 제어기군(45), 배기 장치(50), 제 1 고주파 전원(62), 정합기(66), 제 2 고주파 전원(64), 정합기(68), 전원(70), 히터 전원(HP) 및 칠러 유닛에 접속되어 있다.
제어부(Cnt)는 입력된 레시피에 기초하는 프로그램에 따라 동작하고, 제어 신호를 송출한다. 제어부(Cnt)로부터의 제어 신호에 의해, 가스 소스군으로부터 공급되는 가스의 선택 및 유량과, 배기 장치(50)의 배기와, 제 1 고주파 전원(62) 및 제 2 고주파 전원(64)으로부터의 전력 공급과, 전원(70)으로부터의 전압 인가와, 히터 전원(HP)의 전력 공급과, 칠러 유닛으로부터의 냉매 유량 및 냉매 온도를 제어하는 것이 가능하다. 또한, 본 명세서에 있어서 개시되는 피처리체를 처리하는 방법(도 1에 나타내는 방법(MT))의 각 공정은, 제어부(Cnt)에 의한 제어에 의해 플라즈마 처리 장치(10)의 각부를 동작시킴으로써 실행될 수 있다.
다시 도 1을 참조하여 방법(MT)에 대하여 상세하게 설명한다. 이하에서는, 방법(MT)의 실시에 플라즈마 처리 장치(10)가 이용되고 있는 예에 대하여 설명을 행한다. 또한, 이하의 설명에 있어서, 도 1 및 도 2와 함께, 도 3 ∼ 도 7을 참조한다. 도 3은 도 1에 나타내는 각 공정의 실행 전의 피처리체의 상태를 나타내는 단면도이다. 도 4는 (a)부 및 (b)부를 포함하고, 도 1에 나타내는 각 공정의 실행 후의 피처리체의 상태를 (a)부, (b)부의 순서로 나타내는 단면도이다. 도 5는 (a)부 및 (b)부를 포함하고, 도 1에 나타내는 각 공정의 실행 후의 피처리체의 상태를 (a)부, (b)부의 순서로 나타내는 단면도이다. 도 6은 (a)부, (b)부 및 (c)부를 포함하고, 도 1에 나타내는 보호막을 형성하는 시퀀스에 있어서의 보호막의 형성의 모습을 (a)부, (b)부, (c)부의 순서로 모식적으로 나타내는 도이다.
도 1에 나타내는 방법(MT)의 실행 전에, 도 3에 나타내는 웨이퍼(W)를 처리 용기(12) 내로 반입한다. 도 3에 나타내는 웨이퍼(W)는 도 1에 나타내는 방법(MT)이 적용되는 피처리체의 일례이다. 도 3에 나타내는 웨이퍼(W)는 듀얼 다마신(Dual Damascene)의 에칭 공정에 있어서 형성된 기판 생산물이다. 도 3에 나타내는 웨이퍼(W)는 볼록부(CV1)(제 1 볼록부)와 볼록부(CV2)(제 2 볼록부)와 피에칭층(PM)과 홈부(TR)를 구비한다. 피에칭층(PM)은 볼록부(CV1)에 포함되어 있는 영역(PM1)과 볼록부(CV2)에 포함되어 있는 영역(PM2)을 포함하고 있다. 홈부(TR)는 웨이퍼(W)의 주면(SC)에 마련되어 있다. 홈부(TR)는 피에칭층(PM)에 마련되어 있다. 홈부(TR)는 볼록부(CV1)와 볼록부(CV2)에 의해 구획 형성되어 있다.
도 3에 나타내는 웨이퍼(W)는 마스크(MK)와 퇴적막(DP)을 더 구비한다. 마스크(MK)는 영역(PM1) 상에 마련되어 있다. 마스크(MK)는 영역(PM1)의 단면(SF1)(영역(PM1)과 마스크(MK)의 계면)에 마련되어 있다. 퇴적막(DP)은 마스크(MK) 상에 마련되어 있다.
홈부(TR)의 내측의 표면(SF2)은 표면(SF2a), 표면(SF2b) 및 표면(SF2c)을 포함한다. 피에칭층(PM)은 볼록부(CV1)에 있어서, 단면(SF1) 및 표면(SF2a)을 포함한다. 표면(SF2a)은 표면(SF2) 중, 볼록부(CV1)의 측에 있는 표면이다. 표면(SF2b)은 홈부(TR)의 바닥부(BT)에 있다. 표면(SF2b)은 홈부(TR)의 바닥면이다. 표면(SF2c)은 표면(SF2) 중 볼록부(CV2)의 측에 있는 표면이며, 표면(SF2a)에 대향하고 있다. 피에칭층(PM)은 볼록부(CV2)에 있어서, 표면(SF2c) 및 단면(SF3)을 포함한다. 단면(SF1), 표면(SF2), 단면(SF3)은 웨이퍼(W)의 주면(SC)에 포함된다.
홈부(TR)의 폭(LP1)은 표면(SF2a)과 표면(SF2c)의 사이의 거리이며, 예를 들면 3 ∼ 5[nm] 정도이다. 고저차(LP2)는 단면(SF1)과 단면(SF3)의 사이의 거리이다. 단면(SF1)을 포함하는 평면은 단면(SF3)의 상방에 있고, 이 경우, 고저차(LP2)의 값은 정의 값이 된다. 방법(MT)의 실행에 의해, 볼록부(CV2)에 있어서의 피에칭층(PM)의 영역(PM2)이 단면(SF3)의 측으로부터 에칭되므로, 고저차(LP2)는 증가한다.
피에칭층(PM)은 복수의 홀이 형성되어 있는 다공질막이다. 피에칭층(PM)은 저유전율(low-k)을 가진다. 피에칭층(PM)의 재료는, 예를 들면 SiOCH 등일 수 있다. 마스크(MK)의 재료는 예를 들면 TiN 등일 수 있다. 퇴적막(DP)의 재료는, 예를 들면 CF 등일 수 있다.
도 1로 되돌아가 설명한다. 방법(MT)에서는, 시퀀스(SQ1)를 1 회 이상 실행한다. 시퀀스(SQ1)의 개시로부터 후술의 공정(ST3): YES에 이르기까지의 일련의 공정은, 피에칭층(PM)의 홈부(TR)의 형상을 원하는 형상으로 에칭하는 공정이다. 도 3에 나타내는 웨이퍼(W)의 반입 후에, 시퀀스(SQ1)를 실행한다. 방법(MT)은 시퀀스(SQ1)를 N(N은 2 이상의 정수) 회 반복하여 실행한다. 시퀀스(SQ1)는 공정(ST1)과 공정(ST2)을 포함한다. 공정(ST1)은 웨이퍼(W)가 수용된 플라즈마 처리 장치(10)의 처리 용기(12) 내에 있어서, 웨이퍼(W)의 주면(SC)에 보호막(SX)을 컨포멀하게 형성한다. 공정(ST1)의 일례가, 도 1의 (b)부에 나타내는 공정(ST1a)이다. 공정(ST1)의 다른 일례가, 도 1의 (c)부에 나타내는 공정(ST1b)이다.
공정(ST1a)은 시퀀스(SQ1a)(제 2 시퀀스)를 포함한다. 시퀀스(SQ1a)는, 공정(ST11a), 공정(ST12a), 공정(ST13a) 및 공정(ST14a)을 포함한다.
공정(ST1a) 및 공정(ST1b) 중, 먼저, 도 1의 (b)부를 참조하여, 공정(ST1a)에 대하여 설명한다. 공정(ST1a)은 시퀀스(SQ1a)를 포함한다. 시퀀스(SQ1a)는, 공정(ST11a), 공정(ST12a), 공정(ST13a) 및 공정(ST14a)을 포함한다. 공정(ST1a)은 공정(ST15a)을 더 포함한다.
공정(ST1a)에서는 시퀀스(SQ1a)를 1 회 이상 실행한다. 시퀀스(SQ1a)의 개시로부터 후술의 공정(ST15a : YES)에 이르기까지의 일련의 공정은, 웨이퍼(W)의 주면(SC)(특히, 표면(SF2a), 표면(SF2b), 표면(SF2c) 및 단면(SF3)이며, 이하 동일.)에 보호막(SX)을 컨포멀하게 형성하는 공정이다.
먼저, 공정(ST11a)에서는 처리 용기(12) 내에 실리콘을 함유하는 제 1 가스(G1)를 도입한다. 제 1 가스(G1)는 아미노실란계 가스를 포함한다. 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터 제 1 가스(G1)를 처리 용기(12) 내로 공급한다. 제 1 가스(G1)는, 아미노실란계 가스로서, 예를 들면 모노아미노실란(H3-Si-R(R은 아미노기))이 이용될 수 있다. 공정(ST11a)에서는 제 1 가스(G1)의 플라즈마를 생성하지 않는다.
도 6의 (a)부에 나타내는 바와 같이, 제 1 가스(G1)의 분자가 반응 전구체로서 웨이퍼(W)의 주면(SC)에 부착된다. 제 1 가스(G1)의 분자(모노아미노실란)는 화학 결합에 기초하는 화학 흡착에 의해 웨이퍼(W)의 주면(SC)에 부착되는 것이며, 공정(ST11a)에 있어서 플라즈마는 이용되지 않는다. 또한, 화학 결합에 의해 표면에 부착가능하며 또한 실리콘을 함유하는 것이면 모노아미노실란 이외의 가스의 이용도 가능하다.
제 1 가스(G1)에 모노아미노실란계 가스가 선택되는 이유는 모노아미노실란이 비교적 높은 전기 음성도를 가지고 또한 극성을 가지는 분자 구조를 가짐으로써 화학 흡착이 비교적 용이하게 행해질 수 있는 것에 기인한다. 제 1 가스(G1)의 분자가 웨이퍼(W)의 주면(SC)에 부착됨으로써 형성되는 층(Ly1)은 당해 부착이 화학 흡착되기 때문에 단분자층(단층)에 가까운 상태가 된다. 모노아미노실란의 아미노기(R)가 작을수록 웨이퍼(W)의 주면(SC)에 흡착되는 분자의 분자 구조도 작아지므로, 분자의 크기에 기인하는 입체 장해가 저감되고, 따라서, 제 1 가스(G1)의 분자가 웨이퍼(W)의 주면(SC)에 균일하게 흡착될 수 있어 층(Ly1)은 웨이퍼(W)의 주면(SC)에 대하여 균일한 막 두께로 형성될 수 있다. 예를 들면, 제 1 가스(G1)에 포함되는 모노아미노실란(H3-Si-R)이 웨이퍼(W)의 주면(SC)의 OH기와 반응함으로써, 반응 전구체인 H3-Si-O가 형성되고, 따라서, H3-Si-O의 단분자층인 층(Ly1)이 형성되는 경우가 생각될 수 있다. 따라서, 웨이퍼(W)의 주면(SC)에 대하여, 반응 전구체의 층(Ly1)이 웨이퍼(W)의 패턴 밀도에 의존하지 않고, 균일한 막 두께로 컨포멀하게 형성될 수 있다.
공정(ST11a)에 이어진 공정(ST12a)에서는, 처리 용기(12) 내의 공간을 퍼지한다. 구체적으로는, 공정(ST11a)에 있어서 공급된 제 1 가스(G1)가 배기된다. 공정(ST12a)에서는, 퍼지 가스로서 질소 가스와 같은 불활성 가스를 처리 용기(12)로 공급해도 된다. 즉, 공정(ST12a)의 퍼지는 불활성 가스를 처리 용기(12) 내에 흘려 보내는 가스 퍼지 또는 진공 배기에 의한 퍼지 중 어느 것이어도 된다. 공정(ST12a)에서는, 웨이퍼(W) 상에 과잉으로 부착된 분자도 제거될 수 있다. 이상에 의해, 반응 전구체의 층(Ly1)은 매우 얇은 단분자층이 된다.
공정(ST12a)에 이어지는 공정(ST13a)에서는, 처리 용기(12) 내에 있어서 제 2 가스의 플라즈마(P1)를 생성한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터 이산화탄소 가스를 포함하는 제 2 가스를 처리 용기(12) 내로 공급한다. 제 2 가스는, 이산화탄소 가스 외에, 산소 원자를 함유하는 다른 가스일 수 있고, 예를 들면 산소 가스일 수도 있다. 그리고, 제 1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 이 경우, 제 2 고주파 전원(64)의 바이어스 전력을 인가 할 수도 있다. 또한, 제 1 고주파 전원(62)을 이용하지 않고 제 2 고주파 전원(64)만을 이용하여 플라즈마를 생성할 수도 있다. 배기 장치(50)를 동작시킴으로써, 처리 용기(12) 내의 공간의 압력을 정해진 압력으로 설정한다.
상기 서술한 바와 같이 공정(ST11a)의 실행에 의해 웨이퍼(W)의 표면에 부착된 분자(층(Ly1)의 단분자층을 구성하는 분자)는 실리콘과 수소의 결합을 포함한다. 실리콘과 수소의 결합 에너지는 실리콘과 산소의 결합 에너지보다 낮다. 따라서, 도 6의 (b)부에 나타내는 바와 같이, 이산화탄소 가스를 포함하는 제 2 가스의 플라즈마(P1)가 생성되면 산소의 활성종, 예를 들면, 산소 라디칼이 생성되어, 층(Ly1)의 단분자층을 구성하는 분자의 수소가 산소로 치환되고, 도 6의 (c)부에 나타내는 바와 같이, 실리콘 산화막(예를 들면 SiO2막)인 층(Ly2)이 단분자층으로서 형성된다.
공정(ST13a)에 이어지는 공정(ST14a)에서는, 처리 용기(12) 내의 공간을 퍼지 한다. 구체적으로는 공정(ST13a)에 있어서 공급된 제 2 가스가 배기된다. 공정(ST14a) 에서는, 퍼지 가스로서 질소 가스와 같은 불활성 가스를 처리 용기(12)로 공급해도 된다. 즉, 공정(ST14a)의 퍼지는, 불활성 가스를 처리 용기(12) 내로 흘려 보내는 가스 퍼지 또는 진공 배기의한 퍼지 중 어느 것이어도 된다.
이상 설명한 시퀀스(SQ1a)에 있어서는, 공정(ST12a)에 있어서 퍼지가 행해지고, 공정(ST12a)에 이어지는 공정(ST13a)에 있어서 층(Ly1)을 구성하는 분자의 수소가 산소로 치환된다. 따라서, ALD법과 마찬가지로, 1 회의 시퀀스(SQ1a)의 실행에 의해, 실리콘 산화막의 층(Ly2)을, 웨이퍼(W)의 주면(SC) 상에 얇고 균일한 막 두께로 컨포멀하게 형성할 수 있다.
시퀀스(SQ1a)에 이어지는 공정(ST15a)에서는, 시퀀스(SQ1a)의 실행을 종료할지 여부를 판정한다. 구체적으로는, 공정(ST15a)에서는, 시퀀스(SQ1a)의 실행 횟수가 정해진 횟수에 도달하였는지 여부를 판정한다. 시퀀스(SQ1a)의 실행 횟수의 결정은 도 4의 (a)부에 나타내는 실리콘 산화막의 보호막(SX)의 막 두께(TH)를 결정하는 것이다. 즉, 1 회의 시퀀스(SQ1a)의 실행에 의해 형성되는 실리콘 산화막의 막 두께와 시퀀스(SQ1a)의 실행 횟수의 곱에 의해, 최종적으로 웨이퍼(W) 상에 형성되는 보호막(SX)의 두께가 실질적으로 결정된다. 따라서, 웨이퍼(W) 상에 형성되는 보호막(SX)의 원하는 두께에 따라, 시퀀스(SQ1a)의 실행 횟수가 설정된다.
공정(ST15a)에 있어서 시퀀스(SQ1a)의 실행 횟수가 정해진 횟수에 도달하고 있지 않다고 판정된 경우에는(공정(ST15a) : NO), 시퀀스(SQ1a)의 실행이 다시 반복된다. 한편, 공정(ST15a)에 있어서 시퀀스(SQ1a)의 실행 횟수가 정해진 횟수에 도달하고 있다고 판정된 경우에는(공정(ST15a) : YES), 시퀀스(SQ1a)의 실행이 종료된다. 이에 의해, 도 4의 (a)부에 나타내는 바와 같이, 웨이퍼(W)의 주면(SC) 상에 실리콘 산화막의 보호막(SX)이 형성된다. 즉, 시퀀스(SQ1a)의 실행 횟수가 정해진 횟수만큼 반복됨으로써, 정해진 막 두께를 가지는 보호막(SX)이, 균일한 막 두께로 컨포멀하게, 웨이퍼(W)의 주면(SC)에 형성된다. 보호막(SX)의 두께는 시퀀스(SQ1a)의 실행 횟수가 적을수록 감소한다.
도 1로 되돌아가 설명한다. 공정(ST1)에 이어지는 공정(ST2)은 처리 용기(12) 내에서 발생시킨 플라즈마에 의해 홈부(TR)의 바닥부(BT)(표면(SF2b))를 에칭한다. 먼저, 공정(ST2)에 있어서, 제 3 가스와 제 4 가스의 혼합 가스를 처리 용기(12) 내로 공급한다. 제 3 가스는 플루오로카본계 가스를 포함하는 처리 가스이며, 제 4 가스는 산소 가스를 포함하는 처리 가스일 수 있다. 제 3 가스는 예를 들면 C4F8 가스일 수 있다. 제 4 가스는 예를 들면 Ar/N2/O2 가스일 수 있다. 그리고, 처리 용기(12) 내로 공급되는 혼합 가스의 플라즈마를 처리 용기(12) 내에서 발생시킨다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 가스 소스로부터 제 3 가스와 제 4 가스의 혼합 가스를 포함하는 처리 가스를 처리 용기(12) 내로 공급한다. 제 1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제 2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 또한, 배기 장치(50)를 동작시킴으로써, 처리 용기(12) 내의 공간의 압력을 정해진 압력으로 설정한다. 이에 의해, 제 3 가스와 제 4 가스의 혼합 가스의 플라즈마가 생성된다. 공정(ST2)에 있어서 생성되는 플라즈마 중의 F(불소) 등의 활성종은 다공질막인 피에칭층(PM)에 마련된 홈부(TR)의 바닥부(BT)를 에칭한다. 계속해서, 처리 용기(12) 내의 공간을 퍼지한다. 구체적으로는, 공정(ST2)에 있어서 공급되는 처리 가스를 처리 용기(12) 내로부터 배기한다. 퍼지 가스로서 질소 가스와 같은 불활성 가스가 처리 용기(12)로 공급되어도 된다. 즉, 공정(ST2)에 있어서 행해지는 퍼지는, 불활성 가스를 처리 용기(12) 내로 흘려 보내는 가스 퍼지 또는 진공 배기에 의한 퍼지 중 어느 것이어도 된다.
1 회의 시퀀스(SQ1)의 실행에 의해, 도 4의 (b)부에 나타내는 바와 같이, 홈부(TR)의 깊이가 증가한다. 또한, 1 회의 시퀀스(SQ1)의 실행에 의해, 볼록부(CV2)에 있어서의 피에칭층(PM)의 영역(PM2)이 단면(SF3)측으로부터 에칭되므로, 이 에칭에 의해 볼록부(CV2)의 모서리부(CP)도 삭제된다(면취(面取)된다). 즉, 1 회의 시퀀스(SQ1)의 실행 후에 있어서는, 영역(PM2)의 단면(SF3)의 선단과 볼록부(CV2)측의 홈부(TR)의 표면(SF2c)에 마련되어 있는 보호막(SX)의 단면의 사이에, 높이(LP3)(모서리부(CP)의 삭제 부분의 높이)가 발생할 수 있다. 방법(MT)에 있어서 발생할 수 있는 높이(LP3)는, 보호막(SX)의 막 두께 및 에칭 시간 등의 프로세스 조건을 조절함으로써, 저감 가능하다. 이하, 삭제 부분이란 에칭에 의해 제거된 부분을 의미한다.
도 7은, 일실시 형태에 따른 방법(MT)에 있어서, 도 4의 (a)부에 나타내는 보호막(SX)의 막 두께(TH)와 도 4의 (b)부에 나타내는 에칭 후의 모서리부(CP)의 높이(LP3)의 대응을 나타내는 측정 결과의 일례를 나타내는 도이다. 도 7의 세로축은, 공정(ST2)에 있어서의 에칭에서, 1[nm]의 에칭에서 발생하는 모서리부(CP)의 삭제 부분의 증가량(K)(높이(LP3)의 증가량)[nm]을 나타내고, 도 7의 가로축은, 공정(ST2)의 에칭 전에 볼록부(CV2)측의 홈부(TR)의 표면(SF2c)에 마련되어 있는 보호막(SX)의 막 두께(TH)의 값[nm]을 나타내고 있다. 결과(GP1)는 제 2 고주파 전원(64)으로부터 공급되는 고주파 바이어스 전력이 0[W]인 경우에 얻어진 측정 결과이며, 결과(GP2)는 제 2 고주파 전원(64)으로부터 공급되는 고주파 바이어스 전력이 25[W]인 경우에 얻어진 측정 결과이며, 결과(GP3)는 제 2 고주파 전원(64)으로부터 공급되는 고주파 바이어스 전력이 100[W]인 경우에 얻어진 측정 결과이다.
도 7을 참조하면, 공정(ST2)의 에칭에 있어서, 1[nm]의 에칭에서 발생하는 모서리부(CP)의 삭제 부분의 증가량(K)(높이(LP3)의 증가량)은, 볼록부(CV2)측의 홈부(TR)의 표면(SF2c)에 마련되어 있는 보호막(SX)의 막 두께(TH)가 얇을수록 또한 제 2 고주파 전원(64)으로부터 공급되는 고주파 바이어스 전력이 클수록, 커지는 것을 알 수 있다. 또한, 공정(ST2)에 있어서 직류 전압이 전원(70)으로부터 공급되는 경우에는, 당해 직류 전압이 클수록, 1[nm]의 에칭에서 발생하는 모서리부(CP)의 삭제 부분의 증가량(K)(높이(LP3)의 증가량)은 크다.
또한, 결과(GP1∼GP3) 중 어느 것에 있어서도, 막 두께(TH)의 변화에 대한 모서리부(CP)의 삭제 부분의 증가량(K)(높이(LP3)의 증가량)의 변화(즉, 결과(GP1 ∼ GP3) 각각의 그래프의 기울기)는, 보호막(SX)의 막 두께(TH)가 2[nm] 이하인 경우가 보호막(SX)의 막 두께(TH)가 2[nm] 이상인 경우에 비해 큰 것을 알 수 있다. 따라서, 도 7을 참조하면, 공정(ST2)의 실행 전의 보호막(SX)의 막 두께(TH)는 2[nm] 이상 8[nm] 이하이면, 공정(ST2)의 에칭에 있어서 발생할 수 있는 모서리부(CP)의 삭제 부분을 축소할 수 있고, 모서리부(CP)의 삭제 부분의 높이(LP3)를 저감할 수 있다. 따라서, 방법(MT)의 에칭에 의한 피에칭층(PM)의 변형의 정도를 저감할 수 있다.
이어서, 공정(ST2)에 있어서의 에칭의 이방성의 정도에 대하여 설명한다. 세로 방향(홈부(TR)의 깊이 방향)의 에칭 레이트를 Y1[nm / 분]로 하고, 가로 방향(세로 방향에 수직인 방향이며, 웨이퍼(W)의 주면(SC)이 확산되고 있는 방향)의 에칭 레이트를 Y2[nm / 분]으로 하면, α = Y2 / Y1는, 0 < α < 1을 만족시키고 있다. α가 작을수록, 세로 방향 우위의 이방성이 높은 에칭인 것을 나타내고 있다. α는, 제 2 고주파 전원(64)으로부터 공급되는 고주파 바이어스 전력의 증가에 수반하여 감소하고, 또한, 처리 용기(12) 내의 압력의 증가에 수반하여 감소한다. 이와 같이, 공정(ST2)에 있어서의 에칭의 이방성의 정도는, 제 2 고주파 전원(64)으로부터 공급되는 고주파 바이어스 전력과 처리 용기(12) 내의 압력을 조절함으로써, 적합하게 제어할 수 있다.
이어서, 도 1의 (c)부를 참조하여, 도 1의 (a)부에 나타내는 공정(ST1)으로서 공정(ST1b)이 이용되는 경우에 대하여 설명한다. 공정(ST1b)은 도 1의 (a)부에 나타내는 공정(ST1)의 일례이다. 공정(ST1b)은 공정(ST11b) 및 공정(ST12b)을 포함한다. 공정(ST11b)의 처리 내용은 공정(ST11a)의 처리 내용과 동일하다. 공정(ST12b)의 처리 내용은 공정(ST12a)의 처리 내용과 동일하다. 즉, 도 4의 (a)부에 나타내는 바와 같이, 공정(ST1b)에 의해 웨이퍼(W)의 주면(SC)에 형성되는 보호막(SX)은 층(Ly1)이다. 따라서, 공정(ST1)으로서 공정(ST1b)이 이용되는 경우, 시퀀스(SQ1)의 1 회의 실행에 의해 형성되는 보호막(SX)의 막 두께는 시퀀스(SQ1a)의 1 회의 실행에 의해 형성되는 보호막(층(Ly2))의 막 두께와 동일해진다.
공정(ST1)으로서 공정(ST1b)이 이용되는 경우, 공정(ST1a)의 공정(ST13a)에 있어서 이용되는 산소 원자를 포함하는 제 2 가스의 플라즈마(P1)에 의한 층(Ly2)(도 6의 (c)부를 참조)의 형성은, 도 4의 (b)부에 나타내는 바와 같이, 공정(ST2)에 있어서의 에칭의 실행에 의해 실현될 수 있다. 즉, 공정(ST1b)에 이어지는 공정(ST2)에서 이용되는 제 4 가스에 포함되는 산소 원자가 공정(ST1a)의 공정(ST13a)에서 이용되는 산소 원자와 동일하게 작용함으로써, 층(Ly1)으로부터 층(Ly2)이 얻어진다. 즉, 공정(ST1b)에 의해 층(Ly1)이 형성되고, 이 공정(ST1b)에 이어지는 공정(ST2)의 에칭에 의해 층(Ly1)으로부터 층(Ly2)이 형성된다.
도 1의 (a)부로 되돌아가 설명한다. 방법(MT)에서는 시퀀스(SQ1)를 1 회 이상 실행한다. 시퀀스(SQ1)에 이어지는 공정(ST3)에서는, 시퀀스(SQ1)의 실행을 종료할지 여부를 판정한다. 구체적으로는, 공정(ST3)에서는, 시퀀스(SQ1)의 실행 횟수가 정해진 횟수에 도달하였는지 여부를 판정한다. 공정(ST3)에 있어서 시퀀스(SQ1)의 실행 횟수가 정해진 횟수에 도달하고 있지 않다고 판정된 경우에는(공정(ST3) : NO), 시퀀스(SQ1)의 실행이 다시 반복된다. 한편, 공정(ST3)에 있어서 시퀀스(SQ1)의 실행 횟수가 정해진 횟수에 도달하고 있다고 판정된 경우에는(공정(ST3) : YES), 시퀀스(SQ1)의 실행이 종료된다. 이에 의해, 예를 들면 도 5의 (b)부에 나타내는 바와 같이, 웨이퍼(W)의 주면(SC)에 마련되는 홈부(TR)가 원하는 형상(홈부(TR)의 폭 및 깊이 등)으로 형성될 수 있다. 도 4의 (a)부 및 도 4의 (b)부에는 제 1 회째의 시퀀스(SQ1)의 실행에 의한 웨이퍼(W)의 상태가 나타나 있지만, 도 5의 (a)부 및 도 5의 (b)부에는 제 2 회째의 시퀀스(SQ1)의 실행에 의한 웨이퍼(W)의 상태가 나타나 있다.
시퀀스(SQ1)의 실행 횟수의 결정에 의해 홈부(TR)의 형상이 결정될 수 있다. 즉, 1 회의 시퀀스(SQ1)의 실행에 의해 형성되는 실리콘 산화막의 막 두께와 시퀀스(SQ1)의 실행 횟수의 곱에 의해, 최종적으로 웨이퍼(W)의 주면(SC)에 형성되는 홈부(TR)의 폭(폭 방향에 있어서의 홈부(TR)의 형상)이 실질적으로 결정된다. 또한, 1 회의 시퀀스(SQ1)의 실행에 의해 에칭되는 홈부(TR)의 깊이와 시퀀스(SQ1)의 실행 횟수의 곱에 의해, 최종적으로 웨이퍼(W)의 주면(SC)에 형성되는 홈부(TR)의 깊이(깊이 방향에 있어서의 홈부(TR)의 형상)가 실질적으로 결정된다. 따라서, 웨이퍼(W)의 주면(SC)에 형성되는 홈부(TR)의 원하는 형상에 따라, 시퀀스(SQ1)의 실행 횟수가 설정된다.
또한, 반복하여 실행되는 복수의 시퀀스(SQ1)에 있어서, 공정(ST1)이 도 1의 (b)부의 공정(ST1a)으로 되어 있는 시퀀스(SQ1)가 포함되는 경우에는, 홈부(TR)의 상세한 형상의 결정은, 시퀀스(SQ1)의 실행 횟수뿐만 아니라 시퀀스(SQ1a)의 실행 횟수에도 의존한다. 예를 들면, 시퀀스(SQ1)가 N 회 실행되는 경우에 있어서, N 회의 시퀀스(SQ1) 중, 도 1의 (b)부에 나타내는 공정(ST1a)을 포함하는 시퀀스(SQ1)가 M(M은 1 이상 또한 N-1 이하의 정수) 회 실행되고, 또한, 도 1의 (c)부에 나타내는 공정(ST1b)을 포함하는 시퀀스(SQ1)가 N-M 회 실행되는 경우가 생각될 수 있다. 특히, N 회의 시퀀스(SQ1)의 실행에 있어서, 도 1의 (b)부에 나타내는 공정(ST1a)을 포함하는 시퀀스(SQ1)의 실행이 제 1 회째에 이루어지고, 제 2 회째 이후에 있어서는, 도 1의 (c)부에 나타내는 공정(ST1b)을 포함하는 시퀀스(SQ1)가 N-1 회 실행되는 경우가 있을 수 있다. 이 경우, 제 1 회째의 시퀀스(SQ1)의 실행에 의해, 비교적 두꺼운 막 두께(TH)의 보호막(SX)을 우선 형성해 둘 수 있다. 공정(ST1a)을 포함하는 시퀀스(SQ1)의 실행 횟수가 비교적 많은 경우에는, 공정(ST13a)의 에칭에서 이용되는 산소 가스의 플라즈마에 의해 홈부(TR)의 표면(SF2)측의 피에칭층(PM)이 변질되는 경우가 있다. 또한, 이 산소 가스의 플라즈마에 의해 퇴적막(DP)이 에칭에 의해 제거되는 경우가 있으며, 이 경우, 마스크(MK)가 노출됨으로써, 볼록부(CV1)의 피에칭층(PM)의 형상이 에칭에 의해 변화될 수 있다.
또한, 도 5의 (b)부를 참조하면, 공정(ST2)의 에칭의 이방성이 비교적 낮아, 공정(ST2)의 에칭의 실행에 의해 홈부(TR)의 폭이 넓어지는(홈부(TR)의 표면(SF2a)이 방향(DR1)으로 넓어지고, 또한, 홈부(TR)의 표면(SF2c)이 방향(DR2)으로 넓어짐으로써, 홈부(TR)의 폭(LP1)이 넓어짐) 경우에는, 시퀀스(SQ1)의 실행 횟수(N)는 홈부(TR)의 원하는 깊이(LP4)의 값(dp)과 홈부(TR)의 원하는 폭(LP1)의 값(lp)에 의거하여 결정될 수 있다. 여기서, 홈부(TR)의 원하는 깊이(LP4)의 값(dp)은 세로 방향의 에칭 레이트의 값(Y1)[nm / 분]과, 시퀀스(SQ1)의 실행 회마다의 실행 시간과, 시퀀스(SQ1)의 실행 회마다의 보호막(SX)의 막 두께(TH)의 값(thv)에 의거하여 결정될 수 있다. 홈부(TR)의 원하는 폭(LP1)의 값(lp)은 가로 방향의 에칭 레이트의 값(Y2)[nm / 분]과, 시퀀스(SQ1)의 실행 회마다의 실행 시간과, 시퀀스(SQ1)의 실행 회마다의 보호막(SX)의 막 두께(TH)의 값(thv)에 의거하여 결정될 수 있다.
또한, 도 5의 (b)부를 참조하면, 공정(ST2)의 에칭의 이방성이 비교적 높아, 공정(ST2)의 에칭의 실행에 있어서 홈부(TR)의 폭이 유지되는(폭(LP1)이 유지되는) 경우에는, 시퀀스(SQ1)의 실행 횟수(N)는 시퀀스(SQ1)의 1 회의 실행에 있어서 형성될 수 있는 원하는 막 두께(TH)의 값(tha)(평균값)과, 홈부(TR)의 원하는 깊이(LP4)의 값(dp)과, 홈부(TR)의 원하는 형상(구체적으로는, 도 5의 (b)부에 나타내는 각도(θ))을 이용하여, N = (dp × tan(θ)) / tha의 식에 따라, 결정될 수 있다. 여기서, 시퀀스(SQ1)의 1 회의 실행에 있어서 형성될 수 있는 원하는 막 두께(TH)의 값(tha)(평균값)은 시퀀스(SQ1)의 N 회의 실행에 의해 형성되는 원하는 보호막(SX)의 막 두께(TH)의 값(tht)(최대값)과, 시퀀스(SQ1)의 실행 횟수(N)에 의하여 결정될 수 있다(tha = tht / N). 홈부(TR)의 원하는 깊이(LP4)의 값(dp)은 세로 방향의 에칭 레이트의 값(Y1)[nm / 분]과, 시퀀스(SQ1)의 실행 회마다의 실행 시간과, 시퀀스(SQ1)의 실행 회마다의 보호막(SX)의 막 두께(TH)의 값(thv)에 의거하여 결정될 수 있다. 도 5의 (b)부에 나타내는 각도(θ)는 홈부(TR)의 원하는 깊이(LP4)의 값(dp)과, 원하는 보호막(SX)의 막 두께(TH)의 값(tht)에 의해 결정될 수 있다(tan(θ) = tht / dp).
이하, 공정(ST2), 공정(ST11a), 공정(ST13a), 시퀀스(SQ1) 및 시퀀스(SQ1a)의 각각의 주된 프로세스 조건의 실험예를 나타낸다.
<공정(ST2)>
처리 용기(12) 내의 압력[mTorr] : 80[mTorr]
제 1 고주파 전원(62)의 고주파 전력의 값[W], 주파수의 값[MHz] : 300[W], 40[MHz]
제 2 고주파 전원(64)의 고주파 전력의 값[W], 주파수의 값[MHz] : 25[W], 13[MHz]
전원(70)의 직류 전압의 값[V] : 0[V]
처리 가스 : C4F8 / Ar / N2 / O2 가스
처리 가스의 유량[sccm] : (C4F8 가스) 30[sccm], (Ar 가스) 1000[sccm], (N2 가스) 20[sccm], (O2 가스) 10[sccm]
처리 시간[s] : 30[s]
<공정(ST11a)>
처리 용기(12) 내의 압력[mTorr] : 100 [mTorr]
제 1 고주파 전원(62)의 고주파 전력의 값[W] : 0[W]
제 2 고주파 전원(64)의 고주파 전력의 값[W] : 0[W]
전원(70)의 직류 전압의 값[V] : 0[V]
처리 가스(제 1 가스) : 모노아미노실란(H3-Si-R(R은 아미노기))
처리 가스의 유량[sccm] : 50[sccm]
처리 시간[s] : 15[s]
<공정(ST13a)>
처리 용기(12) 내의 압력[mTorr] : 200[mTorr]
제 1 고주파 전원(62)의 고주파 전력의 값[W] : 300[W], 10[kHz], Duty 50
제 2 고주파 전원(64)의 고주파 전력의 값[W] : 0[W]
전원(70)의 직류 전압의 값[V] : 0[V]
처리 가스(제 2 가스) : CO2 가스
처리 가스의 유량[sccm] : 300[sccm]
처리 시간[s] : 5[s]
<시퀀스(SQ1)>
반복 횟수 : 5회
<시퀀스(SQ1a)>
반복 횟수 : 5회
이상 설명한 방법(MT)에서는, 웨이퍼(W)의 주면(SC)(홈부(TR)의 내측의 표면(SF2)을 포함함)에 보호막(SX)을 컨포멀하게 형성하는 공정(ST1)과, 주면(SC)에 마련된 홈부(TR)의 바닥부(BT)를 공정(ST1)의 실행 후에 에칭하는 공정(ST2)이 교호로 반복하여 실행될 수 있다(공정(ST3)). 따라서, 복수회 실행되는 공정(ST1)마다 보호막(SX)의 막 두께(TH) 등을 적합하게 조절하고, 또한, 복수회 실행되는 공정(ST2)마다 에칭량 등을 적합하게 조절함으로써, 원하는 홈부(TR)의 다양한 형상에 따라 비교적 정밀하게 홈부(TR)의 가공이 가능해진다.
또한, 공정(ST1a)은, ALD법과 동일한 방법에 의해, 웨이퍼(W)의 주면(SC)(홈부(TR)의 내측의 표면(SF2)을 포함함)에 보호막(SX)이 컨포멀하게 형성되므로, 웨이퍼(W)의 주면(SC)에 대한 보호의 강도가 향상됨과 함께, 웨이퍼(W)의 주면(SC)을 보호하는 보호막(SX)이 균일한 막 두께로 형성될 수 있다.
또한, 공정(ST1b)은 제 1 가스에 의해 웨이퍼(W)의 주면(SC)(홈부(TR)의 내측의 표면(SF2)을 포함함)에 반응 전구체(층(Ly1))가 형성될 수 있는 공정(ST11b) 및 공정(ST11b)의 실행 후에 처리 용기(12) 내의 공간을 퍼지하는 공정(ST12b)에 의해서만 이루어지므로, 이 공정(ST1b)에 의해 형성되는 보호막(SX)은 공정(ST11b)에서 형성되는 반응 전구체(층(Ly1))가 되고, 따라서, 비교적 얇은 막이 될 수 있다. 또한, 이 공정(ST1b)에 이어지는 공정(ST2)에서는 산소를 함유하는 제 4 가스의 플라즈마가 이용되므로, 공정(ST11b)에서 형성된 반응 전구체(층(Ly1))에 대하여 산소의 첨가가 가능해 지며, ALD법과 동일한 방법에 의해 형성되는 보호막과 동일한 조성을 가지는 보호막(SX)이 비교적 얇은 막 두께로 형성 가능해지고, 또한, 산소 가스의 첨가가 공정(ST2)의 에칭 시에 행할 수 있으므로, 처리 공정의 효율화가 실현될 수 있다.
또한, 공정(ST1a)에서는, ALD법과 동일한 방법에 의해, 웨이퍼(W)의 주면(SC)(홈부(TR)의 내측의 표면(SF2)을 포함함)에 보호막(SX)이 컨포멀로 형성되므로, 웨이퍼(W)의 주면(SC)에 대한 보호의 강도가 향상됨과 함께, 웨이퍼(W)의 주면(SC)을 보호하는 보호막(SX)이 균일한 막 두께로 형성될 수 있다. 공정(ST1b)에서는, 제 1 가스에 의해 웨이퍼(W)의 주면(SC)(홈부(TR)의 내측의 표면(SF2)을 포함함)에 반응 전구체가 형성될 수 있는 공정(ST11b) 및 공정(ST11b)의 실행 후에 처리 용기(12) 내의 공간을 퍼지하는 공정(ST12b)에 의해서만 이루어지므로, 공정(ST1b)에 의해 형성되는 보호막(SX)은, 공정(ST11b)에서 형성되는 반응 전구체(층(Ly1))가 되고, 따라서, 비교적 얇은 막이 될 수 있다. 또한, 공정(ST1b)에 이어지는 공정(ST2)에서는 산소를 함유하는 가스의 플라즈마가 이용되므로, 공정(ST11b)에서 형성된 반응 전구체(층(Ly1))에 대하여 산소의 첨가가 가능해지며, ALD법과 동일한 방법에 의해 형성되는 보호막과 동일한 조성을 가지는 보호막(SX)이 비교적 얇은 막 두께로 형성 가능해지고, 또한, 산소 가스의 첨가가 공정(ST2)의 에칭 시에 행할 수 있으므로, 처리 공정의 효율화가 실현될 수 있다. 그리고, 시퀀스(SQ1)의 N 회의 실행에서는, 상기한 공정(ST1a)을 포함하는 시퀀스(SQ1)를 M 회 실행하고, 또한, 상기한 공정(ST1b)를 포함하는 시퀀스(SQ1)를 N-M 회 실행하므로, 홈부(TR)의 다양한 형상의 형성에 충분하게 대응할 수 있다.
또한, 제 2 가스가 산소 원자를 포함하므로, 공정(ST13a)에 있어서, 공정(ST11a)에 있어서 형성된 실리콘의 반응 전구체(층(Ly1))와 당해 산소 원자가 결합함으로써, 산화 실리콘의 보호막(SX)이 컨포멀하게 형성될 수 있다. 또한, 제 2 가스가 이산화탄소 가스인 경우, 제 2 가스가 탄소 원자를 포함하므로 산소 원자에 의한 침식이 당해 탄소 원자에 의해 억제될 수 있다.
또한, 제 1 가스가 아미노실란계 가스를 포함하므로, 공정(ST11a) 및 공정(ST11b)에 의해 실리콘의 반응 전구체(층(Ly1))가 웨이퍼(W)의 주면(SC)의 원자층을 따라 형성될 수 있다.
또한, 모노아미노실란을 포함하는 제 1 가스를 이용하여, 공정(ST11a) 및 공정(ST11b)에 있어서 실리콘의 반응 전구체(층(Ly1))의 형성을 행할 수 있다.
또한, 제 1 가스에 포함되는 아미노실란계 가스에는 1 ∼ 3 개의 규소 원자를 가지는 아미노실란을 이용할 수 있다. 또한, 제 1 가스에 포함되는 아미노실란계 가스에는 1 ∼ 3 개의 아미노기를 가지는 아미노실란을 이용할 수 있다.
또한, 공정(ST2)의 실행 전에 있어서, 공정(ST1)에서 형성된 보호막(SX)의 막 두께(TH)가 2[nm] 이상 8[nm] 이하인 경우에는, 특히 보호막(SX)의 막 두께(TH)가 2[nm]를 하회하는 경우와 비교하여, 이 보호막(SX)에 의해 덮여지는 웨이퍼(W)의 모서리부(CP)에 대한 에칭의 효과를 저감할 수 있다. 따라서, 공정(ST2)의 에칭에 의한 웨이퍼(W)의 변형의 정도를 저감할 수 있다.
이상, 바람직한 실시 형태에 있어서 본 발명의 원리를 도시하여 설명했지만, 본 발명은, 그러한 원리로부터 일탈하지 않고 배치 및 상세에 있어서 변경될 수 있는 것은, 당업자에 의해 인식된다. 본 발명은, 본 실시 형태에 개시된 특정한 구성에 한정되는 것은 아니다. 따라서, 특허 청구의 범위 및 그 정신의 범위로부터 일어나는 모든 수정 및 변경에 권리를 청구한다.
10 : 플라즈마 처리 장치
12 : 처리 용기
12e : 배기구
12g : 반입반출구
14 : 지지부
18a : 제 1 플레이트
18b : 제 2 플레이트
22 : 직류 전원
23 : 스위치
24 : 냉매 유로
26a : 배관
26b : 배관
28 : 가스 공급 라인
30 : 상부 전극
32 : 절연성 차폐 부재
34 : 전극판
34a : 가스 토출홀
36 : 전극 지지체
36a : 가스 확산실
36b : 가스 통류홀
36c : 가스 도입구
38 : 가스 공급관
40 : 가스 소스군
42 : 밸브군
45 : 유량 제어기군
46 : 퇴적물 실드
48 : 배기 플레이트
50 : 배기 장치
52 : 배기관
54 : 게이트 밸브
62 : 제 1 고주파 전원
64 : 제 2 고주파 전원
66 : 정합기
68 : 정합기
70 : 전원
BT : 바닥부
Cnt : 제어부
CP : 모서리부
CV1 : 볼록부
CV2 : 볼록부
DP : 퇴적막
DR1 : 방향
DR2 : 방향
ESC : 정전 척
FR : 포커스 링
G1 : 제 1 가스
GP1 : 결과
GP2 : 결과
GP3 : 결과
HP : 히터 전원
HT : 히터
LE : 하부 전극
LP1 : 폭
LP2 : 고저차
LP3 : 높이
LP4 : 깊이
Ly1 : 층
Ly2 : 층
MK : 마스크
MT : 방법
P1 : 제 2 가스의 플라즈마
PD : 배치대
PM : 피에칭층
PM1 : 영역
PM2 : 영역
SC : 주면
SF1 : 단면
SF2 : 표면
SF2a : 표면
SF2b : 표면
SF2c : 표면
SF3 : 단면
Sp : 처리 공간
SQ1 : 시퀀스
SQ1a : 시퀀스
SX : 보호막
TH : 막 두께
TR : 홈부
W : 웨이퍼

Claims (11)

  1. 피처리체를 처리하는 방법으로서, 상기 피처리체는 제 1 볼록부와 제 2 볼록부와 피에칭층과 홈부를 구비하고 있으며, 상기 피에칭층은 상기 제 1 볼록부에 포함되어 있는 영역과 상기 제 2 볼록부에 포함되어 있는 영역을 포함하고 있고, 상기 홈부는 상기 피처리체의 주면에 마련되며, 상기 피에칭층에 마련되고, 상기 제 1 볼록부와 상기 제 2 볼록부에 의해 구획 형성되어 있으며, 상기 홈부의 내측의 표면은 상기 피처리체의 상기 주면에 포함되어 있고, 상기 방법은,
    제 1 시퀀스를 N(N은 2 이상의 정수) 회 반복하여 실행하며,
    상기 제 1 시퀀스는,
    상기 피처리체가 수용된 플라즈마 처리 장치의 처리 용기 내에 있어서, 상기 피처리체의 상기 주면에 보호막을 컨포멀하게 형성하는 공정과,
    상기 보호막을 컨포멀하게 형성하는 상기 공정의 실행 후에 있어서, 상기 처리 용기 내에서 발생시킨 가스의 플라즈마에 의해 상기 피처리체에 있어서의 상기 홈부의 바닥부를 에칭하는 공정
    을 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 보호막을 컨포멀하게 형성하는 상기 공정에서는,
    상기 처리 용기 내로 제 1 가스를 공급하는 공정과,
    상기 제 1 가스를 공급하는 상기 공정의 실행 후에, 상기 처리 용기 내의 공간을 퍼지하는 공정과,
    상기 공간을 퍼지하는 상기 공정의 실행 후에, 상기 처리 용기 내에 있어서 제 2 가스의 플라즈마를 생성하는 공정과,
    상기 제 2 가스의 플라즈마를 생성하는 상기 공정의 실행 후에, 상기 처리 용기 내의 공간을 퍼지하는 공정
    을 포함하는 제 2 시퀀스를 반복하여 실행함으로써, 상기 피처리체의 상기 주면에 상기 보호막을 컨포멀하게 형성하고,
    상기 제 1 가스를 공급하는 상기 공정은, 상기 제 1 가스의 플라즈마를 생성하지 않는 방법.
  3. 제 1 항에 있어서,
    상기 보호막을 컨포멀하게 형성하는 상기 공정에서는,
    상기 처리 용기 내로 제 1 가스를 공급하는 공정과,
    상기 제 1 가스를 공급하는 상기 공정의 실행 후에, 상기 처리 용기 내의 공간을 퍼지하는 공정
    을 실행함으로써, 상기 피처리체의 상기 주면에 상기 보호막을 컨포멀하게로 형성하고,
    상기 홈부의 상기 바닥부를 에칭하는 상기 공정에서는, 상기 처리 용기 내에서 발생시킨 산소를 함유하는 가스의 플라즈마에 의해 상기 피처리체에 있어서의 상기 홈부의 상기 바닥부를 에칭하고,
    상기 제 1 가스를 공급하는 상기 공정은 상기 제 1 가스의 플라즈마를 생성하지 않는 방법.
  4. 제 1 항에 있어서,
    상기 제 1 시퀀스의 N 회의 실행에 있어서는, 제 1 처리를 포함하는 상기 제 1 시퀀스를 M(M은 1 이상 또한 N-1 이하의 정수) 회 실행하고, 제 2 처리를 포함하는 상기 제 1 시퀀스를 N-M 회 실행하며,
    상기 제 1 처리는 상기 보호막을 컨포멀하게 형성하는 상기 공정에 포함되어 있으며,
    상기 제 1 처리에서는,
    상기 처리 용기 내에 제 1 가스를 공급하는 공정과,
    상기 제 1 가스를 공급하는 상기 공정의 실행 후에, 상기 처리 용기 내의 공간을 퍼지하는 공정과,
    상기 공간을 퍼지하는 상기 공정의 실행 후에, 상기 처리 용기 내에 있어서 제 2 가스의 플라즈마를 생성하는 공정과,
    상기 제 2 가스의 플라즈마를 생성하는 상기 공정의 실행 후에, 상기 처리 용기 내의 상기 공간을 퍼지하는 공정
    을 포함하는 제 2 시퀀스를 반복하여 실행함으로써, 상기 피처리체의 상기 주면에 상기 보호막을 컨포멀하게 형성하고,
    상기 제 2 처리는, 상기 보호막을 컨포멀하게 형성하는 상기 공정에 포함되어 있으며,
    상기 제 2 처리에서는,
    상기 처리 용기 내로 제 1 가스를 공급하는 공정과,
    상기 제 1 가스를 공급하는 상기 공정의 실행 후에, 상기 처리 용기 내의 상기 공간을 퍼지하는 공정
    을 실행함으로써, 상기 피처리체의 상기 주면에 상기 보호막을 컨포멀하게 형성하고,
    상기 제 2 처리에 이어지는 상기 홈부의 상기 바닥부를 에칭하는 상기 공정에서는, 상기 처리 용기 내에서 발생시킨 산소를 함유하는 가스의 플라즈마에 의해 상기 피처리체에 있어서의 상기 홈부의 상기 바닥부를 에칭하며,
    상기 제 1 처리에 있어서 실행되는 상기 제 1 가스를 공급하는 상기 공정 및 상기 제 2 처리에 있어서 실행되는 상기 제 1 가스를 공급하는 상기 공정 중 어느 공정에 있어서도, 상기 제 1 가스의 플라즈마를 생성하지 않는 방법.
  5. 제 2 항 또는 제 4 항에 있어서,
    상기 제 2 가스는 산소 원자를 포함하는 방법.
  6. 제 5 항에 있어서,
    상기 제 2 가스는 이산화탄소 가스 또는 산소 가스를 포함하는 방법.
  7. 제 2 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 1 가스는 아미노실란계 가스를 포함하는 방법.
  8. 제 7 항에 있어서,
    상기 제 1 가스는 모노아미노실란을 포함하는 방법.
  9. 제 7 항에 있어서,
    상기 제 1 가스에 포함되는 아미노실란계 가스는 1 ∼ 3 개의 규소 원자를 가지는 아미노실란을 포함하는 방법.
  10. 제 7 항에 있어서,
    상기 제 1 가스에 포함되는 아미노실란계 가스는 1 ∼ 3 개의 아미노기를 가지는 아미노실란을 포함하는 방법.
  11. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 홈부의 상기 바닥부를 에칭하는 상기 공정의 실행 전에 있어서, 상기 보호막을 컨포멀하게 형성하는 상기 공정에서 형성된 상기 보호막의 막 두께는, 2 nm 이상 8 nm 이하인 방법.
KR1020170106562A 2016-08-29 2017-08-23 피처리체를 처리하는 방법 KR102528430B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230055515A KR20230066287A (ko) 2016-08-29 2023-04-27 기판 처리 장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2016-167071 2016-08-29
JP2016167071A JP6759004B2 (ja) 2016-08-29 2016-08-29 被処理体を処理する方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230055515A Division KR20230066287A (ko) 2016-08-29 2023-04-27 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20180025202A true KR20180025202A (ko) 2018-03-08
KR102528430B1 KR102528430B1 (ko) 2023-05-03

Family

ID=61240681

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170106562A KR102528430B1 (ko) 2016-08-29 2017-08-23 피처리체를 처리하는 방법
KR1020230055515A KR20230066287A (ko) 2016-08-29 2023-04-27 기판 처리 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230055515A KR20230066287A (ko) 2016-08-29 2023-04-27 기판 처리 장치

Country Status (5)

Country Link
US (5) US10217643B2 (ko)
JP (1) JP6759004B2 (ko)
KR (2) KR102528430B1 (ko)
CN (2) CN113394082A (ko)
TW (2) TWI779753B (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
US11476939B2 (en) 2018-03-02 2022-10-18 Nec Corporation Optical repeater, transmission path fiber monitoring method, and optical transmission system
CN110581050A (zh) * 2018-06-07 2019-12-17 东京毅力科创株式会社 处理方法和等离子体处理装置
US10340136B1 (en) * 2018-07-19 2019-07-02 Lam Research Corporation Minimization of carbon loss in ALD SiO2 deposition on hardmask films
JP7257883B2 (ja) * 2018-07-25 2023-04-14 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP7174634B2 (ja) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
JP7220603B2 (ja) 2019-03-20 2023-02-10 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
JP7336365B2 (ja) * 2019-11-19 2023-08-31 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US11443954B2 (en) 2019-12-10 2022-09-13 Tokyo Electron Limited Method and apparatus for controlling a shape of a pattern over a substrate
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000299310A (ja) * 1999-02-12 2000-10-24 Denso Corp 半導体装置の製造方法
JP2004080033A (ja) 2002-08-09 2004-03-11 Samsung Electronics Co Ltd シリコン酸化膜を利用した微細パターン形成方法
JP2009016815A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法
JP2012094652A (ja) * 2010-10-26 2012-05-17 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US20130118895A1 (en) * 2010-02-26 2013-05-16 Nederlandse Organisatie Voor Toegepast- Natuurwetenschappelijk Onderzoek Tno Apparatus and method for reactive ion etching
JP2015111607A (ja) * 2013-12-06 2015-06-18 大日本印刷株式会社 パターン形成方法
JP2015521799A (ja) * 2012-06-22 2015-07-30 東京エレクトロン株式会社 エッチング及びアッシング中での低誘電率材料の側壁保護
KR20160068002A (ko) * 2014-12-04 2016-06-14 램 리써치 코포레이션 고 종횡비 실린더 에칭을 위해 금속-함유 측벽 패시베이션을 증착하기 위한 기법
KR20160067741A (ko) * 2014-12-04 2016-06-14 램 리써치 코포레이션 고 종횡비 실린더 에칭을 위해 측벽 패시베이션을 증착하기 위한 기법
KR20160067742A (ko) * 2014-12-04 2016-06-14 램 리써치 코포레이션 고 종횡비 실린더 에칭을 위해 측벽 패시베이션을 증착하기 위한 기법
KR20160067743A (ko) * 2014-12-04 2016-06-14 램 리써치 코포레이션 고종횡비 실린더 에칭을 위해 측벽 패시베이션을 증착하기 위한 기법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6221775B1 (en) * 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US7119020B2 (en) * 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
JP2006203035A (ja) * 2005-01-21 2006-08-03 Tokyo Electron Ltd プラズマエッチング方法
US7794617B2 (en) * 2006-03-23 2010-09-14 Tokyo Electron Limited Plasma etching method, plasma processing apparatus, control program and computer readable storage medium
JP5291310B2 (ja) * 2007-08-29 2013-09-18 セイコーインスツル株式会社 半導体装置の製造方法
US20110089141A1 (en) 2008-06-17 2011-04-21 Ulvac,Inc. Method for the production of multi-stepped substrate
CN102543843A (zh) * 2010-12-29 2012-07-04 中芯国际集成电路制造(北京)有限公司 互连结构的制造方法
US9460931B2 (en) * 2013-09-17 2016-10-04 Sandisk Technologies Llc High aspect ratio memory hole channel contact formation
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
JP6366454B2 (ja) * 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
JP6486092B2 (ja) * 2014-12-11 2019-03-20 東京エレクトロン株式会社 プラズマエッチング方法
JP6521848B2 (ja) * 2015-01-16 2019-05-29 東京エレクトロン株式会社 エッチング方法
JP2016136606A (ja) * 2015-01-16 2016-07-28 東京エレクトロン株式会社 エッチング方法
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000299310A (ja) * 1999-02-12 2000-10-24 Denso Corp 半導体装置の製造方法
JP2004080033A (ja) 2002-08-09 2004-03-11 Samsung Electronics Co Ltd シリコン酸化膜を利用した微細パターン形成方法
JP2009016815A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法
US20130118895A1 (en) * 2010-02-26 2013-05-16 Nederlandse Organisatie Voor Toegepast- Natuurwetenschappelijk Onderzoek Tno Apparatus and method for reactive ion etching
JP2012094652A (ja) * 2010-10-26 2012-05-17 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2015521799A (ja) * 2012-06-22 2015-07-30 東京エレクトロン株式会社 エッチング及びアッシング中での低誘電率材料の側壁保護
JP2015111607A (ja) * 2013-12-06 2015-06-18 大日本印刷株式会社 パターン形成方法
KR20160068002A (ko) * 2014-12-04 2016-06-14 램 리써치 코포레이션 고 종횡비 실린더 에칭을 위해 금속-함유 측벽 패시베이션을 증착하기 위한 기법
KR20160067741A (ko) * 2014-12-04 2016-06-14 램 리써치 코포레이션 고 종횡비 실린더 에칭을 위해 측벽 패시베이션을 증착하기 위한 기법
KR20160067742A (ko) * 2014-12-04 2016-06-14 램 리써치 코포레이션 고 종횡비 실린더 에칭을 위해 측벽 패시베이션을 증착하기 위한 기법
KR20160067743A (ko) * 2014-12-04 2016-06-14 램 리써치 코포레이션 고종횡비 실린더 에칭을 위해 측벽 패시베이션을 증착하기 위한 기법

Also Published As

Publication number Publication date
US20190214265A1 (en) 2019-07-11
US11658036B2 (en) 2023-05-23
TWI779753B (zh) 2022-10-01
TW202249078A (zh) 2022-12-16
US20220293428A1 (en) 2022-09-15
US10475659B2 (en) 2019-11-12
US10217643B2 (en) 2019-02-26
KR102528430B1 (ko) 2023-05-03
CN107799400A (zh) 2018-03-13
US20200381263A1 (en) 2020-12-03
US11380551B2 (en) 2022-07-05
JP2018037453A (ja) 2018-03-08
TW202145307A (zh) 2021-12-01
CN107799400B (zh) 2021-07-02
TWI738848B (zh) 2021-09-11
JP6759004B2 (ja) 2020-09-23
KR20230066287A (ko) 2023-05-15
TW201820460A (zh) 2018-06-01
US20180061655A1 (en) 2018-03-01
US20190088496A1 (en) 2019-03-21
CN113394082A (zh) 2021-09-14

Similar Documents

Publication Publication Date Title
KR102528430B1 (ko) 피처리체를 처리하는 방법
US9859126B2 (en) Method for processing target object
KR102626138B1 (ko) 피처리체의 처리 방법
KR102309936B1 (ko) 피처리체를 처리하는 방법
KR102482619B1 (ko) 에칭 방법
CN108735596B (zh) 处理被处理体的方法
US11462412B2 (en) Etching method
CN107731677B (zh) 处理被处理体的方法
CN109417029B (zh) 对被处理体进行处理的方法
KR102472335B1 (ko) 성막 방법
KR102562634B1 (ko) 에칭 방법
JP7045428B2 (ja) 被処理体を処理する方法
TWI836576B (zh) 電漿處理裝置及電漿處理方法
KR102670464B1 (ko) 피처리체를 처리하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant